├── .gitignore ├── LICENSE.txt ├── README.md ├── Schematic_neatPLA.pdf ├── gerbers ├── BOM_neatPLA_R2.xlsx ├── CPL_neatPLA_R2.xlsx ├── README.md ├── neatPLA_R1.zip └── neatPLA_R2.zip ├── hdl ├── dodgypla.v ├── dodgypla.xise ├── dodgypla_core.v ├── dodgypla_tb.v ├── neatPLA.ipf ├── neatPLA.jed ├── neatPLA.svf └── pins.ucf ├── images ├── images.md ├── neatPLA_installed_new.jpg ├── pins_bottom.jpg └── pins_top.jpg ├── neatPLA_installed_new.jpg ├── original_dodgyPLA_fix ├── README.md ├── original_dodgyPLA_timing_fix.jed └── original_dodgyPLA_timing_fix.svf └── programming ├── CMD.PNG ├── FT232H_board.jpg ├── README.md ├── Zadig.PNG ├── programming_adapter_TQFP44.jpg ├── programming_adapter_assembled.jpg ├── xc3sprog.zip └── zadig-2.5.exe /.gitignore: -------------------------------------------------------------------------------- 1 | *.bak 2 | *.bck 3 | -------------------------------------------------------------------------------- /LICENSE.txt: -------------------------------------------------------------------------------- 1 | Based on dodgyPLA https://github.com/desaster/c64-dodgypla 2 | Which is licenced as: 3 | "The dodgyPLA HDL code is based on skoe's VHDL code, which seems unlicensed: 4 | https://bitbucket.org/skoe/pla 5 | 6 | Rest of the work in this repository is licensed as follows. 7 | 8 | Copyright (C) 2017 Upi Tamminen 9 | 10 | This hardware design is licensed under 11 | Attribution-ShareAlike 3.0 Unported (CC BY-SA 3.0)" 12 | 13 | The changes and new work is licensed as follows. 14 | 15 | Copyright (C) 2020 Pasi Lassila 16 | 17 | This hardware design is licensed under 18 | Attribution-ShareAlike 3.0 Unported (CC BY-SA 3.0) 19 | 20 | http://creativecommons.org/licenses/by-sa/3.0/ 21 | -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | PLA replacement circuit for Commodore 64. 2 | Based on dodgyPLA https://github.com/desaster/c64-dodgypla 3 | 4 |

5 | 6 |

7 | 8 | I showed the design to some friends and they said "neat". Hence the name neatPLA :) 9 | 10 | What is changed compared to dodgyPLA: 11 | - 3.6V voltage regulator. Better for logic levels. Still within XC9536XL specs 12 | - Cool looking PCB 13 | - Changed pin mapping code to mach the new schematic & PCB. 14 | - CASRAM delay increased to 30ns. Fixes timing issues with motherboard 326298 15 | - Added extra delay to all outputs. Fixes a timing issue with motherboard 250425 + SwinSID 16 | 17 | Now it is one of the most compatible PLA replacements. 18 | 19 | This project includes: 20 | * A Xilinx ISE project written in Verilog for Xilinx XC9536XL 21 | * A Xilinx iMPACT project file (I use this SW and Xilinx Platform cable USB for programming) 22 | * .jed and .svf file for programming the CPLD chip (subfolder _original_dodgyPLA_fix_ got fixed files for the original dodgyPLA and compatible versions) 23 | * Gerber design files for ordering your own PCBs 24 | * BOM and CPL files for SMD assembly service 25 | * Schematic PDF 26 | 27 | Part list: 28 | | Definition | Designator | Quantity | Manufacturer | Manufacturer PN | Alternative | 29 | | ------------------------------------------ | ---------- | -------- | ------------------------- | ----------------- | ---------------------------------------- | 30 | | CAP CER 2.2uF 16V X7R 0805 | C1, C2 | 2 | Samsung Electro-Mechanics | CL21B225KOFNNNE | Any 2.2uF 16V X7R 0805 ceramic capacitor | 31 | | CAP CER 220nF 50V X7R 0805 | C3 | 1 | Samsung Electro-Mechanics | CL21B224KBFNNNE | Any 220nF 50V X7R 0805 ceramic capacitor | 32 | | IC CPLD 36MC 10NS 44VQFP | U1 | 1 | AMD | XC9536XL-10VQG44C | XC9536XL-10VQG44I | 33 | | IC REG LIN 3.6V 250MA SOT23-3 | U2 | 1 | Microchip Technology | MCP1702T-3602E/CB | MCP1700T-3602E/TT | 34 | | CONN HEADER 2.54MM MALE-MALE ROUND 14 PINS | J1 | 1 | \- | \- | long leg female headers | 35 | | CONN HEADER 2.54MM MALE-MALE ROUND 14 PINS | J2 | 1 | \- | \- | long leg female headers | 36 | | PCB | \- | 1 | JLCpcb / PCBway | \- | | 37 | 38 | Long leg female headers makes a lower profile but are only compatible with leaf spring sockets. Round pin headers gives a taller profile but are compatible with all sockets. 39 |

40 | 41 | 42 |


43 | 44 | PCBs can be ordered with the provided gerber files. 45 | You can also buy the PCBs from [PCBway](https://www.pcbway.com/project/shareproject/neatPLA_for_Commodore_64.html). 46 | 47 | If you want a ready assembled PCB check my [Amibay sales posts](http://www.amibay.com/showthread.php?111794-neatPLA-The-best-looking-PLA-for-fixing-your-C64-). I charge 17€ + shipping for it. 48 | 49 | See [programming guide](https://github.com/1c3d1v3r/neatPLA/tree/master/programming) for how to program the CPLD ICs with a cheap FT232H board. 50 | -------------------------------------------------------------------------------- /Schematic_neatPLA.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/Schematic_neatPLA.pdf -------------------------------------------------------------------------------- /gerbers/BOM_neatPLA_R2.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/gerbers/BOM_neatPLA_R2.xlsx -------------------------------------------------------------------------------- /gerbers/CPL_neatPLA_R2.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/gerbers/CPL_neatPLA_R2.xlsx -------------------------------------------------------------------------------- /gerbers/README.md: -------------------------------------------------------------------------------- 1 | R1 gerbers are the original ones released in 2020. R2 gerbers have been edited to match JLCpcb rules.
2 | Holes under top silk have been moved. Teardrops added.
3 | Now also BOM and CPL files are included for SMD assembly service. You can use them at PCBway or JLCpcb.
4 | PCBway project have been updated with latest files https://www.pcbway.com/project/shareproject/neatPLA_for_Commodore_64.html
5 | Order with black soldermask. Select "Remove product No." or "Remove mark" as there is no defined place for the order number and manufacturer may place it to top. 6 | -------------------------------------------------------------------------------- /gerbers/neatPLA_R1.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/gerbers/neatPLA_R1.zip -------------------------------------------------------------------------------- /gerbers/neatPLA_R2.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/gerbers/neatPLA_R2.zip -------------------------------------------------------------------------------- /hdl/dodgypla.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | module dodgypla( 4 | input i0, 5 | input i1, 6 | input i2, 7 | input i3, 8 | input i4, 9 | input i5, 10 | input i6, 11 | input i7, 12 | input i8, 13 | input i9, 14 | input i10, 15 | input i11, 16 | input i12, 17 | input i13, 18 | input i14, 19 | input i15, 20 | output f0, 21 | output f1, 22 | output f2, 23 | output f3, 24 | output f4, 25 | output f5, 26 | output f6, 27 | output f7 28 | ); 29 | 30 | dodgypla_core dodgy( 31 | i0, 32 | i1, 33 | i2, 34 | i3, 35 | i4, 36 | i5, 37 | i6, 38 | i7, 39 | i8, 40 | i9, 41 | i10, 42 | i11, 43 | i12, 44 | i13, 45 | i14, 46 | i15, 47 | f0, 48 | f1, 49 | f2, 50 | f3, 51 | f4, 52 | f5, 53 | f6, 54 | f7 55 | ); 56 | 57 | endmodule 58 | -------------------------------------------------------------------------------- /hdl/dodgypla.xise: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 |
5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 |
14 | 15 | 16 | 17 | 18 | 19 | 20 | 21 | 22 | 23 | 24 | 25 | 26 | 27 | 28 | 29 | 30 | 31 | 32 | 33 | 34 | 35 | 36 | 37 | 38 | 39 | 40 | 41 | 42 | 43 | 44 | 45 | 46 | 47 | 48 | 49 | 50 | 51 | 52 | 53 | 54 | 55 | 56 | 57 | 58 | 59 | 60 | 61 | 62 | 63 | 64 | 65 | 66 | 67 | 68 | 69 | 70 | 71 | 72 | 73 | 74 | 75 | 76 | 77 | 78 | 79 | 80 | 81 | 82 | 83 | 84 | 85 | 86 | 87 | 88 | 89 | 90 | 91 | 92 | 93 | 94 | 95 | 96 | 97 | 98 | 99 | 100 | 101 | 102 | 103 | 104 | 105 | 106 | 107 | 108 | 109 | 110 | 111 | 112 | 113 | 114 | 115 | 116 | 117 | 118 | 119 | 120 | 121 | 122 | 123 | 124 | 125 | 126 | 127 | 128 | 129 | 130 | 131 | 132 | 133 | 134 | 135 | 136 | 137 | 138 | 139 | 140 | 141 | 142 | 143 | 144 | 145 | 146 | 147 | 148 | 149 | 150 | 151 | 152 | 153 | 154 | 155 | 156 | 157 | 158 | 159 | 160 | 161 | 162 | 163 | 164 | 165 | 166 | 167 | 168 | 169 | 170 | 171 | 172 | 173 | 174 | 175 | 176 | 177 | 178 | 179 | 180 | 181 | 182 | 183 | 184 | 185 | 186 | 187 | 188 | 189 | 190 | 191 | 192 | 193 | 194 | 195 | 196 | 197 | 198 | 199 | 200 | 201 | 202 | 203 | 204 | 205 | 206 | 207 | 208 | 209 | 210 | 211 | 212 | 213 | 214 | 215 | 216 | 217 | 218 | 219 | 220 | 221 | 222 | 223 | 224 | 225 | 226 | 227 | 228 | 229 | 230 | 231 | 232 | 233 | 234 | 235 | 236 | 237 | 238 | 239 | 240 | 241 | 242 | 243 | 244 | 245 | 246 | 247 | 248 | 249 | 250 |
251 | -------------------------------------------------------------------------------- /hdl/dodgypla_core.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | module dodgypla_core( 4 | input i0, 5 | input i1, 6 | input i2, 7 | input i3, 8 | input i4, 9 | input i5, 10 | input i6, 11 | input i7, 12 | input i8, 13 | input i9, 14 | input i10, 15 | input i11, 16 | input i12, 17 | input i13, 18 | input i14, 19 | input i15, 20 | output reg f0, 21 | output reg f1, 22 | output reg f2, 23 | output reg f3, 24 | output reg f4, 25 | output reg f5, 26 | output reg f6, 27 | output reg f7 28 | ); 29 | 30 | wire p0; 31 | wire p1; 32 | wire p2; 33 | wire p3; 34 | wire p4; 35 | wire p5; 36 | wire p6; 37 | wire p7; 38 | wire p8; 39 | wire p9; 40 | wire p10; 41 | wire p11; 42 | wire p12; 43 | wire p13; 44 | wire p14; 45 | wire p15; 46 | wire p16; 47 | wire p17; 48 | wire p18; 49 | wire p19; 50 | wire p20; 51 | wire p21; 52 | wire p22; 53 | wire p23; 54 | wire p24; 55 | wire p25; 56 | wire p26; 57 | wire p27; 58 | wire p28; 59 | wire p29; 60 | 61 | wire f0a; /* synthesis syn_keep = 1 */ 62 | wire f0b; /* synthesis syn_keep = 1 */ 63 | wire f1a; /* synthesis syn_keep = 1 */ 64 | wire f2a; /* synthesis syn_keep = 1 */ 65 | wire f3a; /* synthesis syn_keep = 1 */ 66 | wire f4a; /* synthesis syn_keep = 1 */ 67 | wire f5a; /* synthesis syn_keep = 1 */ 68 | wire f6a; /* synthesis syn_keep = 1 */ 69 | wire f7a; /* synthesis syn_keep = 1 */ 70 | 71 | (* dont_touch *) wire f0_l1; 72 | (* dont_touch *) reg f0_l2; 73 | (* dont_touch *) reg f0_l3; 74 | (* dont_touch *) reg f0_l4; 75 | (* dont_touch *) reg f0_l5; 76 | (* dont_touch *) reg f0_l6; 77 | (* dont_touch *) reg f0_l7; 78 | (* dont_touch *) reg f0_l8; 79 | 80 | (* dont_touch *) wire f1_l1; 81 | (* dont_touch *) reg f1_l2; 82 | 83 | (* dont_touch *) wire f2_l1; 84 | (* dont_touch *) reg f2_l2; 85 | 86 | (* dont_touch *) wire f3_l1; 87 | (* dont_touch *) reg f3_l2; 88 | 89 | (* dont_touch *) wire f4_l1; 90 | (* dont_touch *) reg f4_l2; 91 | 92 | (* dont_touch *) wire f5_l1; 93 | (* dont_touch *) reg f5_l2; 94 | 95 | (* dont_touch *) wire f6_l1; 96 | (* dont_touch *) reg f6_l2; 97 | 98 | (* dont_touch *) wire f7_l1; 99 | (* dont_touch *) reg f7_l2; 100 | 101 | assign p0 = i1 && i2 && i5 && !i6 && i7 && !i10 && i11 && i13; 102 | assign p1 = i2 && i5 && i6 && i7 && !i10 && i11 && i13; 103 | assign p2 = i2 && i5 && i6 && i7 && !i10 && i11 && !i12 && !i13; 104 | assign p3 = i2 && !i3 && i5 && i6 && !i7 && i8 && !i10 && i11 && i13; 105 | assign p4 = i1 && !i3 && i5 && i6 && !i7 && i8 && !i10 && i11 && i13; 106 | assign p5 = i2 && !i3 && i5 && i6 && !i7 && i8 && !i10 && i11 && !i12 && !i13; 107 | assign p6 = i4 && i10 && i13 && !i14 && i15; 108 | assign p7 = i4 && i10 && !i12 && !i13 && !i14 && i15; 109 | assign p8 = i2 && i3 && i5 && i6 && !i7 && i8 && i9 && !i10 && i11 && i13; 110 | assign p9 = i2 && i3 && i5 && i6 && !i7 && i8 && !i10 && !i11 && i13; 111 | assign p10 = i1 && i3 && i5 && i6 && !i7 && i8 && i9 && !i10 && i11 && i13; 112 | assign p11 = i1 && i3 && i5 && i6 && !i7 && i8 && !i10 && !i11 && i13; 113 | assign p12 = i2 && i3 && i5 && i6 && !i7 && i8 && i9 && !i10 && i11 && !i12 && !i13; 114 | assign p13 = i2 && i3 && i5 && i6 && !i7 && i8 && !i10 && !i11 && !i12 && !i13; 115 | assign p14 = i1 && i3 && i5 && i6 && !i7 && i8 && i9 && !i10 && i11 && !i12 && !i13; 116 | assign p15 = i1 && i3 && i5 && i6 && !i7 && i8 && !i10 && !i11 && !i12 && !i13; 117 | assign p16 = i5 && i6 && !i7 && i8 && i9 && !i10 && i11 && i12 && !i13; 118 | assign p17 = i5 && i6 && !i7 && i8 && !i10 && !i11 && i12 && !i13; 119 | assign p18 = i1 && i2 && i5 && !i6 && !i7 && !i10 && i11 && !i12; 120 | assign p19 = i5 && !i6 && !i7 && !i10 && i12 && !i13; 121 | assign p20 = i2 && i5 && !i6 && i7 && !i10 && i11 && !i12 && !i13; 122 | assign p21 = i5 && i6 && i7 && !i10 && i12 && !i13; 123 | assign p22 = i10 && i12 && !i13 && i14 && i15; 124 | assign p23 = !i5 && !i6 && i8 && i12 && !i13; 125 | assign p24 = !i5 && !i6 && i7 && i12 && !i13; 126 | assign p25 = !i5 && i6 && i12 && !i13; 127 | assign p26 = i5 && !i6 && i7 && i12 && !i13; 128 | assign p27 = i5 && i6 && !i7 && !i8 && i12 && !i13; 129 | assign p28 = i0; 130 | assign p29 = !i0 && i5 && i6 && !i7 && i8 && !i10 && !i11; 131 | 132 | assign f0a = p0 || p1 || p2 || p3 || p4 || p5 || p6 || p7 || p8 || p9 || p10 || p11 || p12 || p13 || p14 || p15 || p16; 133 | assign f0b = p17 || p18 || p19 || p20 || p21 || p22 || p23 || p24 || p25 || p26 || p27 || p28; 134 | 135 | assign f0_l1 = f0a || f0b; 136 | 137 | assign f1a = !(p0); 138 | assign f1_l1 = f1a; 139 | 140 | assign f2a = !(p1 || p2); 141 | assign f2_l1 = f2a; 142 | 143 | assign f3a = !(p3 || p4 || p5 || p6 || p7); 144 | assign f3_l1 = f3a; 145 | 146 | assign f4a = !(p29); 147 | assign f4_l1 = f4a; 148 | 149 | assign f5a = !(p8 || p9 || p10 || p11 || p12 || p13 || p14 || p15 || p16 || p17); 150 | assign f5_l1 = f5a; 151 | 152 | assign f6a = !(p18 || p19); 153 | assign f6_l1 = f6a; 154 | 155 | assign f7a = !(p20 || p21 || p22); 156 | assign f7_l1 = f7a; 157 | 158 | /* extra logic below to add some delay */ 159 | 160 | always @(f0_l1) begin 161 | f0_l2 = !f0_l1; 162 | end 163 | 164 | always @(f0_l2) begin 165 | f0_l3 = !f0_l2; 166 | end 167 | 168 | always @(f0_l3) begin 169 | f0_l4 = !f0_l3; 170 | end 171 | /* new delay starts here. Total delay 30ns */ 172 | always @(f0_l4) begin 173 | f0_l5 = !f0_l4; 174 | end 175 | 176 | always @(f0_l5) begin 177 | f0_l6 = !f0_l5; 178 | end 179 | 180 | always @(f0_l6) begin 181 | f0_l7 = !f0_l6; 182 | end 183 | 184 | always @(f0_l7) begin 185 | f0_l8 = !f0_l7; 186 | end 187 | 188 | always @(f0_l8) begin 189 | f0 = !f0_l8; 190 | end 191 | 192 | /* small delay for all other output lines */ 193 | 194 | always @(f1_l1) begin 195 | f1_l2 = !f1_l1; 196 | end 197 | 198 | always @(f1_l2) begin 199 | f1 = !f1_l2; 200 | end 201 | 202 | always @(f2_l1) begin 203 | f2_l2 = !f2_l1; 204 | end 205 | 206 | always @(f2_l2) begin 207 | f2 = !f2_l2; 208 | end 209 | 210 | always @(f3_l1) begin 211 | f3_l2 = !f3_l1; 212 | end 213 | 214 | always @(f3_l2) begin 215 | f3 = !f3_l2; 216 | end 217 | 218 | always @(f4_l1) begin 219 | f4_l2 = !f4_l1; 220 | end 221 | 222 | always @(f4_l2) begin 223 | f4 = !f4_l2; 224 | end 225 | 226 | always @(f5_l1) begin 227 | f5_l2 = !f5_l1; 228 | end 229 | 230 | always @(f5_l2) begin 231 | f5 = !f5_l2; 232 | end 233 | 234 | always @(f6_l1) begin 235 | f6_l2 = !f6_l1; 236 | end 237 | 238 | always @(f6_l2) begin 239 | f6 = !f6_l2; 240 | end 241 | 242 | always @(f7_l1) begin 243 | f7_l2 = !f7_l1; 244 | end 245 | 246 | always @(f7_l2) begin 247 | f7 = !f7_l2; 248 | end 249 | 250 | endmodule -------------------------------------------------------------------------------- /hdl/dodgypla_tb.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | module dodgypla_tb; 4 | 5 | // Inputs 6 | reg n_cas; 7 | reg n_loram; 8 | reg n_hiram; 9 | reg n_charen; 10 | reg n_va14; 11 | reg a15; 12 | reg a14; 13 | reg a13; 14 | reg a12; 15 | reg ba; 16 | reg n_aec; 17 | reg rd; 18 | reg n_exrom; 19 | reg n_game; 20 | reg va13; 21 | reg va12; 22 | 23 | // Outputs 24 | wire n_casram; 25 | wire n_basic; 26 | wire n_kernal; 27 | wire n_charrom; 28 | wire n_grw; 29 | wire n_io; 30 | wire n_roml; 31 | wire n_romh; 32 | 33 | reg n_casram_test; 34 | reg n_basic_test; 35 | reg n_kernal_test; 36 | reg n_charrom_test; 37 | reg n_grw_test; 38 | reg n_io_test; 39 | reg n_roml_test; 40 | reg n_romh_test; 41 | 42 | dodgypla uut ( 43 | .i0(n_cas), 44 | .i1(n_loram), 45 | .i2(n_hiram), 46 | .i3(n_charen), 47 | .i4(n_va14), 48 | .i5(a15), 49 | .i6(a14), 50 | .i7(a13), 51 | .i8(a12), 52 | .i9(ba), 53 | .i10(n_aec), 54 | .i11(rd), 55 | .i12(n_exrom), 56 | .i13(n_game), 57 | .i14(va13), 58 | .i15(va12), 59 | .f0(n_casram), 60 | .f1(n_basic), 61 | .f2(n_kernal), 62 | .f3(n_charrom), 63 | .f4(n_grw), 64 | .f5(n_io), 65 | .f6(n_roml), 66 | .f7(n_romh) 67 | ); 68 | 69 | integer file; 70 | integer retval; 71 | reg [0:23] bin; 72 | 73 | `define NULL 0 74 | 75 | `define assert(signal, value) \ 76 | if (signal !== value) begin \ 77 | $display("ASSERTION FAILED in %m: signal != value"); \ 78 | $finish; \ 79 | end 80 | 81 | initial begin 82 | $display($time, " << Starting the Simulation >>"); 83 | 84 | // Initialize Inputs 85 | n_cas = 0; 86 | n_loram = 0; 87 | n_hiram = 0; 88 | n_charen = 0; 89 | n_va14 = 0; 90 | a15 = 0; 91 | a14 = 0; 92 | a13 = 0; 93 | a12 = 0; 94 | ba = 0; 95 | n_aec = 0; 96 | rd = 0; 97 | n_exrom = 0; 98 | n_game = 0; 99 | va13 = 0; 100 | va12 = 0; 101 | 102 | n_casram_test = 0; 103 | n_basic_test = 0; 104 | n_kernal_test = 0; 105 | n_charrom_test = 0; 106 | n_grw_test = 0; 107 | n_io_test = 0; 108 | n_roml_test = 0; 109 | n_romh_test = 0; 110 | 111 | #100; 112 | 113 | file = $fopen("c64pla_tb.txt", "r"); 114 | if (file == `NULL) begin 115 | $display("data_file handle was NULL"); 116 | $finish; 117 | end 118 | 119 | while (!$feof(file)) begin 120 | retval = $fscanf(file, "%b", bin); 121 | //$display("Value: [%b]", bin); 122 | 123 | n_cas = bin[0]; 124 | n_loram = bin[1]; 125 | 126 | n_hiram = bin[2]; 127 | n_charen = bin[3]; 128 | n_va14 = bin[4]; 129 | a15 = bin[5]; 130 | a14 = bin[6]; 131 | a13 = bin[7]; 132 | a12 = bin[8]; 133 | ba = bin[9]; 134 | n_aec = bin[10]; 135 | rd = bin[11]; 136 | n_exrom = bin[12]; 137 | n_game = bin[13]; 138 | va13 = bin[14]; 139 | va12 = bin[15]; 140 | n_casram_test = bin[16]; 141 | n_basic_test = bin[17]; 142 | n_kernal_test = bin[18]; 143 | n_charrom_test = bin[19]; 144 | n_grw_test = bin[20]; 145 | n_io_test = bin[21]; 146 | n_roml_test = bin[22]; 147 | n_romh_test = bin[23]; 148 | 149 | #100; 150 | 151 | `assert(n_casram, n_casram_test); 152 | `assert(n_basic, n_basic_test); 153 | `assert(n_kernal, n_kernal_test); 154 | `assert(n_charrom, n_charrom_test); 155 | `assert(n_grw, n_grw_test); 156 | `assert(n_io, n_io_test); 157 | `assert(n_roml, n_roml_test); 158 | `assert(n_romh, n_romh_test); 159 | end 160 | 161 | $finish; 162 | end 163 | 164 | endmodule 165 | -------------------------------------------------------------------------------- /hdl/neatPLA.ipf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/hdl/neatPLA.ipf -------------------------------------------------------------------------------- /hdl/neatPLA.jed: -------------------------------------------------------------------------------- 1 | Programmer Jedec Bit Map 2 | Date Extracted: Mon Apr 20 23:30:08 2020 3 | 4 | QF23328* 5 | QP44* 6 | QV0* 7 | F0* 8 | X0* 9 | J0 0* 10 | N VERSION P.20131013* 11 | N DEVICE XC9536XL-10-VQ44* 12 | N PPMAP 12 1* 13 | N PPMAP 23 12* 14 | N PPMAP 24 13* 15 | N PPMAP 25 14* 16 | N PPMAP 27 16* 17 | N PPMAP 31 18* 18 | N PPMAP 32 19* 19 | N PPMAP 13 2* 20 | N PPMAP 33 20* 21 | N PPMAP 34 21* 22 | N PPMAP 35 22* 23 | N PPMAP 37 23* 24 | N PPMAP 41 27* 25 | N PPMAP 42 28* 26 | N PPMAP 43 29* 27 | N PPMAP 14 3* 28 | N PPMAP 44 30* 29 | N PPMAP 45 31* 30 | N PPMAP 46 32* 31 | N PPMAP 47 33* 32 | N PPMAP 1 34* 33 | N PPMAP 3 36* 34 | N PPMAP 4 37* 35 | N PPMAP 5 38* 36 | N PPMAP 6 39* 37 | N PPMAP 7 40* 38 | N PPMAP 8 41* 39 | N PPMAP 9 42* 40 | N PPMAP 10 43* 41 | N PPMAP 11 44* 42 | N PPMAP 16 5* 43 | N PPMAP 17 6* 44 | N PPMAP 18 7* 45 | N PPMAP 19 8* 46 | L0000000 10100100 00000000* 47 | L0000016 10100000 00000000* 48 | L0000032 11000100 00000000* 49 | L0000048 11000100 00000000* 50 | L0000064 11000100 00000000* 51 | L0000080 01000000 00000000* 52 | L0000096 11000100 00000000* 53 | L0000112 10000100 00000000* 54 | L0000128 10000100 00000000* 55 | L0000144 100001 000000* 56 | L0000156 000001 000000* 57 | L0000168 000011 000000* 58 | L0000180 100010 000000* 59 | L0000192 100010 000000* 60 | L0000204 100000 000000* 61 | L0000216 01000000 00000000* 62 | L0000232 01000100 00000000* 63 | L0000248 00000000 00000000* 64 | L0000264 00000000 00000000* 65 | L0000280 00000000 00000000* 66 | L0000296 00000100 00000000* 67 | L0000312 00000000 00000000* 68 | L0000328 00000000 00000000* 69 | L0000344 00000000 00000000* 70 | L0000360 000000 000000* 71 | L0000372 000010 000000* 72 | L0000384 000000 000000* 73 | L0000396 000000 000000* 74 | L0000408 000000 000000* 75 | L0000420 000001 000000* 76 | L0000432 00000000 00000000* 77 | L0000448 00000000 00000000* 78 | L0000464 00000000 00000000* 79 | L0000480 00000000 00000000* 80 | L0000496 00000000 00000000* 81 | L0000512 00000000 00000000* 82 | L0000528 00000000 00000000* 83 | L0000544 00000000 00000000* 84 | L0000560 00000000 00000000* 85 | L0000576 000000 000000* 86 | L0000588 000000 000001* 87 | L0000600 000000 000000* 88 | L0000612 000000 000000* 89 | L0000624 000000 000000* 90 | L0000636 000000 000000* 91 | L0000648 00000000 00000000* 92 | L0000664 00000000 00000000* 93 | L0000680 00000000 00000000* 94 | L0000696 00000000 00000000* 95 | L0000712 00000000 00000000* 96 | L0000728 00000000 00000000* 97 | L0000744 00000000 00000000* 98 | L0000760 00000000 00000000* 99 | L0000776 00000000 00000000* 100 | L0000792 000000 000000* 101 | L0000804 000000 000000* 102 | L0000816 000000 000000* 103 | L0000828 000000 000000* 104 | L0000840 000000 000000* 105 | L0000852 000000 000000* 106 | L0000864 01000000 00000000* 107 | L0000880 01000100 00000000* 108 | L0000896 00000000 00000000* 109 | L0000912 00000000 00000000* 110 | L0000928 00000000 00000000* 111 | L0000944 00000100 00000000* 112 | L0000960 00000000 00000000* 113 | L0000976 00000000 00000000* 114 | L0000992 00000000 00000000* 115 | L0001008 000000 000000* 116 | L0001020 000000 000000* 117 | L0001032 000000 000000* 118 | L0001044 000000 000000* 119 | L0001056 000000 000000* 120 | L0001068 000000 000000* 121 | L0001080 00000000 00000000* 122 | L0001096 00000000 00000000* 123 | L0001112 00000000 00000000* 124 | L0001128 00000000 00000000* 125 | L0001144 00000000 00000000* 126 | L0001160 00000000 00000000* 127 | L0001176 00000000 00000000* 128 | L0001192 00000000 00000000* 129 | L0001208 00000000 00000000* 130 | L0001224 000000 000000* 131 | L0001236 000010 000000* 132 | L0001248 000000 000000* 133 | L0001260 000000 000000* 134 | L0001272 000000 000000* 135 | L0001284 000001 000000* 136 | L0001296 00000010 00000000* 137 | L0001312 00000001 00000000* 138 | L0001328 00000010 00000000* 139 | L0001344 00000000 00000000* 140 | L0001360 00000010 00000000* 141 | L0001376 00000001 00100000* 142 | L0001392 00000011 00000000* 143 | L0001408 00000011 00000000* 144 | L0001424 00000000 00000000* 145 | L0001440 000000 000000* 146 | L0001452 000000 000000* 147 | L0001464 000000 000000* 148 | L0001476 000000 000000* 149 | L0001488 000001 000000* 150 | L0001500 000000 000000* 151 | L0001512 00000010 00000000* 152 | L0001528 00000001 00000000* 153 | L0001544 01000110 00000000* 154 | L0001560 01000100 00000000* 155 | L0001576 01000110 00000000* 156 | L0001592 00000001 00000000* 157 | L0001608 11000110 00000000* 158 | L0001624 10000111 00000000* 159 | L0001640 10000100 00000000* 160 | L0001656 100001 000000* 161 | L0001668 000001 000000* 162 | L0001680 000001 000000* 163 | L0001692 000001 000000* 164 | L0001704 000000 000000* 165 | L0001716 000000 000000* 166 | L0001728 01100000 00000000* 167 | L0001744 00000100 00000000* 168 | L0001760 01000100 00000000* 169 | L0001776 00000000 00000000* 170 | L0001792 10000000 00000000* 171 | L0001808 00000000 00010000* 172 | L0001824 00000000 00000000* 173 | L0001840 00000000 00000000* 174 | L0001856 00000000 00000000* 175 | L0001872 000000 000000* 176 | L0001884 000000 000000* 177 | L0001896 000000 000000* 178 | L0001908 000010 000000* 179 | L0001920 000010 000000* 180 | L0001932 000000 000000* 181 | L0001944 10000100 00000000* 182 | L0001960 00000000 00000000* 183 | L0001976 00000000 00000000* 184 | L0001992 00000000 00000000* 185 | L0002008 00000000 00000000* 186 | L0002024 10000000 00000000* 187 | L0002040 00000000 00000000* 188 | L0002056 00000100 00000000* 189 | L0002072 00000000 00000000* 190 | L0002088 000001 000000* 191 | L0002100 000010 000000* 192 | L0002112 100010 000000* 193 | L0002124 100001 000000* 194 | L0002136 100001 000000* 195 | L0002148 100001 000000* 196 | L0002160 00000000 00000000* 197 | L0002176 00000000 00000000* 198 | L0002192 00000000 00000000* 199 | L0002208 00000000 00000000* 200 | L0002224 00000000 00000000* 201 | L0002240 00000000 00000000* 202 | L0002256 00000000 00000000* 203 | L0002272 00000000 00000000* 204 | L0002288 00000000 00000000* 205 | L0002304 000000 000000* 206 | L0002316 000000 000000* 207 | L0002328 000000 000000* 208 | L0002340 000000 000000* 209 | L0002352 000000 000000* 210 | L0002364 000000 000000* 211 | L0002376 00000000 00000000* 212 | L0002392 00000000 00000000* 213 | L0002408 10000000 00000000* 214 | L0002424 00000000 00000000* 215 | L0002440 11000100 00000000* 216 | L0002456 01000000 00000000* 217 | L0002472 00000000 00000000* 218 | L0002488 00000000 00000000* 219 | L0002504 10000100 00000000* 220 | L0002520 100000 000000* 221 | L0002532 000000 000000* 222 | L0002544 000001 000000* 223 | L0002556 000000 000000* 224 | L0002568 000010 000000* 225 | L0002580 000000 000000* 226 | L0002592 00000001 00000001* 227 | L0002608 00000011 00000001* 228 | L0002624 00000001 00000001* 229 | L0002640 00000001 00000001* 230 | L0002656 00000011 00000011* 231 | L0002672 00000011 00000011* 232 | L0002688 00000011 00000011* 233 | L0002704 00000011 00000011* 234 | L0002720 00000011 00000011* 235 | L0002736 000000 000000* 236 | L0002748 000000 000000* 237 | L0002760 000000 000000* 238 | L0002772 000000 000000* 239 | L0002784 000000 000000* 240 | L0002796 000000 000000* 241 | L0002808 00000010 00000000* 242 | L0002824 00000000 00000000* 243 | L0002840 00000010 00000000* 244 | L0002856 00000010 00000000* 245 | L0002872 00000000 00000000* 246 | L0002888 00000000 00000000* 247 | L0002904 00000000 00000000* 248 | L0002920 00000000 00000000* 249 | L0002936 00000000 00000000* 250 | L0002952 000000 000000* 251 | L0002964 000000 000000* 252 | L0002976 000000 000000* 253 | L0002988 000000 000000* 254 | L0003000 000000 000000* 255 | L0003012 000000 000000* 256 | L0003024 00000000 00000000* 257 | L0003040 00000010 00000000* 258 | L0003056 00000000 00000000* 259 | L0003072 00000000 00000000* 260 | L0003088 00000000 00000000* 261 | L0003104 00000001 00000000* 262 | L0003120 01000010 00000000* 263 | L0003136 00000000 00000000* 264 | L0003152 00000001 00000000* 265 | L0003168 000000 000000* 266 | L0003180 000000 000000* 267 | L0003192 000000 000000* 268 | L0003204 000000 000000* 269 | L0003216 000000 000000* 270 | L0003228 000000 000000* 271 | L0003240 00000010 00000000* 272 | L0003256 00000000 00000000* 273 | L0003272 00000010 00000000* 274 | L0003288 00000010 00000000* 275 | L0003304 00000010 00000000* 276 | L0003320 00000000 00000000* 277 | L0003336 00000001 00000000* 278 | L0003352 00000001 00000000* 279 | L0003368 00000000 00000000* 280 | L0003384 000000 000000* 281 | L0003396 100000 000000* 282 | L0003408 000000 000000* 283 | L0003420 000000 000000* 284 | L0003432 000000 000000* 285 | L0003444 000000 000000* 286 | L0003456 00000000 00000000* 287 | L0003472 00000010 00000000* 288 | L0003488 00000000 00000000* 289 | L0003504 00000000 00000000* 290 | L0003520 00000000 00000000* 291 | L0003536 00000001 00000000* 292 | L0003552 00000000 00000000* 293 | L0003568 00000000 00000000* 294 | L0003584 00000000 00000000* 295 | L0003600 000000 000000* 296 | L0003612 000000 000000* 297 | L0003624 000000 000000* 298 | L0003636 000000 000000* 299 | L0003648 000000 000000* 300 | L0003660 000000 000000* 301 | L0003672 00000010 00000000* 302 | L0003688 00000000 00000000* 303 | L0003704 00000010 00000000* 304 | L0003720 00000010 00000000* 305 | L0003736 00000000 00000000* 306 | L0003752 00000000 00000000* 307 | L0003768 00000001 00000000* 308 | L0003784 00000001 00000000* 309 | L0003800 00000001 00000000* 310 | L0003816 000000 000000* 311 | L0003828 000000 000000* 312 | L0003840 000000 000000* 313 | L0003852 000000 000000* 314 | L0003864 000000 000000* 315 | L0003876 000000 000000* 316 | L0003888 00000000 00000000* 317 | L0003904 00000010 00000000* 318 | L0003920 00000000 00000000* 319 | L0003936 00000000 00000000* 320 | L0003952 00000000 00000000* 321 | L0003968 00000000 00000000* 322 | L0003984 00000000 00000000* 323 | L0004000 00000000 00000000* 324 | L0004016 00000000 00000000* 325 | L0004032 000000 000000* 326 | L0004044 001000 000000* 327 | L0004056 000000 000000* 328 | L0004068 000000 000000* 329 | L0004080 000000 000000* 330 | L0004092 000000 000000* 331 | L0004104 00000010 00000000* 332 | L0004120 00000000 00000000* 333 | L0004136 00000010 00000000* 334 | L0004152 00000010 00000000* 335 | L0004168 00000000 00000000* 336 | L0004184 00000001 00000000* 337 | L0004200 00000001 00000000* 338 | L0004216 00000001 00000000* 339 | L0004232 00000001 00000000* 340 | L0004248 000000 000000* 341 | L0004260 000000 000000* 342 | L0004272 000000 000000* 343 | L0004284 000000 000000* 344 | L0004296 000000 000000* 345 | L0004308 000000 000000* 346 | L0004320 00000000 00000000* 347 | L0004336 00000010 00000000* 348 | L0004352 00000000 00000000* 349 | L0004368 00000000 00000000* 350 | L0004384 00000000 00000000* 351 | L0004400 00000000 00000000* 352 | L0004416 00000000 00000000* 353 | L0004432 00000000 00000000* 354 | L0004448 00000000 00000000* 355 | L0004464 000000 000000* 356 | L0004476 000000 000000* 357 | L0004488 000000 000000* 358 | L0004500 000000 000000* 359 | L0004512 000000 000000* 360 | L0004524 000000 000000* 361 | L0004536 00000010 00000000* 362 | L0004552 00000000 00000000* 363 | L0004568 00000010 00000000* 364 | L0004584 00000010 00000000* 365 | L0004600 00000000 00000000* 366 | L0004616 00000000 00000000* 367 | L0004632 00000001 00000000* 368 | L0004648 00000001 00000000* 369 | L0004664 00000001 00000000* 370 | L0004680 000000 000000* 371 | L0004692 000000 000000* 372 | L0004704 000000 000000* 373 | L0004716 000000 000000* 374 | L0004728 000000 000000* 375 | L0004740 000000 000000* 376 | L0004752 00000000 00000000* 377 | L0004768 00000000 00000000* 378 | L0004784 00000000 00000000* 379 | L0004800 00000010 00000000* 380 | L0004816 00000010 00000000* 381 | L0004832 00000001 00000000* 382 | L0004848 00000011 00000000* 383 | L0004864 00000001 00000000* 384 | L0004880 00000001 00000000* 385 | L0004896 000000 000000* 386 | L0004908 000000 000000* 387 | L0004920 000000 000000* 388 | L0004932 000000 000000* 389 | L0004944 000000 000000* 390 | L0004956 000000 000000* 391 | L0004968 01000000 00000000* 392 | L0004984 01000110 00000000* 393 | L0005000 00000000 00000000* 394 | L0005016 00000000 00000000* 395 | L0005032 00000000 00000000* 396 | L0005048 00000100 00000000* 397 | L0005064 00000001 00000000* 398 | L0005080 00000001 00000000* 399 | L0005096 00000001 00000000* 400 | L0005112 000000 000000* 401 | L0005124 000000 000000* 402 | L0005136 000000 000000* 403 | L0005148 000000 000000* 404 | L0005160 000000 000000* 405 | L0005172 000000 000000* 406 | L0005184 10000100 00000000* 407 | L0005200 00000010 00000000* 408 | L0005216 00000000 00000000* 409 | L0005232 10000010 00000000* 410 | L0005248 00000000 00000000* 411 | L0005264 10000000 00000000* 412 | L0005280 00000000 00000000* 413 | L0005296 00000100 00000000* 414 | L0005312 00000000 00000000* 415 | L0005328 000001 000000* 416 | L0005340 000010 000100* 417 | L0005352 100010 000000* 418 | L0005364 100011 000000* 419 | L0005376 100001 000000* 420 | L0005388 100001 000000* 421 | L0005400 00000000 00000000* 422 | L0005416 01100000 00000000* 423 | L0005432 00000010 00000000* 424 | L0005448 01000110 00000000* 425 | L0005464 01000110 00000000* 426 | L0005480 01000100 00000000* 427 | L0005496 00000000 00000000* 428 | L0005512 00000000 00000000* 429 | L0005528 00000000 00000000* 430 | L0005544 000000 000000* 431 | L0005556 000000 000000* 432 | L0005568 000000 000000* 433 | L0005580 000000 000000* 434 | L0005592 000000 000000* 435 | L0005604 000000 000000* 436 | L0005616 00000001 00001001* 437 | L0005632 00000011 00000001* 438 | L0005648 01000101 00000001* 439 | L0005664 01000111 00000001* 440 | L0005680 01000111 00000011* 441 | L0005696 00000011 00000011* 442 | L0005712 00000011 00000011* 443 | L0005728 00000011 00000011* 444 | L0005744 00000011 00000011* 445 | L0005760 000000 000000* 446 | L0005772 000000 000000* 447 | L0005784 000000 000000* 448 | L0005796 000000 000000* 449 | L0005808 000000 000000* 450 | L0005820 000000 000000* 451 | L0005832 00000000 00000000* 452 | L0005848 00000000 00000000* 453 | L0005864 00000000 00000000* 454 | L0005880 00000000 00000000* 455 | L0005896 00000000 00000000* 456 | L0005912 00000000 00000000* 457 | L0005928 00000100 00000000* 458 | L0005944 10000000 00000000* 459 | L0005960 10000100 00000000* 460 | L0005976 100000 000000* 461 | L0005988 000001 000000* 462 | L0006000 000001 000000* 463 | L0006012 000000 000000* 464 | L0006024 000000 000000* 465 | L0006036 000000 000000* 466 | L0006048 00000000 00000000* 467 | L0006064 00000000 00000000* 468 | L0006080 00000000 00000000* 469 | L0006096 00000000 00000000* 470 | L0006112 00000000 00000000* 471 | L0006128 00000000 00000000* 472 | L0006144 00000000 00000000* 473 | L0006160 00000000 00000000* 474 | L0006176 00000000 00000000* 475 | L0006192 000000 000000* 476 | L0006204 000000 000000* 477 | L0006216 000000 000000* 478 | L0006228 000000 000000* 479 | L0006240 000000 000000* 480 | L0006252 000000 000000* 481 | L0006264 00000000 00000000* 482 | L0006280 00000000 00000000* 483 | L0006296 00000000 00000000* 484 | L0006312 00000000 00000000* 485 | L0006328 00000000 00000000* 486 | L0006344 00000000 00000000* 487 | L0006360 00000000 00000000* 488 | L0006376 00000000 00000000* 489 | L0006392 00000000 00000000* 490 | L0006408 000000 000000* 491 | L0006420 000000 000000* 492 | L0006432 000000 000000* 493 | L0006444 000000 000000* 494 | L0006456 000000 000000* 495 | L0006468 000000 000000* 496 | L0006480 00000001 00000000* 497 | L0006496 00000001 00000000* 498 | L0006512 00000001 00000000* 499 | L0006528 00000001 00000000* 500 | L0006544 00000001 00000000* 501 | L0006560 00000010 00000000* 502 | L0006576 00000000 00000000* 503 | L0006592 00000010 00000000* 504 | L0006608 00000010 00000000* 505 | L0006624 000000 000000* 506 | L0006636 000000 010000* 507 | L0006648 000000 000000* 508 | L0006660 000000 000000* 509 | L0006672 000000 000000* 510 | L0006684 000000 000000* 511 | L0006696 00000000 00000000* 512 | L0006712 00000000 00000000* 513 | L0006728 00000000 00000000* 514 | L0006744 00000000 00000000* 515 | L0006760 00000000 00000000* 516 | L0006776 00000000 00000000* 517 | L0006792 00000000 00000000* 518 | L0006808 00000000 00000000* 519 | L0006824 00000000 00000000* 520 | L0006840 000000 000000* 521 | L0006852 000000 000000* 522 | L0006864 000000 000000* 523 | L0006876 000000 000000* 524 | L0006888 000000 000000* 525 | L0006900 000000 000000* 526 | L0006912 00000001 00000001* 527 | L0006928 00000011 00000001* 528 | L0006944 00000001 00000001* 529 | L0006960 00000011 00000001* 530 | L0006976 00000011 00000011* 531 | L0006992 00000011 00000011* 532 | L0007008 00000011 00000011* 533 | L0007024 00000011 00000011* 534 | L0007040 00000011 00000011* 535 | L0007056 000000 000000* 536 | L0007068 000000 000000* 537 | L0007080 000000 000000* 538 | L0007092 000000 000000* 539 | L0007104 000000 000000* 540 | L0007116 000000 000000* 541 | L0007128 00000000 00000000* 542 | L0007144 00000000 00000000* 543 | L0007160 00000000 00000000* 544 | L0007176 00000000 00000000* 545 | L0007192 00000000 00000000* 546 | L0007208 00000000 00000000* 547 | L0007224 00000000 00000000* 548 | L0007240 00000000 00000000* 549 | L0007256 00000000 00000000* 550 | L0007272 000000 000000* 551 | L0007284 000000 000000* 552 | L0007296 000000 000000* 553 | L0007308 000000 000000* 554 | L0007320 000000 000000* 555 | L0007332 000000 000000* 556 | L0007344 00000000 00100000* 557 | L0007360 00000000 00000000* 558 | L0007376 00000000 00000000* 559 | L0007392 00000000 00000000* 560 | L0007408 00000000 00000000* 561 | L0007424 00000000 00000000* 562 | L0007440 00000000 00000000* 563 | L0007456 00000000 00000000* 564 | L0007472 00000000 00000000* 565 | L0007488 000000 000000* 566 | L0007500 000000 000000* 567 | L0007512 000000 000000* 568 | L0007524 000000 000000* 569 | L0007536 000000 000000* 570 | L0007548 000000 000000* 571 | L0007560 00000000 00000000* 572 | L0007576 00000000 00000000* 573 | L0007592 00000000 00000000* 574 | L0007608 00000000 00000000* 575 | L0007624 00000000 00000000* 576 | L0007640 00000000 00000000* 577 | L0007656 00000000 00000000* 578 | L0007672 00000000 00000000* 579 | L0007688 00000000 00000000* 580 | L0007704 000000 000000* 581 | L0007716 000000 000000* 582 | L0007728 000000 000000* 583 | L0007740 000000 000000* 584 | L0007752 000000 000000* 585 | L0007764 000000 000000* 586 | L0007776 00000000 00000000* 587 | L0007792 00000000 00000000* 588 | L0007808 00000000 00000000* 589 | L0007824 00000000 00000000* 590 | L0007840 00000000 00000000* 591 | L0007856 00000000 00000000* 592 | L0007872 00000000 00000000* 593 | L0007888 00000000 00000000* 594 | L0007904 00000000 00000000* 595 | L0007920 000000 000000* 596 | L0007932 010000 000000* 597 | L0007944 000000 000000* 598 | L0007956 000000 000000* 599 | L0007968 000000 000000* 600 | L0007980 000000 000000* 601 | L0007992 00000000 00000000* 602 | L0008008 00000000 00000000* 603 | L0008024 00000000 00000000* 604 | L0008040 00000000 00000000* 605 | L0008056 00000000 00000000* 606 | L0008072 00000000 00000000* 607 | L0008088 00000000 00000000* 608 | L0008104 00000000 00000000* 609 | L0008120 00000000 00000000* 610 | L0008136 000000 000000* 611 | L0008148 000000 000000* 612 | L0008160 000000 000000* 613 | L0008172 000000 000000* 614 | L0008184 000000 000000* 615 | L0008196 000000 000000* 616 | L0008208 00000000 00010000* 617 | L0008224 00000000 00000000* 618 | L0008240 00000000 00000000* 619 | L0008256 00000000 00000000* 620 | L0008272 00000000 00000000* 621 | L0008288 00000000 00000000* 622 | L0008304 00000000 00000000* 623 | L0008320 00000000 00000000* 624 | L0008336 00000000 00000000* 625 | L0008352 000000 000000* 626 | L0008364 000000 000000* 627 | L0008376 000000 000000* 628 | L0008388 000000 000000* 629 | L0008400 000000 000000* 630 | L0008412 000000 000000* 631 | L0008424 00000000 00000000* 632 | L0008440 00000000 00000000* 633 | L0008456 00000000 00000000* 634 | L0008472 00000000 00000000* 635 | L0008488 00000000 00000000* 636 | L0008504 00000000 00000000* 637 | L0008520 00000000 00000000* 638 | L0008536 00000000 00000000* 639 | L0008552 00000000 00000000* 640 | L0008568 000000 000000* 641 | L0008580 000000 000000* 642 | L0008592 000000 000000* 643 | L0008604 000000 000000* 644 | L0008616 000000 000000* 645 | L0008628 000000 000000* 646 | L0008640 00000000 00000000* 647 | L0008656 00000000 00000000* 648 | L0008672 00000000 00000000* 649 | L0008688 00000000 00000000* 650 | L0008704 00000000 00000000* 651 | L0008720 00000000 00000000* 652 | L0008736 00000000 00000000* 653 | L0008752 00000000 00000000* 654 | L0008768 00000000 00000000* 655 | L0008784 000000 000000* 656 | L0008796 000000 000000* 657 | L0008808 000000 000000* 658 | L0008820 000000 000000* 659 | L0008832 000000 000000* 660 | L0008844 000000 000000* 661 | L0008856 00000000 00000000* 662 | L0008872 00000000 00000000* 663 | L0008888 00000000 00000000* 664 | L0008904 00000000 00000000* 665 | L0008920 00000000 00000000* 666 | L0008936 00000000 00000000* 667 | L0008952 00000000 00000000* 668 | L0008968 00000000 00000000* 669 | L0008984 00000000 00000000* 670 | L0009000 000000 000000* 671 | L0009012 000000 000000* 672 | L0009024 000000 000000* 673 | L0009036 000000 000000* 674 | L0009048 000000 000000* 675 | L0009060 000000 000000* 676 | L0009072 00000000 00000000* 677 | L0009088 00000000 00000000* 678 | L0009104 00000000 00000000* 679 | L0009120 00000000 00000000* 680 | L0009136 00000000 00000000* 681 | L0009152 00000000 00000000* 682 | L0009168 00000000 00000000* 683 | L0009184 00000000 00000000* 684 | L0009200 00000000 00000000* 685 | L0009216 000000 000000* 686 | L0009228 000000 000000* 687 | L0009240 000000 000000* 688 | L0009252 000000 000000* 689 | L0009264 000000 000000* 690 | L0009276 000000 000000* 691 | L0009288 00000000 00000000* 692 | L0009304 00000000 00000000* 693 | L0009320 00000000 00000000* 694 | L0009336 00000000 00000000* 695 | L0009352 00000000 00000000* 696 | L0009368 00000000 00000000* 697 | L0009384 00000000 00000000* 698 | L0009400 00000000 00000000* 699 | L0009416 00000000 00000000* 700 | L0009432 000000 000000* 701 | L0009444 000000 000000* 702 | L0009456 000000 000000* 703 | L0009468 000000 000000* 704 | L0009480 000000 000000* 705 | L0009492 000000 000000* 706 | L0009504 00010000 00000000* 707 | L0009520 00000000 00000000* 708 | L0009536 00000000 00000000* 709 | L0009552 00000000 00000000* 710 | L0009568 00000000 00000000* 711 | L0009584 00000000 00000000* 712 | L0009600 00000000 00000000* 713 | L0009616 00000000 00000000* 714 | L0009632 00000000 00000000* 715 | L0009648 000000 000000* 716 | L0009660 000000 000000* 717 | L0009672 000000 000000* 718 | L0009684 000000 000000* 719 | L0009696 000000 000000* 720 | L0009708 000000 000000* 721 | L0009720 00000011 00000001* 722 | L0009736 00000011 00000001* 723 | L0009752 00000011 00000001* 724 | L0009768 00000011 00000001* 725 | L0009784 00000011 00000011* 726 | L0009800 00000011 00000011* 727 | L0009816 00000011 00000011* 728 | L0009832 00000011 00000011* 729 | L0009848 00000011 00000011* 730 | L0009864 000000 000000* 731 | L0009876 000000 000000* 732 | L0009888 000000 000000* 733 | L0009900 000000 000000* 734 | L0009912 000000 000000* 735 | L0009924 000000 000000* 736 | L0009936 00000010 00000000* 737 | L0009952 00000010 00000000* 738 | L0009968 00000010 00000000* 739 | L0009984 00000010 00000000* 740 | L0010000 00000010 00000000* 741 | L0010016 00000001 00000000* 742 | L0010032 00000011 00000000* 743 | L0010048 00000001 00000000* 744 | L0010064 00000001 00000000* 745 | L0010080 000000 000000* 746 | L0010092 000000 000000* 747 | L0010104 000000 000000* 748 | L0010116 000000 000000* 749 | L0010128 000000 000000* 750 | L0010140 000000 000000* 751 | L0010152 00000010 00000000* 752 | L0010168 00000010 00000000* 753 | L0010184 00000010 00000000* 754 | L0010200 00000010 00000000* 755 | L0010216 00000000 00000000* 756 | L0010232 00000001 00000000* 757 | L0010248 00000001 00000000* 758 | L0010264 00000001 00000000* 759 | L0010280 00000001 00000000* 760 | L0010296 000000 000000* 761 | L0010308 000000 000000* 762 | L0010320 000000 000000* 763 | L0010332 000000 000000* 764 | L0010344 000000 000000* 765 | L0010356 000000 000000* 766 | L0010368 00000010 00000000* 767 | L0010384 00000010 00000000* 768 | L0010400 00000010 00000000* 769 | L0010416 00000010 00000000* 770 | L0010432 00000000 00000000* 771 | L0010448 00000001 00000000* 772 | L0010464 00000001 00000000* 773 | L0010480 00000001 00000000* 774 | L0010496 00000001 00000000* 775 | L0010512 000000 000000* 776 | L0010524 000000 000000* 777 | L0010536 000000 000000* 778 | L0010548 000000 000000* 779 | L0010560 000000 000000* 780 | L0010572 000000 000000* 781 | L0010584 00000010 00000000* 782 | L0010600 00000010 00000000* 783 | L0010616 00000010 00000000* 784 | L0010632 00000010 00000000* 785 | L0010648 00000000 00000000* 786 | L0010664 00000000 00000000* 787 | L0010680 00000001 00000000* 788 | L0010696 00000001 00000000* 789 | L0010712 00000001 00000000* 790 | L0010728 000000 000000* 791 | L0010740 000000 000000* 792 | L0010752 000000 000000* 793 | L0010764 000000 000000* 794 | L0010776 000000 000000* 795 | L0010788 000000 000000* 796 | L0010800 00000011 00000000* 797 | L0010816 00000000 00000000* 798 | L0010832 00000000 00000000* 799 | L0010848 00000000 00000000* 800 | L0010864 00000001 00000000* 801 | L0010880 00000000 00000000* 802 | L0010896 00000000 00000000* 803 | L0010912 00000000 00000000* 804 | L0010928 00000000 00000000* 805 | L0010944 000000 000000* 806 | L0010956 000000 000000* 807 | L0010968 000000 000000* 808 | L0010980 000000 000000* 809 | L0010992 000000 000000* 810 | L0011004 000000 000000* 811 | L0011016 00000000 00000000* 812 | L0011032 00000000 00000000* 813 | L0011048 00000000 00000000* 814 | L0011064 00000000 00000000* 815 | L0011080 00000000 00000010* 816 | L0011096 00000000 00000000* 817 | L0011112 00000000 00000000* 818 | L0011128 00000000 00000000* 819 | L0011144 00000000 00000000* 820 | L0011160 000000 000000* 821 | L0011172 000000 000000* 822 | L0011184 000000 000000* 823 | L0011196 000000 000000* 824 | L0011208 000000 000000* 825 | L0011220 000000 000000* 826 | L0011232 00000001 00000100* 827 | L0011248 00000010 00000000* 828 | L0011264 00000000 00000000* 829 | L0011280 00000000 00000000* 830 | L0011296 00000000 00000000* 831 | L0011312 00000000 00000000* 832 | L0011328 00000000 00000000* 833 | L0011344 00000000 00000000* 834 | L0011360 00000000 00000000* 835 | L0011376 000000 000000* 836 | L0011388 000000 000000* 837 | L0011400 000000 000000* 838 | L0011412 000000 000000* 839 | L0011424 000000 000000* 840 | L0011436 000000 000000* 841 | L0011448 00000010 00000000* 842 | L0011464 00000000 00000000* 843 | L0011480 00000000 00000000* 844 | L0011496 00000000 00000000* 845 | L0011512 00000000 00000010* 846 | L0011528 00000000 00000000* 847 | L0011544 00000000 00000000* 848 | L0011560 00000000 00000000* 849 | L0011576 00000000 00000000* 850 | L0011592 000000 000000* 851 | L0011604 000000 000000* 852 | L0011616 000000 000000* 853 | L0011628 000000 000000* 854 | L0011640 000000 000000* 855 | L0011652 000000 000000* 856 | L0011664 00001010 00000000* 857 | L0011680 00000000 00000000* 858 | L0011696 00000000 00000000* 859 | L0011712 00000000 00000000* 860 | L0011728 00000000 00000011* 861 | L0011744 00000000 00000000* 862 | L0011760 00000000 00000000* 863 | L0011776 00000000 00000000* 864 | L0011792 00000000 00000000* 865 | L0011808 000000 000000* 866 | L0011820 000000 000000* 867 | L0011832 000000 000000* 868 | L0011844 000000 000000* 869 | L0011856 000000 000000* 870 | L0011868 000000 000000* 871 | L0011880 00000001 00000000* 872 | L0011896 00000010 00000000* 873 | L0011912 00000000 00000000* 874 | L0011928 00000000 00000000* 875 | L0011944 00000001 00000000* 876 | L0011960 00000000 00000000* 877 | L0011976 00000000 00000000* 878 | L0011992 00000000 00000000* 879 | L0012008 00000000 00000000* 880 | L0012024 000000 000000* 881 | L0012036 000000 000000* 882 | L0012048 000000 000000* 883 | L0012060 000000 000000* 884 | L0012072 000000 000000* 885 | L0012084 000000 000000* 886 | L0012096 00000000 00000000* 887 | L0012112 00000001 00000000* 888 | L0012128 00000000 00000000* 889 | L0012144 00000000 00000000* 890 | L0012160 00000000 00000000* 891 | L0012176 00000000 00000000* 892 | L0012192 00000000 00000000* 893 | L0012208 00000000 00000000* 894 | L0012224 00000000 00000000* 895 | L0012240 000000 000000* 896 | L0012252 000000 000000* 897 | L0012264 000000 000000* 898 | L0012276 000000 000000* 899 | L0012288 000000 000000* 900 | L0012300 000000 000000* 901 | L0012312 00000001 00000000* 902 | L0012328 00000010 00000000* 903 | L0012344 00000000 00000000* 904 | L0012360 00000000 00000000* 905 | L0012376 00000000 00000000* 906 | L0012392 00000000 00000000* 907 | L0012408 00000000 00000000* 908 | L0012424 00000000 00000000* 909 | L0012440 00000000 00000000* 910 | L0012456 000000 000000* 911 | L0012468 000000 000000* 912 | L0012480 000000 000000* 913 | L0012492 000000 000000* 914 | L0012504 000000 000000* 915 | L0012516 000000 000000* 916 | L0012528 00000001 00000000* 917 | L0012544 00000000 00000000* 918 | L0012560 00000000 00000000* 919 | L0012576 00000000 00000000* 920 | L0012592 00000001 00000000* 921 | L0012608 00000000 00000000* 922 | L0012624 00000000 00000000* 923 | L0012640 00000000 00000000* 924 | L0012656 00000000 00000000* 925 | L0012672 000000 000000* 926 | L0012684 000000 000000* 927 | L0012696 000000 000000* 928 | L0012708 000000 000000* 929 | L0012720 000000 000000* 930 | L0012732 000000 000000* 931 | L0012744 10000011 00000001* 932 | L0012760 00000000 00000000* 933 | L0012776 00000000 00000000* 934 | L0012792 00000000 00000000* 935 | L0012808 00000010 00000001* 936 | L0012824 00000000 00000000* 937 | L0012840 10000000 00000000* 938 | L0012856 00000000 00000000* 939 | L0012872 00000000 00000000* 940 | L0012888 100001 000000* 941 | L0012900 000001 000000* 942 | L0012912 000001 000000* 943 | L0012924 000000 000000* 944 | L0012936 000000 000000* 945 | L0012948 000000 000000* 946 | L0012960 00000001 00000000* 947 | L0012976 00000000 00000000* 948 | L0012992 00000000 00000000* 949 | L0013008 00000000 00000000* 950 | L0013024 00000001 00000000* 951 | L0013040 00000000 00000000* 952 | L0013056 00000000 00000000* 953 | L0013072 00000000 00000000* 954 | L0013088 00000000 00000000* 955 | L0013104 000000 000000* 956 | L0013116 000000 000000* 957 | L0013128 000000 000000* 958 | L0013140 000000 000000* 959 | L0013152 000000 000000* 960 | L0013164 000000 000000* 961 | L0013176 00000011 00000001* 962 | L0013192 00000000 00000000* 963 | L0013208 00000000 00000000* 964 | L0013224 00000000 00000000* 965 | L0013240 00000000 00000001* 966 | L0013256 00000000 00000000* 967 | L0013272 00000000 00000000* 968 | L0013288 00000000 00000000* 969 | L0013304 00000000 00000000* 970 | L0013320 000000 000000* 971 | L0013332 000000 000000* 972 | L0013344 000000 000000* 973 | L0013356 000000 000000* 974 | L0013368 000000 000000* 975 | L0013380 000000 000000* 976 | L0013392 00000011 00000010* 977 | L0013408 00000000 00000000* 978 | L0013424 00000000 00000000* 979 | L0013440 00000000 00000000* 980 | L0013456 00000001 00000010* 981 | L0013472 00000000 00000000* 982 | L0013488 00000000 00000000* 983 | L0013504 00000000 00000000* 984 | L0013520 00000000 00000000* 985 | L0013536 000000 000000* 986 | L0013548 000000 001000* 987 | L0013560 000000 000000* 988 | L0013572 000000 000000* 989 | L0013584 000000 000000* 990 | L0013596 000000 000000* 991 | L0013608 00000010 00000010* 992 | L0013624 00000000 00000000* 993 | L0013640 00000000 00000000* 994 | L0013656 00000000 00000000* 995 | L0013672 00000000 00000010* 996 | L0013688 00000000 00000000* 997 | L0013704 00000000 00000000* 998 | L0013720 00000000 00000000* 999 | L0013736 00000000 00000000* 1000 | L0013752 000000 000000* 1001 | L0013764 000000 000000* 1002 | L0013776 000000 000000* 1003 | L0013788 000000 000000* 1004 | L0013800 000000 000000* 1005 | L0013812 000000 000000* 1006 | L0013824 00000001 00000000* 1007 | L0013840 00000000 00000000* 1008 | L0013856 00000000 00000000* 1009 | L0013872 00000000 00000000* 1010 | L0013888 00000000 00000000* 1011 | L0013904 00000000 00000000* 1012 | L0013920 00000000 00000000* 1013 | L0013936 00000000 00000000* 1014 | L0013952 00000000 00000000* 1015 | L0013968 000000 000000* 1016 | L0013980 000100 000000* 1017 | L0013992 000000 000000* 1018 | L0014004 000000 000000* 1019 | L0014016 000000 000000* 1020 | L0014028 000000 000000* 1021 | L0014040 00000000 00000000* 1022 | L0014056 00000000 00000000* 1023 | L0014072 00000000 00000000* 1024 | L0014088 00000000 00000000* 1025 | L0014104 00000000 00000010* 1026 | L0014120 00000000 00000000* 1027 | L0014136 00000000 00000000* 1028 | L0014152 00000000 00000000* 1029 | L0014168 00000000 00000000* 1030 | L0014184 000000 000000* 1031 | L0014196 000000 000000* 1032 | L0014208 000000 000000* 1033 | L0014220 000000 000000* 1034 | L0014232 000000 000000* 1035 | L0014244 000000 000000* 1036 | L0014256 00000000 00000001* 1037 | L0014272 00000000 00000000* 1038 | L0014288 00000000 00000000* 1039 | L0014304 00000000 00000000* 1040 | L0014320 00000000 00000001* 1041 | L0014336 00000000 00000000* 1042 | L0014352 00000000 00000000* 1043 | L0014368 00000000 00000000* 1044 | L0014384 00000000 00000000* 1045 | L0014400 000000 000000* 1046 | L0014412 000000 000000* 1047 | L0014424 000000 000000* 1048 | L0014436 000000 000000* 1049 | L0014448 000000 000000* 1050 | L0014460 000000 000000* 1051 | L0014472 01000000 00000000* 1052 | L0014488 01000100 00000000* 1053 | L0014504 00000000 00000000* 1054 | L0014520 00000000 00000000* 1055 | L0014536 00000000 00000010* 1056 | L0014552 00000100 00000000* 1057 | L0014568 00000000 00000000* 1058 | L0014584 00000000 00000000* 1059 | L0014600 00000000 00000000* 1060 | L0014616 000000 000000* 1061 | L0014628 000010 000000* 1062 | L0014640 000000 000000* 1063 | L0014652 000000 000000* 1064 | L0014664 000000 000000* 1065 | L0014676 000001 000000* 1066 | L0014688 00000010 00000000* 1067 | L0014704 00000000 00000000* 1068 | L0014720 00000000 00000000* 1069 | L0014736 00000000 00000000* 1070 | L0014752 00000010 00000000* 1071 | L0014768 00000000 00000000* 1072 | L0014784 01000100 00000000* 1073 | L0014800 10000100 00000000* 1074 | L0014816 10000100 00000000* 1075 | L0014832 000000 000000* 1076 | L0014844 000000 000000* 1077 | L0014856 000000 000000* 1078 | L0014868 000000 000000* 1079 | L0014880 100000 000000* 1080 | L0014892 000000 000000* 1081 | L0014904 00100000 00000000* 1082 | L0014920 10100000 00000000* 1083 | L0014936 11000100 00000000* 1084 | L0014952 11000100 00000000* 1085 | L0014968 11000100 00000010* 1086 | L0014984 01000000 00000000* 1087 | L0015000 10000000 00000000* 1088 | L0015016 00000000 00000000* 1089 | L0015032 00000000 00000000* 1090 | L0015048 000000 000000* 1091 | L0015060 000000 000000* 1092 | L0015072 000000 000000* 1093 | L0015084 000010 000000* 1094 | L0015096 000010 000000* 1095 | L0015108 000000 000000* 1096 | L0015120 00000000 00000000* 1097 | L0015136 00000000 00000000* 1098 | L0015152 00000000 00000000* 1099 | L0015168 00000000 00000000* 1100 | L0015184 00000000 00000000* 1101 | L0015200 00001000 00000000* 1102 | L0015216 00000000 00000000* 1103 | L0015232 00000000 00000000* 1104 | L0015248 00000000 00000000* 1105 | L0015264 000000 000000* 1106 | L0015276 000000 000000* 1107 | L0015288 000000 000000* 1108 | L0015300 000000 000000* 1109 | L0015312 000000 000000* 1110 | L0015324 000000 000000* 1111 | L0015336 00000000 00000000* 1112 | L0015352 00000000 00000000* 1113 | L0015368 00000000 00000000* 1114 | L0015384 00000000 00000000* 1115 | L0015400 00000000 00000000* 1116 | L0015416 00000000 00000000* 1117 | L0015432 00000000 00000000* 1118 | L0015448 00000000 00000000* 1119 | L0015464 00000000 00000000* 1120 | L0015480 000000 000000* 1121 | L0015492 000000 000000* 1122 | L0015504 000000 000000* 1123 | L0015516 000000 000000* 1124 | L0015528 000000 000000* 1125 | L0015540 000000 000000* 1126 | L0015552 00000111 00000000* 1127 | L0015568 00000000 00000000* 1128 | L0015584 00000100 00000000* 1129 | L0015600 00000100 00000000* 1130 | L0015616 01000110 00000000* 1131 | L0015632 00000000 00001000* 1132 | L0015648 01000100 00000000* 1133 | L0015664 10000100 00000000* 1134 | L0015680 10000100 00000000* 1135 | L0015696 100001 000000* 1136 | L0015708 000001 000000* 1137 | L0015720 000001 000000* 1138 | L0015732 000000 000000* 1139 | L0015744 000011 000000* 1140 | L0015756 000000 000000* 1141 | L0015768 00100000 00000000* 1142 | L0015784 10100000 00000000* 1143 | L0015800 10000000 00000000* 1144 | L0015816 10000000 00000000* 1145 | L0015832 00000000 00000001* 1146 | L0015848 01000000 00000000* 1147 | L0015864 00000000 00000000* 1148 | L0015880 00000000 00000000* 1149 | L0015896 00000000 00000000* 1150 | L0015912 000000 000000* 1151 | L0015924 000000 000000* 1152 | L0015936 100010 000000* 1153 | L0015948 100010 000000* 1154 | L0015960 000000 000000* 1155 | L0015972 000000 000000* 1156 | L0015984 00000000 00000000* 1157 | L0016000 00000000 00000000* 1158 | L0016016 00000000 00000000* 1159 | L0016032 00000000 00000000* 1160 | L0016048 00000000 00000000* 1161 | L0016064 00010000 00000000* 1162 | L0016080 00000000 00000000* 1163 | L0016096 00000000 00000000* 1164 | L0016112 00000000 00000000* 1165 | L0016128 000000 000000* 1166 | L0016140 000000 000000* 1167 | L0016152 000000 000000* 1168 | L0016164 000000 000000* 1169 | L0016176 000000 000000* 1170 | L0016188 000000 000000* 1171 | L0016200 00000000 00000000* 1172 | L0016216 00000000 00000000* 1173 | L0016232 00000000 00000000* 1174 | L0016248 00000000 00000000* 1175 | L0016264 00000000 00000000* 1176 | L0016280 00000000 00000000* 1177 | L0016296 00000000 00000000* 1178 | L0016312 00000000 00000000* 1179 | L0016328 00000000 00000000* 1180 | L0016344 000000 000000* 1181 | L0016356 000000 000000* 1182 | L0016368 000000 000000* 1183 | L0016380 000000 000000* 1184 | L0016392 000000 000000* 1185 | L0016404 000000 000000* 1186 | L0016416 00000000 00000010* 1187 | L0016432 00000000 00000000* 1188 | L0016448 00000000 00000000* 1189 | L0016464 00000000 00000000* 1190 | L0016480 00000000 00000010* 1191 | L0016496 00000000 00000100* 1192 | L0016512 00000000 00000000* 1193 | L0016528 00000000 00000000* 1194 | L0016544 00000000 00000000* 1195 | L0016560 000000 000000* 1196 | L0016572 000000 000000* 1197 | L0016584 000000 000000* 1198 | L0016596 000000 000000* 1199 | L0016608 000000 000000* 1200 | L0016620 000000 000000* 1201 | L0016632 00100000 00000000* 1202 | L0016648 10100000 00000000* 1203 | L0016664 11000100 00000000* 1204 | L0016680 11000100 00000000* 1205 | L0016696 10000000 00000000* 1206 | L0016712 01000000 00000000* 1207 | L0016728 10000100 00000000* 1208 | L0016744 10000000 00000000* 1209 | L0016760 00000000 00000000* 1210 | L0016776 000000 000000* 1211 | L0016788 000001 000000* 1212 | L0016800 000000 000000* 1213 | L0016812 000010 000000* 1214 | L0016824 000010 000000* 1215 | L0016836 000000 000000* 1216 | L0016848 00000010 00000010* 1217 | L0016864 00000010 00000000* 1218 | L0016880 00000000 00000000* 1219 | L0016896 00000000 00000000* 1220 | L0016912 00000000 00000000* 1221 | L0016928 00100000 00000000* 1222 | L0016944 00000010 00000010* 1223 | L0016960 00000000 00000000* 1224 | L0016976 00000000 00000000* 1225 | L0016992 000000 000000* 1226 | L0017004 000000 000000* 1227 | L0017016 000000 000000* 1228 | L0017028 000000 000000* 1229 | L0017040 000000 000000* 1230 | L0017052 000000 000000* 1231 | L0017064 00000000 00000000* 1232 | L0017080 00000000 00000000* 1233 | L0017096 00000000 00000000* 1234 | L0017112 00000000 00000000* 1235 | L0017128 00000000 00000000* 1236 | L0017144 00000000 00000000* 1237 | L0017160 00000000 00000000* 1238 | L0017176 00000000 00000000* 1239 | L0017192 00000000 00000000* 1240 | L0017208 000000 000000* 1241 | L0017220 000000 000000* 1242 | L0017232 000000 000000* 1243 | L0017244 000000 000000* 1244 | L0017256 000000 000000* 1245 | L0017268 000000 000000* 1246 | L0017280 00000000 00000000* 1247 | L0017296 00000000 00000000* 1248 | L0017312 00000000 00000000* 1249 | L0017328 00000000 00000000* 1250 | L0017344 00000000 00000000* 1251 | L0017360 00000000 00000000* 1252 | L0017376 00000000 00000000* 1253 | L0017392 00000000 00000000* 1254 | L0017408 00000000 00000000* 1255 | L0017424 000000 000000* 1256 | L0017436 000000 000000* 1257 | L0017448 000000 000000* 1258 | L0017460 000000 000000* 1259 | L0017472 000000 000000* 1260 | L0017484 000000 000000* 1261 | L0017496 00000000 00000000* 1262 | L0017512 00000000 00000000* 1263 | L0017528 00000000 00000000* 1264 | L0017544 00000000 00000000* 1265 | L0017560 00000000 00000000* 1266 | L0017576 00000000 00000000* 1267 | L0017592 00000000 00000000* 1268 | L0017608 00000000 00000000* 1269 | L0017624 00000000 00000000* 1270 | L0017640 000000 000000* 1271 | L0017652 000000 000000* 1272 | L0017664 000000 000000* 1273 | L0017676 000000 000000* 1274 | L0017688 000000 000000* 1275 | L0017700 000000 000000* 1276 | L0017712 00000000 00000000* 1277 | L0017728 00000000 00000000* 1278 | L0017744 00000000 00000000* 1279 | L0017760 00000000 00000000* 1280 | L0017776 00000000 00000000* 1281 | L0017792 10000000 00000000* 1282 | L0017808 00000000 00000000* 1283 | L0017824 00000000 00000000* 1284 | L0017840 00000000 00000000* 1285 | L0017856 000000 000000* 1286 | L0017868 000000 000000* 1287 | L0017880 000000 000000* 1288 | L0017892 000001 000000* 1289 | L0017904 000000 000000* 1290 | L0017916 000000 000000* 1291 | L0017928 10100100 00000000* 1292 | L0017944 10100000 00000000* 1293 | L0017960 11000100 00000000* 1294 | L0017976 11000100 00000000* 1295 | L0017992 11000100 00000000* 1296 | L0018008 01000000 00000000* 1297 | L0018024 11000100 00000000* 1298 | L0018040 10000100 00000000* 1299 | L0018056 10000100 00000000* 1300 | L0018072 100001 000000* 1301 | L0018084 000001 000000* 1302 | L0018096 000011 000000* 1303 | L0018108 000010 000000* 1304 | L0018120 100010 000000* 1305 | L0018132 100000 000000* 1306 | L0018144 00000000 00000000* 1307 | L0018160 00000000 00000000* 1308 | L0018176 00000000 00000000* 1309 | L0018192 00000000 00000000* 1310 | L0018208 00000000 00000000* 1311 | L0018224 00000000 00000000* 1312 | L0018240 00000000 00000000* 1313 | L0018256 00000000 00000000* 1314 | L0018272 00000000 00000000* 1315 | L0018288 000000 000000* 1316 | L0018300 000000 000000* 1317 | L0018312 000000 000000* 1318 | L0018324 000000 000000* 1319 | L0018336 000000 000000* 1320 | L0018348 000000 000000* 1321 | L0018360 00000000 00000000* 1322 | L0018376 00000000 00000000* 1323 | L0018392 00000000 00000000* 1324 | L0018408 00000000 00000000* 1325 | L0018424 00000000 00000000* 1326 | L0018440 00000000 00000000* 1327 | L0018456 00000000 00000000* 1328 | L0018472 00000000 00000000* 1329 | L0018488 00000000 00000000* 1330 | L0018504 000000 000000* 1331 | L0018516 000000 000000* 1332 | L0018528 000000 000000* 1333 | L0018540 000000 000000* 1334 | L0018552 000000 000000* 1335 | L0018564 000000 000000* 1336 | L0018576 00000000 00000000* 1337 | L0018592 00000000 00000000* 1338 | L0018608 00000000 00000000* 1339 | L0018624 00000000 00000000* 1340 | L0018640 00000000 00000000* 1341 | L0018656 00000000 00000000* 1342 | L0018672 00000000 00000000* 1343 | L0018688 00000000 00000000* 1344 | L0018704 00000000 00000000* 1345 | L0018720 000000 000000* 1346 | L0018732 000000 000010* 1347 | L0018744 000000 000000* 1348 | L0018756 000000 000000* 1349 | L0018768 000000 000000* 1350 | L0018780 000000 000000* 1351 | L0018792 00000000 00000000* 1352 | L0018808 00000000 00000000* 1353 | L0018824 00000000 00000000* 1354 | L0018840 00000000 00000000* 1355 | L0018856 00000000 00000000* 1356 | L0018872 00000000 00000000* 1357 | L0018888 00000000 00000000* 1358 | L0018904 00000000 00000000* 1359 | L0018920 00000000 00000000* 1360 | L0018936 000000 000000* 1361 | L0018948 000000 000000* 1362 | L0018960 000000 000000* 1363 | L0018972 000000 000000* 1364 | L0018984 000000 000000* 1365 | L0018996 000000 000000* 1366 | L0019008 00000000 00000000* 1367 | L0019024 00000000 00000000* 1368 | L0019040 00000000 00000000* 1369 | L0019056 00000000 00000000* 1370 | L0019072 00000000 00000000* 1371 | L0019088 00000000 00000000* 1372 | L0019104 00000000 00000000* 1373 | L0019120 00000000 00000000* 1374 | L0019136 00000000 00000000* 1375 | L0019152 000000 000000* 1376 | L0019164 000000 000000* 1377 | L0019176 000000 000000* 1378 | L0019188 000000 000000* 1379 | L0019200 000000 000000* 1380 | L0019212 000000 000000* 1381 | L0019224 00000000 00000000* 1382 | L0019240 00000000 00000000* 1383 | L0019256 00000000 00000000* 1384 | L0019272 00000000 00000000* 1385 | L0019288 00000000 00000000* 1386 | L0019304 00000000 00000000* 1387 | L0019320 00000000 00000000* 1388 | L0019336 00000000 00000000* 1389 | L0019352 00000000 00000000* 1390 | L0019368 000000 000000* 1391 | L0019380 000000 000000* 1392 | L0019392 000000 000000* 1393 | L0019404 000000 000000* 1394 | L0019416 000000 000000* 1395 | L0019428 000000 000000* 1396 | L0019440 00000000 00000000* 1397 | L0019456 00000000 00000000* 1398 | L0019472 00000000 00000000* 1399 | L0019488 00000000 00000000* 1400 | L0019504 00000000 00000000* 1401 | L0019520 00000000 00000000* 1402 | L0019536 00000000 00000000* 1403 | L0019552 00000000 00000000* 1404 | L0019568 00000000 00000000* 1405 | L0019584 000000 000000* 1406 | L0019596 000000 000000* 1407 | L0019608 000000 000000* 1408 | L0019620 000000 000000* 1409 | L0019632 000000 000000* 1410 | L0019644 000000 000000* 1411 | L0019656 00000000 00000000* 1412 | L0019672 00000000 00000000* 1413 | L0019688 00000000 00000000* 1414 | L0019704 00000000 00000000* 1415 | L0019720 00000000 00000000* 1416 | L0019736 00000000 00000000* 1417 | L0019752 00000000 00000000* 1418 | L0019768 00000000 00000000* 1419 | L0019784 00000000 00000000* 1420 | L0019800 000000 000000* 1421 | L0019812 000000 000000* 1422 | L0019824 000000 000000* 1423 | L0019836 000000 000000* 1424 | L0019848 000000 000000* 1425 | L0019860 000000 000000* 1426 | L0019872 00000000 00000000* 1427 | L0019888 00000000 00000000* 1428 | L0019904 00000000 00000000* 1429 | L0019920 00000000 00000000* 1430 | L0019936 00000000 00000000* 1431 | L0019952 00000000 00000000* 1432 | L0019968 00000000 00000000* 1433 | L0019984 00000000 00000000* 1434 | L0020000 00000000 00000000* 1435 | L0020016 000000 000000* 1436 | L0020028 000000 000000* 1437 | L0020040 000000 000000* 1438 | L0020052 000000 000000* 1439 | L0020064 000000 000000* 1440 | L0020076 000000 000000* 1441 | L0020088 00000000 00000000* 1442 | L0020104 00000000 00000000* 1443 | L0020120 00000000 00000000* 1444 | L0020136 00000000 00000000* 1445 | L0020152 00000000 00000000* 1446 | L0020168 00000000 00000000* 1447 | L0020184 00000000 00000000* 1448 | L0020200 00000000 00000000* 1449 | L0020216 00000000 00000000* 1450 | L0020232 000000 000000* 1451 | L0020244 000000 000000* 1452 | L0020256 000000 000000* 1453 | L0020268 000000 000000* 1454 | L0020280 000000 000000* 1455 | L0020292 000000 000000* 1456 | L0020304 00000000 00000000* 1457 | L0020320 00000000 00000000* 1458 | L0020336 00000000 00000000* 1459 | L0020352 00000000 00000000* 1460 | L0020368 00000000 00000000* 1461 | L0020384 00000000 00000000* 1462 | L0020400 00000000 00000000* 1463 | L0020416 00000000 00000000* 1464 | L0020432 00000000 00000000* 1465 | L0020448 000000 000000* 1466 | L0020460 000000 000000* 1467 | L0020472 000000 000000* 1468 | L0020484 000000 000000* 1469 | L0020496 000000 000000* 1470 | L0020508 000000 000000* 1471 | L0020520 00000000 00000000* 1472 | L0020536 00000000 00000000* 1473 | L0020552 00000000 00000000* 1474 | L0020568 00000000 00000000* 1475 | L0020584 00000000 00000000* 1476 | L0020600 00000000 00000000* 1477 | L0020616 00000000 00000000* 1478 | L0020632 00000000 00000000* 1479 | L0020648 00000000 00000000* 1480 | L0020664 000000 000000* 1481 | L0020676 000000 000000* 1482 | L0020688 000000 000000* 1483 | L0020700 000000 000000* 1484 | L0020712 000000 000000* 1485 | L0020724 000000 000000* 1486 | L0020736 00000000 00000000* 1487 | L0020752 00000000 00000000* 1488 | L0020768 00000000 00000000* 1489 | L0020784 00000000 00000000* 1490 | L0020800 00000000 00000000* 1491 | L0020816 00000000 00000000* 1492 | L0020832 00000000 00000000* 1493 | L0020848 00000000 00000000* 1494 | L0020864 00000000 00000000* 1495 | L0020880 000000 000000* 1496 | L0020892 000000 000000* 1497 | L0020904 000000 000000* 1498 | L0020916 000000 000000* 1499 | L0020928 000000 000000* 1500 | L0020940 000000 000000* 1501 | L0020952 00000000 00000000* 1502 | L0020968 00000000 00000000* 1503 | L0020984 00000000 00000000* 1504 | L0021000 00000000 00000000* 1505 | L0021016 00000000 00000000* 1506 | L0021032 00000000 00000000* 1507 | L0021048 00000000 00000000* 1508 | L0021064 00000000 00000000* 1509 | L0021080 00000000 00000000* 1510 | L0021096 000000 000000* 1511 | L0021108 000000 000000* 1512 | L0021120 000000 000000* 1513 | L0021132 000000 000000* 1514 | L0021144 000000 000000* 1515 | L0021156 000000 000000* 1516 | L0021168 00000100 00000000* 1517 | L0021184 00000000 00000000* 1518 | L0021200 00000000 00000000* 1519 | L0021216 00000000 00000000* 1520 | L0021232 10000000 00000000* 1521 | L0021248 01000000 00000000* 1522 | L0021264 00000000 00000000* 1523 | L0021280 00000000 00000000* 1524 | L0021296 00000000 00000000* 1525 | L0021312 000000 000000* 1526 | L0021324 000000 000000* 1527 | L0021336 100000 000000* 1528 | L0021348 000010 000000* 1529 | L0021360 000010 000000* 1530 | L0021372 100000 000000* 1531 | L0021384 00100000 00000000* 1532 | L0021400 10100000 00000000* 1533 | L0021416 01000100 00000000* 1534 | L0021432 01000100 00000000* 1535 | L0021448 01000100 00000000* 1536 | L0021464 10000000 00000000* 1537 | L0021480 11000100 00000000* 1538 | L0021496 10000100 00000000* 1539 | L0021512 10000100 00000000* 1540 | L0021528 100001 000000* 1541 | L0021540 000001 000000* 1542 | L0021552 000011 000000* 1543 | L0021564 000000 000000* 1544 | L0021576 000001 000000* 1545 | L0021588 000000 000000* 1546 | L0021600 00000000 00000000* 1547 | L0021616 00000000 00000000* 1548 | L0021632 00000000 00000000* 1549 | L0021648 00000000 00000000* 1550 | L0021664 00000000 00000000* 1551 | L0021680 00000000 01000000* 1552 | L0021696 00000000 00000000* 1553 | L0021712 00000000 00000000* 1554 | L0021728 00000000 00000000* 1555 | L0021744 000000 000000* 1556 | L0021756 000000 000000* 1557 | L0021768 000000 000000* 1558 | L0021780 000000 000000* 1559 | L0021792 000000 000000* 1560 | L0021804 000000 000000* 1561 | L0021816 00000000 00000000* 1562 | L0021832 00000000 00000000* 1563 | L0021848 00000000 00000000* 1564 | L0021864 00000000 00000000* 1565 | L0021880 00000000 00000000* 1566 | L0021896 00000000 00000000* 1567 | L0021912 00000000 00000000* 1568 | L0021928 00000000 00000000* 1569 | L0021944 00000000 00000000* 1570 | L0021960 000000 000000* 1571 | L0021972 000000 000000* 1572 | L0021984 000000 000000* 1573 | L0021996 000000 000000* 1574 | L0022008 000000 000000* 1575 | L0022020 000000 000000* 1576 | L0022032 00000000 00000000* 1577 | L0022048 00000000 00000000* 1578 | L0022064 00000000 00000000* 1579 | L0022080 00000000 00000000* 1580 | L0022096 00000000 00000000* 1581 | L0022112 00000000 00000000* 1582 | L0022128 00000000 00000000* 1583 | L0022144 00000000 00000000* 1584 | L0022160 00000000 00000000* 1585 | L0022176 000000 000000* 1586 | L0022188 000000 000000* 1587 | L0022200 000000 000000* 1588 | L0022212 000000 000000* 1589 | L0022224 000000 000000* 1590 | L0022236 000000 000000* 1591 | L0022248 00000000 00000000* 1592 | L0022264 00000000 00000000* 1593 | L0022280 00000000 00000000* 1594 | L0022296 00000000 00000000* 1595 | L0022312 00000000 00000000* 1596 | L0022328 00000000 00000000* 1597 | L0022344 00000000 00000000* 1598 | L0022360 00000000 00000000* 1599 | L0022376 00000000 00000000* 1600 | L0022392 000000 000000* 1601 | L0022404 000000 000000* 1602 | L0022416 000000 000000* 1603 | L0022428 000000 000000* 1604 | L0022440 000000 000000* 1605 | L0022452 000000 000000* 1606 | L0022464 00000000 00000000* 1607 | L0022480 00000000 00000000* 1608 | L0022496 00000000 00000000* 1609 | L0022512 00000000 00000000* 1610 | L0022528 00000000 00000000* 1611 | L0022544 00000000 00000000* 1612 | L0022560 00000000 00000000* 1613 | L0022576 00000000 00000000* 1614 | L0022592 00000000 00000000* 1615 | L0022608 000000 000000* 1616 | L0022620 000000 000000* 1617 | L0022632 000000 000000* 1618 | L0022644 000000 000000* 1619 | L0022656 000000 000000* 1620 | L0022668 000000 000000* 1621 | L0022680 00000000 00000000* 1622 | L0022696 00000000 00000000* 1623 | L0022712 00000000 00000000* 1624 | L0022728 00000000 00000000* 1625 | L0022744 00000000 00000000* 1626 | L0022760 00000000 00000000* 1627 | L0022776 00000000 00000000* 1628 | L0022792 00000000 00000000* 1629 | L0022808 00000000 00000000* 1630 | L0022824 000000 000000* 1631 | L0022836 000000 000000* 1632 | L0022848 000000 000000* 1633 | L0022860 000000 000000* 1634 | L0022872 000000 000000* 1635 | L0022884 000000 000000* 1636 | L0022896 00000000 00000000* 1637 | L0022912 00000000 00000000* 1638 | L0022928 00000000 00000000* 1639 | L0022944 00000000 00000000* 1640 | L0022960 00000000 00000000* 1641 | L0022976 00000000 00000000* 1642 | L0022992 00000000 00000000* 1643 | L0023008 00000000 00000000* 1644 | L0023024 00000000 00000000* 1645 | L0023040 000000 000000* 1646 | L0023052 000000 000000* 1647 | L0023064 000000 000000* 1648 | L0023076 000000 000000* 1649 | L0023088 000000 000000* 1650 | L0023100 000000 000000* 1651 | L0023112 00000000 00000000* 1652 | L0023128 00000000 00000000* 1653 | L0023144 00000000 00000000* 1654 | L0023160 00000000 00000000* 1655 | L0023176 00000000 00000000* 1656 | L0023192 00000000 00000000* 1657 | L0023208 00000000 00000000* 1658 | L0023224 00000000 00000000* 1659 | L0023240 00000000 00000000* 1660 | L0023256 000000 000000* 1661 | L0023268 000000 000000* 1662 | L0023280 000000 000000* 1663 | L0023292 000000 000000* 1664 | L0023304 000000 000000* 1665 | L0023316 000000 000000* 1666 | C7C9B* 1667 | 6596 1668 | -------------------------------------------------------------------------------- /hdl/pins.ucf: -------------------------------------------------------------------------------- 1 | NET "i0" LOC = "P14"; 2 | NET "i1" LOC = "P16"; 3 | NET "i2" LOC = "P19"; 4 | NET "i3" LOC = "P21"; 5 | NET "i4" LOC = "P22"; 6 | NET "i5" LOC = "P20"; 7 | NET "i6" LOC = "P23"; 8 | NET "i7" LOC = "P27"; 9 | NET "i8" LOC = "P28"; 10 | NET "i9" LOC = "P29"; 11 | NET "i10" LOC = "P30"; 12 | NET "i11" LOC = "P31"; 13 | NET "i12" LOC = "P32"; 14 | NET "i13" LOC = "P37"; 15 | NET "i14" LOC = "P41"; 16 | NET "i15" LOC = "P42"; 17 | NET "f0" LOC = "P2"; 18 | NET "f1" LOC = "P3"; 19 | NET "f2" LOC = "P5"; 20 | NET "f3" LOC = "P6"; 21 | NET "f4" LOC = "P7"; 22 | NET "f5" LOC = "P8"; 23 | NET "f6" LOC = "P13"; 24 | NET "f7" LOC = "P12"; 25 | -------------------------------------------------------------------------------- /images/images.md: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /images/neatPLA_installed_new.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/images/neatPLA_installed_new.jpg -------------------------------------------------------------------------------- /images/pins_bottom.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/images/pins_bottom.jpg -------------------------------------------------------------------------------- /images/pins_top.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/images/pins_top.jpg -------------------------------------------------------------------------------- /neatPLA_installed_new.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/neatPLA_installed_new.jpg -------------------------------------------------------------------------------- /original_dodgyPLA_fix/README.md: -------------------------------------------------------------------------------- 1 | These files can be used to update dodgyPLA with the timing fixes of neatPLA. 2 | Do not use for neatPLA as it got different pinout. 3 | 4 | What is changed compared to dodgyPLA: 5 | - CASRAM delay increased to 30ns. Fixes timing issues with motherboard 326298 6 | - Added extra delay to all outputs. Fixes a timing issue with motherboard 250407/250425 + SwinSID -------------------------------------------------------------------------------- /original_dodgyPLA_fix/original_dodgyPLA_timing_fix.jed: -------------------------------------------------------------------------------- 1 | Programmer Jedec Bit Map 2 | Date Extracted: Wed Apr 29 20:53:04 2020 3 | 4 | QF23328* 5 | QP44* 6 | QV0* 7 | F0* 8 | X0* 9 | J0 0* 10 | N VERSION P.20131013* 11 | N DEVICE XC9536XL-7-VQ44* 12 | N PPMAP 12 1* 13 | N PPMAP 23 12* 14 | N PPMAP 24 13* 15 | N PPMAP 25 14* 16 | N PPMAP 27 16* 17 | N PPMAP 31 18* 18 | N PPMAP 32 19* 19 | N PPMAP 13 2* 20 | N PPMAP 33 20* 21 | N PPMAP 34 21* 22 | N PPMAP 35 22* 23 | N PPMAP 37 23* 24 | N PPMAP 41 27* 25 | N PPMAP 42 28* 26 | N PPMAP 43 29* 27 | N PPMAP 14 3* 28 | N PPMAP 44 30* 29 | N PPMAP 45 31* 30 | N PPMAP 46 32* 31 | N PPMAP 47 33* 32 | N PPMAP 1 34* 33 | N PPMAP 3 36* 34 | N PPMAP 4 37* 35 | N PPMAP 5 38* 36 | N PPMAP 6 39* 37 | N PPMAP 7 40* 38 | N PPMAP 8 41* 39 | N PPMAP 9 42* 40 | N PPMAP 10 43* 41 | N PPMAP 11 44* 42 | N PPMAP 16 5* 43 | N PPMAP 17 6* 44 | N PPMAP 18 7* 45 | N PPMAP 19 8* 46 | L0000000 00000000 00000000* 47 | L0000016 00000000 00000000* 48 | L0000032 00000000 00000000* 49 | L0000048 00000000 00000000* 50 | L0000064 00000000 00000000* 51 | L0000080 10000000 00000000* 52 | L0000096 00000000 00000000* 53 | L0000112 00000000 00000000* 54 | L0000128 00000000 00000000* 55 | L0000144 000000 000000* 56 | L0000156 000000 000000* 57 | L0000168 000000 000000* 58 | L0000180 000001 000000* 59 | L0000192 000000 000000* 60 | L0000204 000000 000000* 61 | L0000216 10100100 00000000* 62 | L0000232 10100000 00000000* 63 | L0000248 11000100 00000000* 64 | L0000264 11000100 00000000* 65 | L0000280 11000100 00000000* 66 | L0000296 01000000 00000000* 67 | L0000312 11000100 00000000* 68 | L0000328 10000100 00000000* 69 | L0000344 10000100 00000000* 70 | L0000360 100001 000000* 71 | L0000372 000001 000000* 72 | L0000384 000011 000000* 73 | L0000396 000010 000000* 74 | L0000408 100010 000000* 75 | L0000420 100000 000000* 76 | L0000432 10000100 00000000* 77 | L0000448 00000000 00000000* 78 | L0000464 00000000 00000000* 79 | L0000480 10000000 00000000* 80 | L0000496 00000000 00000000* 81 | L0000512 10000000 00000000* 82 | L0000528 00000000 00000000* 83 | L0000544 00000100 00000000* 84 | L0000560 00000000 00000000* 85 | L0000576 000001 000000* 86 | L0000588 000010 000001* 87 | L0000600 100010 000000* 88 | L0000612 100011 000000* 89 | L0000624 100001 000000* 90 | L0000636 100001 000000* 91 | L0000648 00000000 00000000* 92 | L0000664 01100000 00000000* 93 | L0000680 00000000 00000000* 94 | L0000696 01000100 00000000* 95 | L0000712 01000100 00000000* 96 | L0000728 01000100 00000000* 97 | L0000744 00000000 00000000* 98 | L0000760 00000000 00000000* 99 | L0000776 00000000 00000000* 100 | L0000792 000000 000000* 101 | L0000804 000000 000000* 102 | L0000816 000000 000000* 103 | L0000828 000000 000000* 104 | L0000840 000000 000000* 105 | L0000852 000000 000000* 106 | L0000864 00000000 00000000* 107 | L0000880 00000000 00000000* 108 | L0000896 00000000 00000000* 109 | L0000912 00000000 00000000* 110 | L0000928 00000000 00000000* 111 | L0000944 00000000 00000000* 112 | L0000960 00000000 00000000* 113 | L0000976 00000000 00000000* 114 | L0000992 00000000 00000000* 115 | L0001008 000000 000000* 116 | L0001020 000000 000000* 117 | L0001032 000000 000000* 118 | L0001044 000000 000000* 119 | L0001056 000000 000000* 120 | L0001068 000000 000000* 121 | L0001080 00000000 00000000* 122 | L0001096 00000000 00000000* 123 | L0001112 10000000 00000000* 124 | L0001128 00000000 00000000* 125 | L0001144 11000100 00000000* 126 | L0001160 01000000 00000000* 127 | L0001176 00000000 00000000* 128 | L0001192 00000000 00000000* 129 | L0001208 10000100 00000000* 130 | L0001224 100000 000000* 131 | L0001236 000000 000000* 132 | L0001248 000001 000000* 133 | L0001260 000000 000000* 134 | L0001272 000010 000000* 135 | L0001284 000000 000000* 136 | L0001296 00000110 00000000* 137 | L0001312 00000001 00000000* 138 | L0001328 00000110 00000000* 139 | L0001344 00000100 00000000* 140 | L0001360 01000110 00000000* 141 | L0001376 00000001 00100000* 142 | L0001392 01000111 00000000* 143 | L0001408 10000111 00000000* 144 | L0001424 10000100 00000000* 145 | L0001440 100001 000000* 146 | L0001452 000001 000000* 147 | L0001464 000001 000000* 148 | L0001476 000000 000000* 149 | L0001488 000011 000000* 150 | L0001500 000000 000000* 151 | L0001512 00100010 00000000* 152 | L0001528 10100001 00000000* 153 | L0001544 10000010 00000000* 154 | L0001560 10000000 00000000* 155 | L0001576 00000010 00000000* 156 | L0001592 01000001 00000000* 157 | L0001608 00000010 00000000* 158 | L0001624 00000011 00000000* 159 | L0001640 00000000 00000000* 160 | L0001656 000000 000000* 161 | L0001668 000000 000000* 162 | L0001680 100010 000000* 163 | L0001692 100010 000000* 164 | L0001704 000000 000000* 165 | L0001716 000000 000000* 166 | L0001728 00000000 00000000* 167 | L0001744 00000000 00000000* 168 | L0001760 00000000 00000000* 169 | L0001776 00000000 00000000* 170 | L0001792 00000000 00000000* 171 | L0001808 00000000 00000000* 172 | L0001824 00000000 00000000* 173 | L0001840 00000000 00000000* 174 | L0001856 00000000 00000000* 175 | L0001872 000000 000000* 176 | L0001884 000000 000000* 177 | L0001896 000000 000000* 178 | L0001908 000000 000000* 179 | L0001920 000000 000000* 180 | L0001932 000000 000000* 181 | L0001944 00000000 00000000* 182 | L0001960 00000000 00000000* 183 | L0001976 00000000 00000000* 184 | L0001992 00000000 00000000* 185 | L0002008 00000000 00000000* 186 | L0002024 00000000 00000000* 187 | L0002040 00000000 00000000* 188 | L0002056 00000000 00000000* 189 | L0002072 00000000 00000000* 190 | L0002088 000000 000000* 191 | L0002100 000000 000000* 192 | L0002112 000000 000000* 193 | L0002124 000000 000000* 194 | L0002136 000000 000000* 195 | L0002148 000000 000000* 196 | L0002160 01000000 00000000* 197 | L0002176 01000100 00000000* 198 | L0002192 00000000 00000000* 199 | L0002208 00000000 00000000* 200 | L0002224 00000000 00000000* 201 | L0002240 00000100 00000000* 202 | L0002256 00000000 00000000* 203 | L0002272 00000000 00000000* 204 | L0002288 00000000 00000000* 205 | L0002304 000000 000000* 206 | L0002316 000000 000000* 207 | L0002328 000000 000000* 208 | L0002340 000000 000000* 209 | L0002352 000000 000000* 210 | L0002364 000000 000000* 211 | L0002376 00000000 00000000* 212 | L0002392 00000000 00000000* 213 | L0002408 00000000 00000000* 214 | L0002424 00000000 00000000* 215 | L0002440 00000000 00000000* 216 | L0002456 00000000 00000000* 217 | L0002472 00000000 00000000* 218 | L0002488 00000000 00000000* 219 | L0002504 00000000 00000000* 220 | L0002520 000000 000000* 221 | L0002532 000010 000000* 222 | L0002544 000000 000000* 223 | L0002556 000000 000000* 224 | L0002568 000000 000000* 225 | L0002580 000001 000000* 226 | L0002592 00000001 00000001* 227 | L0002608 00000011 00000001* 228 | L0002624 00000001 00000001* 229 | L0002640 00000001 00000001* 230 | L0002656 00000011 00000011* 231 | L0002672 00000011 00000011* 232 | L0002688 00000011 00000011* 233 | L0002704 00000011 00000011* 234 | L0002720 00000011 00000011* 235 | L0002736 000000 000000* 236 | L0002748 000000 000000* 237 | L0002760 000000 000000* 238 | L0002772 000000 000000* 239 | L0002784 000000 000000* 240 | L0002796 000000 000000* 241 | L0002808 00000010 00000000* 242 | L0002824 00000000 00000000* 243 | L0002840 00000010 00000000* 244 | L0002856 00000010 00000000* 245 | L0002872 00000000 00000000* 246 | L0002888 00000000 00000000* 247 | L0002904 00000000 00000000* 248 | L0002920 00000000 00000000* 249 | L0002936 00000000 00000000* 250 | L0002952 000000 000000* 251 | L0002964 000000 000000* 252 | L0002976 000000 000000* 253 | L0002988 000000 000000* 254 | L0003000 000000 000000* 255 | L0003012 000000 000000* 256 | L0003024 00000000 00000000* 257 | L0003040 00000010 00000000* 258 | L0003056 00000000 00000000* 259 | L0003072 00000000 00000000* 260 | L0003088 00000000 00000000* 261 | L0003104 00000001 00000000* 262 | L0003120 00000010 00000000* 263 | L0003136 00000000 00000000* 264 | L0003152 00000001 00000000* 265 | L0003168 000000 000000* 266 | L0003180 000000 000000* 267 | L0003192 000000 000000* 268 | L0003204 000000 000000* 269 | L0003216 000000 000000* 270 | L0003228 000000 000000* 271 | L0003240 01000010 00000000* 272 | L0003256 01000100 00000000* 273 | L0003272 00000010 00000000* 274 | L0003288 00000010 00000000* 275 | L0003304 00000010 00000000* 276 | L0003320 00000100 00000000* 277 | L0003336 00000001 00000000* 278 | L0003352 00000001 00000000* 279 | L0003368 00000000 00000000* 280 | L0003384 000000 000000* 281 | L0003396 000010 000000* 282 | L0003408 000000 000000* 283 | L0003420 000000 000000* 284 | L0003432 000000 000000* 285 | L0003444 000001 000000* 286 | L0003456 00000000 00000000* 287 | L0003472 00000010 00000000* 288 | L0003488 00000000 00000000* 289 | L0003504 00000000 00000000* 290 | L0003520 00000000 00000000* 291 | L0003536 00000001 00000000* 292 | L0003552 00000000 00000000* 293 | L0003568 00000000 00000000* 294 | L0003584 00000000 00000000* 295 | L0003600 000000 000000* 296 | L0003612 000000 000000* 297 | L0003624 000000 000000* 298 | L0003636 000000 000000* 299 | L0003648 000000 000000* 300 | L0003660 000000 000000* 301 | L0003672 00000010 00000000* 302 | L0003688 00000000 00000000* 303 | L0003704 00000010 00000000* 304 | L0003720 00000010 00000000* 305 | L0003736 00000000 00000000* 306 | L0003752 00000000 00000000* 307 | L0003768 00000001 00000000* 308 | L0003784 00000001 00000000* 309 | L0003800 00000001 00000000* 310 | L0003816 000000 000000* 311 | L0003828 000000 000000* 312 | L0003840 000000 000000* 313 | L0003852 000000 000000* 314 | L0003864 000000 000000* 315 | L0003876 000000 000000* 316 | L0003888 00000000 00000000* 317 | L0003904 00000010 00000000* 318 | L0003920 00000000 00000000* 319 | L0003936 00000000 00000000* 320 | L0003952 00000000 00000000* 321 | L0003968 00000000 00000000* 322 | L0003984 00000000 00000000* 323 | L0004000 00000000 00000000* 324 | L0004016 00000000 00000000* 325 | L0004032 000000 000000* 326 | L0004044 000100 000000* 327 | L0004056 000000 000000* 328 | L0004068 000000 000000* 329 | L0004080 000000 000000* 330 | L0004092 000000 000000* 331 | L0004104 00000010 00000000* 332 | L0004120 00000000 00000000* 333 | L0004136 00000010 00000000* 334 | L0004152 00000010 00000000* 335 | L0004168 00000000 00000000* 336 | L0004184 00000001 00000000* 337 | L0004200 00000001 00000000* 338 | L0004216 00000001 00000000* 339 | L0004232 00000001 00000000* 340 | L0004248 000000 000000* 341 | L0004260 000000 000000* 342 | L0004272 000000 000000* 343 | L0004284 000000 000000* 344 | L0004296 000000 000000* 345 | L0004308 000000 000000* 346 | L0004320 00000000 00000000* 347 | L0004336 00000010 00000000* 348 | L0004352 00000000 00000000* 349 | L0004368 00000000 00000000* 350 | L0004384 00000000 00000000* 351 | L0004400 00000000 00000000* 352 | L0004416 00000000 00000000* 353 | L0004432 00000000 00000000* 354 | L0004448 00000000 00000000* 355 | L0004464 000000 000000* 356 | L0004476 000000 000000* 357 | L0004488 000000 000000* 358 | L0004500 000000 000000* 359 | L0004512 000000 000000* 360 | L0004524 000000 000000* 361 | L0004536 00000010 00000000* 362 | L0004552 00000000 00000000* 363 | L0004568 00000010 00000000* 364 | L0004584 00000010 00000000* 365 | L0004600 00000000 00000000* 366 | L0004616 00000000 00000000* 367 | L0004632 00000001 00000000* 368 | L0004648 00000001 00000000* 369 | L0004664 00000001 00000000* 370 | L0004680 000000 000000* 371 | L0004692 000000 000000* 372 | L0004704 000000 000000* 373 | L0004716 000000 000000* 374 | L0004728 000000 000000* 375 | L0004740 000000 000000* 376 | L0004752 10100100 00000000* 377 | L0004768 10100000 00000000* 378 | L0004784 11000100 00000000* 379 | L0004800 11000110 00000000* 380 | L0004816 11000110 00000000* 381 | L0004832 01000001 00000000* 382 | L0004848 11000111 00000000* 383 | L0004864 10000101 00000000* 384 | L0004880 10000101 00000000* 385 | L0004896 100001 000000* 386 | L0004908 000001 000000* 387 | L0004920 000011 000000* 388 | L0004932 100010 000000* 389 | L0004944 100010 000000* 390 | L0004956 100000 000000* 391 | L0004968 01000000 00000000* 392 | L0004984 01000110 00000000* 393 | L0005000 00000000 00000000* 394 | L0005016 00000000 00000000* 395 | L0005032 00000000 00000000* 396 | L0005048 00000100 00000000* 397 | L0005064 00000001 00000000* 398 | L0005080 00000001 00000000* 399 | L0005096 00000001 00000000* 400 | L0005112 000000 000000* 401 | L0005124 000010 000000* 402 | L0005136 000000 000000* 403 | L0005148 000000 000000* 404 | L0005160 000000 000000* 405 | L0005172 000001 000000* 406 | L0005184 00000000 00000000* 407 | L0005200 00000010 00000000* 408 | L0005216 00000000 00000000* 409 | L0005232 00000010 00000000* 410 | L0005248 00000000 00000000* 411 | L0005264 00000000 00000000* 412 | L0005280 00000000 00000000* 413 | L0005296 00000000 00000000* 414 | L0005312 00000000 00000000* 415 | L0005328 000000 000000* 416 | L0005340 000000 000100* 417 | L0005352 000000 000000* 418 | L0005364 000000 000000* 419 | L0005376 000000 000000* 420 | L0005388 000000 000000* 421 | L0005400 00100000 00000000* 422 | L0005416 10100000 00000000* 423 | L0005432 11000110 00000000* 424 | L0005448 11000110 00000000* 425 | L0005464 10000010 00000000* 426 | L0005480 01000000 00000000* 427 | L0005496 10000100 00000000* 428 | L0005512 10000000 00000000* 429 | L0005528 00000000 00000000* 430 | L0005544 000000 000000* 431 | L0005556 000001 000000* 432 | L0005568 000000 000000* 433 | L0005580 000010 000000* 434 | L0005592 000010 000000* 435 | L0005604 000000 000000* 436 | L0005616 01100001 00001001* 437 | L0005632 00000111 00000001* 438 | L0005648 01000101 00000001* 439 | L0005664 00000011 00000001* 440 | L0005680 10000011 00000011* 441 | L0005696 00000011 00000011* 442 | L0005712 00000011 00000011* 443 | L0005728 00000011 00000011* 444 | L0005744 00000011 00000011* 445 | L0005760 000000 000000* 446 | L0005772 000000 000000* 447 | L0005784 000000 000000* 448 | L0005796 000010 000000* 449 | L0005808 000010 000000* 450 | L0005820 000000 000000* 451 | L0005832 10000100 00000000* 452 | L0005848 00000000 00000000* 453 | L0005864 00000000 00000000* 454 | L0005880 00000000 00000000* 455 | L0005896 00000000 00000000* 456 | L0005912 10000000 00000000* 457 | L0005928 00000000 00000000* 458 | L0005944 00000100 00000000* 459 | L0005960 00000000 00000000* 460 | L0005976 000001 000000* 461 | L0005988 000010 000000* 462 | L0006000 100010 000000* 463 | L0006012 100001 000000* 464 | L0006024 100001 000000* 465 | L0006036 100001 000000* 466 | L0006048 00000000 00000000* 467 | L0006064 00000000 00000000* 468 | L0006080 00000000 00000000* 469 | L0006096 00000000 00000000* 470 | L0006112 00000000 00000000* 471 | L0006128 00000000 00000000* 472 | L0006144 00000000 00000000* 473 | L0006160 00000000 00000000* 474 | L0006176 00000000 00000000* 475 | L0006192 000000 000000* 476 | L0006204 000000 000000* 477 | L0006216 000000 000000* 478 | L0006228 000000 000000* 479 | L0006240 000000 000000* 480 | L0006252 000000 000000* 481 | L0006264 00000000 00000000* 482 | L0006280 00000000 00000000* 483 | L0006296 00000000 00000000* 484 | L0006312 00000000 00000000* 485 | L0006328 00000000 00000000* 486 | L0006344 00000000 00000000* 487 | L0006360 00000000 00000000* 488 | L0006376 00000000 00000000* 489 | L0006392 00000000 00000000* 490 | L0006408 000000 000000* 491 | L0006420 000000 000000* 492 | L0006432 000000 000000* 493 | L0006444 000000 000000* 494 | L0006456 000000 000000* 495 | L0006468 000000 000000* 496 | L0006480 00000001 00000000* 497 | L0006496 00000001 00000000* 498 | L0006512 00000001 00000000* 499 | L0006528 00000001 00000000* 500 | L0006544 00000001 00000000* 501 | L0006560 00000010 00000000* 502 | L0006576 00000000 00000000* 503 | L0006592 00000010 00000000* 504 | L0006608 00000010 00000000* 505 | L0006624 000000 000000* 506 | L0006636 000000 010000* 507 | L0006648 000000 000000* 508 | L0006660 000000 000000* 509 | L0006672 000000 000000* 510 | L0006684 000000 000000* 511 | L0006696 00000000 00000000* 512 | L0006712 00000000 00000000* 513 | L0006728 00000000 00000000* 514 | L0006744 00000000 00000000* 515 | L0006760 00000000 00000000* 516 | L0006776 00000000 00000000* 517 | L0006792 00000000 00000000* 518 | L0006808 00000000 00000000* 519 | L0006824 00000000 00000000* 520 | L0006840 000000 000000* 521 | L0006852 000000 000000* 522 | L0006864 000000 000000* 523 | L0006876 000000 000000* 524 | L0006888 000000 000000* 525 | L0006900 000000 000000* 526 | L0006912 00000001 00000001* 527 | L0006928 00000011 00000001* 528 | L0006944 00000001 00000001* 529 | L0006960 00000011 00000001* 530 | L0006976 00000011 00000011* 531 | L0006992 00000011 00000011* 532 | L0007008 00000011 00000011* 533 | L0007024 00000011 00000011* 534 | L0007040 00000011 00000011* 535 | L0007056 000000 000000* 536 | L0007068 000000 000000* 537 | L0007080 000000 000000* 538 | L0007092 000000 000000* 539 | L0007104 000000 000000* 540 | L0007116 000000 000000* 541 | L0007128 00000000 00000000* 542 | L0007144 00000000 00000000* 543 | L0007160 00000000 00000000* 544 | L0007176 00000000 00000000* 545 | L0007192 00000000 00000000* 546 | L0007208 00000000 00000000* 547 | L0007224 00000000 00000000* 548 | L0007240 00000000 00000000* 549 | L0007256 00000000 00000000* 550 | L0007272 000000 000000* 551 | L0007284 000000 000000* 552 | L0007296 000000 000000* 553 | L0007308 000000 000000* 554 | L0007320 000000 000000* 555 | L0007332 000000 000000* 556 | L0007344 00000000 00100000* 557 | L0007360 00000000 00000000* 558 | L0007376 00000000 00000000* 559 | L0007392 00000000 00000000* 560 | L0007408 00000000 00000000* 561 | L0007424 00000000 00000000* 562 | L0007440 00000000 00000000* 563 | L0007456 00000000 00000000* 564 | L0007472 00000000 00000000* 565 | L0007488 000000 000000* 566 | L0007500 000000 000000* 567 | L0007512 000000 000000* 568 | L0007524 000000 000000* 569 | L0007536 000000 000000* 570 | L0007548 000000 000000* 571 | L0007560 00000000 00000000* 572 | L0007576 00000000 00000000* 573 | L0007592 00000000 00000000* 574 | L0007608 00000000 00000000* 575 | L0007624 00000000 00000000* 576 | L0007640 00000000 00000000* 577 | L0007656 00000000 00000000* 578 | L0007672 00000000 00000000* 579 | L0007688 00000000 00000000* 580 | L0007704 000000 000000* 581 | L0007716 000000 000000* 582 | L0007728 000000 000000* 583 | L0007740 000000 000000* 584 | L0007752 000000 000000* 585 | L0007764 000000 000000* 586 | L0007776 00001000 00000000* 587 | L0007792 00000000 00000000* 588 | L0007808 00000000 00000000* 589 | L0007824 00000000 00000000* 590 | L0007840 00000000 00000000* 591 | L0007856 00000000 00000000* 592 | L0007872 00000000 00000000* 593 | L0007888 00000000 00000000* 594 | L0007904 00000000 00000000* 595 | L0007920 000000 000000* 596 | L0007932 000000 000000* 597 | L0007944 000000 000000* 598 | L0007956 000000 000000* 599 | L0007968 000000 000000* 600 | L0007980 000000 000000* 601 | L0007992 00000000 00000000* 602 | L0008008 00000000 00000000* 603 | L0008024 00000000 00000000* 604 | L0008040 00000000 00000000* 605 | L0008056 00000000 00000000* 606 | L0008072 00000000 00000000* 607 | L0008088 00000000 00000000* 608 | L0008104 00000000 00000000* 609 | L0008120 00000000 00000000* 610 | L0008136 000000 000000* 611 | L0008148 000000 000000* 612 | L0008160 000000 000000* 613 | L0008172 000000 000000* 614 | L0008184 000000 000000* 615 | L0008196 000000 000000* 616 | L0008208 00000000 00010000* 617 | L0008224 00000000 00000000* 618 | L0008240 00000000 00000000* 619 | L0008256 00000000 00000000* 620 | L0008272 00000000 00000000* 621 | L0008288 00000000 00000000* 622 | L0008304 00000000 00000000* 623 | L0008320 00000000 00000000* 624 | L0008336 00000000 00000000* 625 | L0008352 000000 000000* 626 | L0008364 000000 000000* 627 | L0008376 000000 000000* 628 | L0008388 000000 000000* 629 | L0008400 000000 000000* 630 | L0008412 000000 000000* 631 | L0008424 00000000 00000000* 632 | L0008440 00000000 00000000* 633 | L0008456 00000000 00000000* 634 | L0008472 00000000 00000000* 635 | L0008488 00000000 00000000* 636 | L0008504 00000000 00000000* 637 | L0008520 00000000 00000000* 638 | L0008536 00000000 00000000* 639 | L0008552 00000000 00000000* 640 | L0008568 000000 000000* 641 | L0008580 000000 000000* 642 | L0008592 000000 000000* 643 | L0008604 000000 000000* 644 | L0008616 000000 000000* 645 | L0008628 000000 000000* 646 | L0008640 00000000 00000000* 647 | L0008656 00000000 00000000* 648 | L0008672 00000000 00000000* 649 | L0008688 00000000 00000000* 650 | L0008704 00000000 00000000* 651 | L0008720 00000000 00000000* 652 | L0008736 00000000 00000000* 653 | L0008752 00000000 00000000* 654 | L0008768 00000000 00000000* 655 | L0008784 000000 000000* 656 | L0008796 000000 000000* 657 | L0008808 000000 000000* 658 | L0008820 000000 000000* 659 | L0008832 000000 000000* 660 | L0008844 000000 000000* 661 | L0008856 00000000 00000000* 662 | L0008872 00000000 00000000* 663 | L0008888 00000000 00000000* 664 | L0008904 00000000 00000000* 665 | L0008920 00000000 00000000* 666 | L0008936 00000000 00000000* 667 | L0008952 00000000 00000000* 668 | L0008968 00000000 00000000* 669 | L0008984 00000000 00000000* 670 | L0009000 000000 000000* 671 | L0009012 000000 000000* 672 | L0009024 000000 000000* 673 | L0009036 000000 000000* 674 | L0009048 000000 000000* 675 | L0009060 000000 000000* 676 | L0009072 00000000 00000000* 677 | L0009088 00000000 00000000* 678 | L0009104 00000000 00000000* 679 | L0009120 00000000 00000000* 680 | L0009136 00000000 00000000* 681 | L0009152 00000000 00000000* 682 | L0009168 00000000 00000000* 683 | L0009184 00000000 00000000* 684 | L0009200 00000000 00000000* 685 | L0009216 000000 000000* 686 | L0009228 000000 000000* 687 | L0009240 000000 000000* 688 | L0009252 000000 000000* 689 | L0009264 000000 000000* 690 | L0009276 000000 000000* 691 | L0009288 00000000 00000000* 692 | L0009304 00000000 00000000* 693 | L0009320 00000000 00000000* 694 | L0009336 00000000 00000000* 695 | L0009352 00000000 00000000* 696 | L0009368 00000000 00000000* 697 | L0009384 00000000 00000000* 698 | L0009400 00000000 00000000* 699 | L0009416 00000000 00000000* 700 | L0009432 000000 000000* 701 | L0009444 000000 000000* 702 | L0009456 000000 000000* 703 | L0009468 000000 000000* 704 | L0009480 000000 000000* 705 | L0009492 000000 000000* 706 | L0009504 00000000 00000000* 707 | L0009520 00000000 00000000* 708 | L0009536 00000000 00000000* 709 | L0009552 00000000 00000000* 710 | L0009568 00000000 00000000* 711 | L0009584 00010000 00000000* 712 | L0009600 00000000 00000000* 713 | L0009616 00000000 00000000* 714 | L0009632 00000000 00000000* 715 | L0009648 000000 000000* 716 | L0009660 000000 000000* 717 | L0009672 000000 000000* 718 | L0009684 000000 000000* 719 | L0009696 000000 000000* 720 | L0009708 000000 000000* 721 | L0009720 00000011 00000001* 722 | L0009736 00000011 00000001* 723 | L0009752 00000011 00000001* 724 | L0009768 00000011 00000001* 725 | L0009784 00000011 00000011* 726 | L0009800 00000011 00000011* 727 | L0009816 00000011 00000011* 728 | L0009832 00000011 00000011* 729 | L0009848 00000011 00000011* 730 | L0009864 000000 000000* 731 | L0009876 000000 000000* 732 | L0009888 000000 000000* 733 | L0009900 000000 000000* 734 | L0009912 000000 000000* 735 | L0009924 000000 000000* 736 | L0009936 00000010 00000000* 737 | L0009952 00000010 00000000* 738 | L0009968 00000010 00000000* 739 | L0009984 00000010 00000000* 740 | L0010000 00000010 00000000* 741 | L0010016 00000001 00000000* 742 | L0010032 00000011 00000000* 743 | L0010048 00000001 00000000* 744 | L0010064 00000001 00000000* 745 | L0010080 000000 000000* 746 | L0010092 000000 000000* 747 | L0010104 000000 000000* 748 | L0010116 000000 000000* 749 | L0010128 000000 000000* 750 | L0010140 000000 000000* 751 | L0010152 00000010 00000000* 752 | L0010168 00000010 00000000* 753 | L0010184 00000010 00000000* 754 | L0010200 00000010 00000000* 755 | L0010216 00000000 00000000* 756 | L0010232 00000001 00000000* 757 | L0010248 00000001 00000000* 758 | L0010264 00000001 00000000* 759 | L0010280 00000001 00000000* 760 | L0010296 000000 000000* 761 | L0010308 000000 000000* 762 | L0010320 000000 000000* 763 | L0010332 000000 000000* 764 | L0010344 000000 000000* 765 | L0010356 000000 000000* 766 | L0010368 00000010 00000000* 767 | L0010384 00000010 00000000* 768 | L0010400 00000010 00000000* 769 | L0010416 00000010 00000000* 770 | L0010432 00000000 00000000* 771 | L0010448 00000001 00000000* 772 | L0010464 00000001 00000000* 773 | L0010480 00000001 00000000* 774 | L0010496 00000001 00000000* 775 | L0010512 000000 000000* 776 | L0010524 000000 000000* 777 | L0010536 000000 000000* 778 | L0010548 000000 000000* 779 | L0010560 000000 000000* 780 | L0010572 000000 000000* 781 | L0010584 00000010 00000000* 782 | L0010600 00000010 00000000* 783 | L0010616 00000010 00000000* 784 | L0010632 00000010 00000000* 785 | L0010648 00000000 00000000* 786 | L0010664 00000000 00000000* 787 | L0010680 00000001 00000000* 788 | L0010696 00000001 00000000* 789 | L0010712 00000001 00000000* 790 | L0010728 000000 000000* 791 | L0010740 000000 000000* 792 | L0010752 000000 000000* 793 | L0010764 000000 000000* 794 | L0010776 000000 000000* 795 | L0010788 000000 000000* 796 | L0010800 00000011 00000000* 797 | L0010816 00000000 00000000* 798 | L0010832 00000000 00000000* 799 | L0010848 00000000 00000000* 800 | L0010864 00000001 00000000* 801 | L0010880 00000000 00000000* 802 | L0010896 00000000 00000000* 803 | L0010912 00000000 00000000* 804 | L0010928 00000000 00000000* 805 | L0010944 000000 000000* 806 | L0010956 000000 000000* 807 | L0010968 000000 000000* 808 | L0010980 000000 000000* 809 | L0010992 000000 000000* 810 | L0011004 000000 000000* 811 | L0011016 00000000 00000000* 812 | L0011032 00000010 00000000* 813 | L0011048 00000000 00000000* 814 | L0011064 00000000 00000000* 815 | L0011080 00000000 00000010* 816 | L0011096 00000000 00000000* 817 | L0011112 00000000 00000000* 818 | L0011128 00000000 00000000* 819 | L0011144 00000000 00000000* 820 | L0011160 000000 000000* 821 | L0011172 000000 000000* 822 | L0011184 000000 000000* 823 | L0011196 000000 000000* 824 | L0011208 000000 000000* 825 | L0011220 000000 000000* 826 | L0011232 00000001 00000100* 827 | L0011248 00000010 00000000* 828 | L0011264 00000000 00000000* 829 | L0011280 00000000 00000000* 830 | L0011296 00000000 00000000* 831 | L0011312 00000000 00000000* 832 | L0011328 00000000 00000000* 833 | L0011344 00000000 00000000* 834 | L0011360 00000000 00000000* 835 | L0011376 000000 000000* 836 | L0011388 000000 000000* 837 | L0011400 000000 000000* 838 | L0011412 000000 000000* 839 | L0011424 000000 000000* 840 | L0011436 000000 000000* 841 | L0011448 00000010 00000000* 842 | L0011464 00000000 00000000* 843 | L0011480 00000000 00000000* 844 | L0011496 00000000 00000000* 845 | L0011512 00000000 00000010* 846 | L0011528 00000000 00000000* 847 | L0011544 00000000 00000000* 848 | L0011560 00000000 00000000* 849 | L0011576 00000000 00000000* 850 | L0011592 000000 000000* 851 | L0011604 000000 000000* 852 | L0011616 000000 000000* 853 | L0011628 000000 000000* 854 | L0011640 000000 000000* 855 | L0011652 000000 000000* 856 | L0011664 00000000 00000000* 857 | L0011680 00000000 00000000* 858 | L0011696 00000000 00000000* 859 | L0011712 00000000 00000000* 860 | L0011728 00000000 00000001* 861 | L0011744 00000000 00000000* 862 | L0011760 00000000 00000000* 863 | L0011776 00000000 00000000* 864 | L0011792 00000000 00000000* 865 | L0011808 000000 000000* 866 | L0011820 010000 000000* 867 | L0011832 000000 000000* 868 | L0011844 000000 000000* 869 | L0011856 000000 000000* 870 | L0011868 000000 000000* 871 | L0011880 00000001 00000000* 872 | L0011896 00000010 00000000* 873 | L0011912 00000000 00000000* 874 | L0011928 00000000 00000000* 875 | L0011944 00000001 00000000* 876 | L0011960 00000000 00000000* 877 | L0011976 00000000 00000000* 878 | L0011992 00000000 00000000* 879 | L0012008 00000000 00000000* 880 | L0012024 000000 000000* 881 | L0012036 000000 000000* 882 | L0012048 000000 000000* 883 | L0012060 000000 000000* 884 | L0012072 000000 000000* 885 | L0012084 000000 000000* 886 | L0012096 00000000 00000000* 887 | L0012112 00000001 00000000* 888 | L0012128 00000000 00000000* 889 | L0012144 00000000 00000000* 890 | L0012160 00000000 00000000* 891 | L0012176 00000000 00000000* 892 | L0012192 00000000 00000000* 893 | L0012208 00000000 00000000* 894 | L0012224 00000000 00000000* 895 | L0012240 000000 000000* 896 | L0012252 000000 000000* 897 | L0012264 000000 000000* 898 | L0012276 000000 000000* 899 | L0012288 000000 000000* 900 | L0012300 000000 000000* 901 | L0012312 00000001 00000000* 902 | L0012328 00000010 00000000* 903 | L0012344 00000000 00000000* 904 | L0012360 00000000 00000000* 905 | L0012376 00000000 00000000* 906 | L0012392 00000000 00000000* 907 | L0012408 00000000 00000000* 908 | L0012424 00000000 00000000* 909 | L0012440 00000000 00000000* 910 | L0012456 000000 000000* 911 | L0012468 000000 000000* 912 | L0012480 000000 000000* 913 | L0012492 000000 000000* 914 | L0012504 000000 000000* 915 | L0012516 000000 000000* 916 | L0012528 00000101 00000000* 917 | L0012544 00000000 00000000* 918 | L0012560 00000000 00000000* 919 | L0012576 00000000 00000000* 920 | L0012592 10000001 00000000* 921 | L0012608 01000000 00000000* 922 | L0012624 00000000 00000000* 923 | L0012640 00000000 00000000* 924 | L0012656 00000000 00000000* 925 | L0012672 000000 000000* 926 | L0012684 000000 000000* 927 | L0012696 100000 000000* 928 | L0012708 000010 000000* 929 | L0012720 000010 000000* 930 | L0012732 100000 000000* 931 | L0012744 00100011 00000001* 932 | L0012760 10100000 00000000* 933 | L0012776 01000100 00000000* 934 | L0012792 01000100 00000000* 935 | L0012808 01000110 00000001* 936 | L0012824 10000000 00000000* 937 | L0012840 11000100 00000000* 938 | L0012856 10000100 00000000* 939 | L0012872 10000100 00000000* 940 | L0012888 100001 000000* 941 | L0012900 000001 000000* 942 | L0012912 000011 000000* 943 | L0012924 000000 000000* 944 | L0012936 000001 000000* 945 | L0012948 000000 000000* 946 | L0012960 00000001 00000000* 947 | L0012976 00000000 00000000* 948 | L0012992 00000000 00000000* 949 | L0013008 00000000 00000000* 950 | L0013024 00000001 00000000* 951 | L0013040 00000000 00000000* 952 | L0013056 00000000 00000000* 953 | L0013072 00000000 00000000* 954 | L0013088 00000000 00000000* 955 | L0013104 000000 000000* 956 | L0013116 000000 000000* 957 | L0013128 000000 000000* 958 | L0013140 000000 000000* 959 | L0013152 000000 000000* 960 | L0013164 000000 000000* 961 | L0013176 00000011 00000001* 962 | L0013192 00000000 00000000* 963 | L0013208 00000000 00000000* 964 | L0013224 00000000 00000000* 965 | L0013240 00000000 00000001* 966 | L0013256 00000000 00000000* 967 | L0013272 00000000 00000000* 968 | L0013288 00000000 00000000* 969 | L0013304 00000000 00000000* 970 | L0013320 000000 000000* 971 | L0013332 000000 000000* 972 | L0013344 000000 000000* 973 | L0013356 000000 000000* 974 | L0013368 000000 000000* 975 | L0013380 000000 000000* 976 | L0013392 00000011 00000010* 977 | L0013408 00000000 00000000* 978 | L0013424 00000000 00000000* 979 | L0013440 00000000 00000000* 980 | L0013456 00000001 00000010* 981 | L0013472 00000000 00000000* 982 | L0013488 00000000 00000000* 983 | L0013504 00000000 00000000* 984 | L0013520 00000000 00000000* 985 | L0013536 000000 000000* 986 | L0013548 000000 001000* 987 | L0013560 000000 000000* 988 | L0013572 000000 000000* 989 | L0013584 000000 000000* 990 | L0013596 000000 000000* 991 | L0013608 00000010 00000010* 992 | L0013624 00000000 00000000* 993 | L0013640 00000000 00000000* 994 | L0013656 00000000 00000000* 995 | L0013672 00000000 00000010* 996 | L0013688 00000000 00000000* 997 | L0013704 00000000 00000000* 998 | L0013720 00000000 00000000* 999 | L0013736 00000000 00000000* 1000 | L0013752 000000 000000* 1001 | L0013764 000000 000000* 1002 | L0013776 000000 000000* 1003 | L0013788 000000 000000* 1004 | L0013800 000000 000000* 1005 | L0013812 000000 000000* 1006 | L0013824 00000001 00000000* 1007 | L0013840 00000000 00000000* 1008 | L0013856 00000000 00000000* 1009 | L0013872 00000000 00000000* 1010 | L0013888 00000000 00000000* 1011 | L0013904 00000000 00000000* 1012 | L0013920 00000000 00000000* 1013 | L0013936 00000000 00000000* 1014 | L0013952 00000000 00000000* 1015 | L0013968 000000 000000* 1016 | L0013980 001000 000000* 1017 | L0013992 000000 000000* 1018 | L0014004 000000 000000* 1019 | L0014016 000000 000000* 1020 | L0014028 000000 000000* 1021 | L0014040 00000000 00000000* 1022 | L0014056 00000000 00000000* 1023 | L0014072 00000000 00000000* 1024 | L0014088 00000000 00000000* 1025 | L0014104 00000000 00000010* 1026 | L0014120 00000000 00000000* 1027 | L0014136 00000000 00000000* 1028 | L0014152 00000000 00000000* 1029 | L0014168 00000000 00000000* 1030 | L0014184 000000 000000* 1031 | L0014196 000000 000000* 1032 | L0014208 000000 000000* 1033 | L0014220 000000 000000* 1034 | L0014232 000000 000000* 1035 | L0014244 000000 000000* 1036 | L0014256 00000000 00000001* 1037 | L0014272 00000000 00000000* 1038 | L0014288 00000000 00000000* 1039 | L0014304 00000000 00000000* 1040 | L0014320 00000000 00000001* 1041 | L0014336 00000000 00000000* 1042 | L0014352 01000000 00000000* 1043 | L0014368 00000000 00000000* 1044 | L0014384 00000000 00000000* 1045 | L0014400 000000 000000* 1046 | L0014412 000000 000000* 1047 | L0014424 000000 000000* 1048 | L0014436 000000 000000* 1049 | L0014448 000000 000000* 1050 | L0014460 000000 000000* 1051 | L0014472 00000000 00000000* 1052 | L0014488 00000000 00000000* 1053 | L0014504 00000000 00000000* 1054 | L0014520 00000000 00000000* 1055 | L0014536 00000000 00000010* 1056 | L0014552 00000000 00000000* 1057 | L0014568 00000000 00000000* 1058 | L0014584 00000000 00000000* 1059 | L0014600 00000000 00000000* 1060 | L0014616 000000 000000* 1061 | L0014628 100000 000000* 1062 | L0014640 000000 000000* 1063 | L0014652 000000 000000* 1064 | L0014664 000000 000000* 1065 | L0014676 000000 000000* 1066 | L0014688 00000010 00000000* 1067 | L0014704 00000000 00000000* 1068 | L0014720 00000000 00000000* 1069 | L0014736 00000000 00000000* 1070 | L0014752 00000010 00000000* 1071 | L0014768 00000000 00000000* 1072 | L0014784 00000000 00000000* 1073 | L0014800 00000000 00000000* 1074 | L0014816 00000000 00000000* 1075 | L0014832 000000 000000* 1076 | L0014844 000000 000000* 1077 | L0014856 000000 000000* 1078 | L0014868 000000 000000* 1079 | L0014880 000000 000000* 1080 | L0014892 000000 000000* 1081 | L0014904 01000000 00000000* 1082 | L0014920 01000100 00000000* 1083 | L0014936 00000000 00000000* 1084 | L0014952 00000000 00000000* 1085 | L0014968 00000000 00000010* 1086 | L0014984 00000100 00000000* 1087 | L0015000 00000000 00000000* 1088 | L0015016 00000000 00000000* 1089 | L0015032 00000000 00000000* 1090 | L0015048 000000 000000* 1091 | L0015060 000000 000000* 1092 | L0015072 000000 000000* 1093 | L0015084 000000 000000* 1094 | L0015096 000000 000000* 1095 | L0015108 000000 000000* 1096 | L0015120 00000000 00000000* 1097 | L0015136 00000000 00000000* 1098 | L0015152 00000000 00000000* 1099 | L0015168 00000000 00000000* 1100 | L0015184 00000000 00000000* 1101 | L0015200 00100000 00000000* 1102 | L0015216 00000000 00000000* 1103 | L0015232 00000000 00000000* 1104 | L0015248 00000000 00000000* 1105 | L0015264 000000 000000* 1106 | L0015276 000000 000000* 1107 | L0015288 000000 000000* 1108 | L0015300 000000 000000* 1109 | L0015312 000000 000000* 1110 | L0015324 000000 000000* 1111 | L0015336 00000000 00000000* 1112 | L0015352 00000000 00000000* 1113 | L0015368 00000000 00000000* 1114 | L0015384 00000000 00000000* 1115 | L0015400 00000000 00000000* 1116 | L0015416 00000000 00000000* 1117 | L0015432 00000000 00000000* 1118 | L0015448 00000000 00000000* 1119 | L0015464 00000000 00000000* 1120 | L0015480 000000 000000* 1121 | L0015492 000000 000000* 1122 | L0015504 000000 000000* 1123 | L0015516 000000 000000* 1124 | L0015528 000000 000000* 1125 | L0015540 000000 000000* 1126 | L0015552 00000011 00000000* 1127 | L0015568 00000000 00000000* 1128 | L0015584 01000100 00000000* 1129 | L0015600 01000100 00000000* 1130 | L0015616 01000110 00000001* 1131 | L0015632 00000000 00001000* 1132 | L0015648 00000000 00000000* 1133 | L0015664 00000000 00000000* 1134 | L0015680 00000000 00000000* 1135 | L0015696 000000 000000* 1136 | L0015708 000000 000000* 1137 | L0015720 000000 000000* 1138 | L0015732 000000 000000* 1139 | L0015744 000000 000000* 1140 | L0015756 000000 000000* 1141 | L0015768 00000000 00000000* 1142 | L0015784 00000000 00000000* 1143 | L0015800 00000000 00000000* 1144 | L0015816 00000000 00000000* 1145 | L0015832 00000000 00000001* 1146 | L0015848 00000000 00000000* 1147 | L0015864 00000100 00000000* 1148 | L0015880 10000000 00000000* 1149 | L0015896 10000100 00000000* 1150 | L0015912 100000 000000* 1151 | L0015924 000001 000000* 1152 | L0015936 000001 000000* 1153 | L0015948 000000 000000* 1154 | L0015960 000000 000000* 1155 | L0015972 000000 000000* 1156 | L0015984 00010000 00000000* 1157 | L0016000 00000000 00000000* 1158 | L0016016 00000000 00000000* 1159 | L0016032 00000000 00000000* 1160 | L0016048 00000000 00000000* 1161 | L0016064 00000000 00000000* 1162 | L0016080 00000000 00000000* 1163 | L0016096 00000000 00000000* 1164 | L0016112 00000000 00000000* 1165 | L0016128 000000 000000* 1166 | L0016140 000000 000000* 1167 | L0016152 000000 000000* 1168 | L0016164 000000 000000* 1169 | L0016176 000000 000000* 1170 | L0016188 000000 000000* 1171 | L0016200 00000000 00000000* 1172 | L0016216 00000000 00000000* 1173 | L0016232 00000000 00000000* 1174 | L0016248 00000000 00000000* 1175 | L0016264 00000000 00000000* 1176 | L0016280 00000000 00000000* 1177 | L0016296 00000000 00000000* 1178 | L0016312 00000000 00000000* 1179 | L0016328 00000000 00000000* 1180 | L0016344 000000 000000* 1181 | L0016356 000000 000000* 1182 | L0016368 000000 000000* 1183 | L0016380 000000 000000* 1184 | L0016392 000000 000000* 1185 | L0016404 000000 000000* 1186 | L0016416 00000000 00000010* 1187 | L0016432 00000000 00000000* 1188 | L0016448 00000000 00000000* 1189 | L0016464 00000000 00000000* 1190 | L0016480 00000000 00000010* 1191 | L0016496 00000000 00000100* 1192 | L0016512 00000000 00000000* 1193 | L0016528 00000000 00000000* 1194 | L0016544 00000000 00000000* 1195 | L0016560 000000 000000* 1196 | L0016572 000000 000000* 1197 | L0016584 000000 000000* 1198 | L0016596 000000 000000* 1199 | L0016608 000000 000000* 1200 | L0016620 000000 000000* 1201 | L0016632 10000000 00000000* 1202 | L0016648 00000000 00000000* 1203 | L0016664 00000000 00000000* 1204 | L0016680 00000000 00000000* 1205 | L0016696 00000000 00000000* 1206 | L0016712 00000000 00000000* 1207 | L0016728 10000000 00000000* 1208 | L0016744 00000000 00000000* 1209 | L0016760 00000000 00000000* 1210 | L0016776 100001 000000* 1211 | L0016788 000001 000000* 1212 | L0016800 000001 000000* 1213 | L0016812 000000 000000* 1214 | L0016824 000000 000000* 1215 | L0016836 000000 000000* 1216 | L0016848 00000010 00000010* 1217 | L0016864 00000010 00000000* 1218 | L0016880 00000000 00000000* 1219 | L0016896 00000000 00000000* 1220 | L0016912 00000000 00000000* 1221 | L0016928 00001000 00000000* 1222 | L0016944 00000010 00000010* 1223 | L0016960 00000000 00000000* 1224 | L0016976 00000000 00000000* 1225 | L0016992 000000 000000* 1226 | L0017004 000000 000000* 1227 | L0017016 000000 000000* 1228 | L0017028 000000 000000* 1229 | L0017040 000000 000000* 1230 | L0017052 000000 000000* 1231 | L0017064 00000000 00000000* 1232 | L0017080 00000000 00000000* 1233 | L0017096 00000000 00000000* 1234 | L0017112 00000000 00000000* 1235 | L0017128 00000000 00000000* 1236 | L0017144 00000000 00000000* 1237 | L0017160 00000000 00000000* 1238 | L0017176 00000000 00000000* 1239 | L0017192 00000000 00000000* 1240 | L0017208 000000 000000* 1241 | L0017220 000000 000000* 1242 | L0017232 000000 000000* 1243 | L0017244 000000 000000* 1244 | L0017256 000000 000000* 1245 | L0017268 000000 000000* 1246 | L0017280 00000000 00000000* 1247 | L0017296 00000000 00000000* 1248 | L0017312 00000000 00000000* 1249 | L0017328 00000000 00000000* 1250 | L0017344 00000000 00000000* 1251 | L0017360 00000000 00000000* 1252 | L0017376 00000000 00000000* 1253 | L0017392 00000000 00000000* 1254 | L0017408 00000000 00000000* 1255 | L0017424 000000 000000* 1256 | L0017436 000000 000000* 1257 | L0017448 000000 000000* 1258 | L0017460 000000 000000* 1259 | L0017472 000000 000000* 1260 | L0017484 000000 000000* 1261 | L0017496 00000000 00000000* 1262 | L0017512 00000000 00000000* 1263 | L0017528 00000000 00000000* 1264 | L0017544 00000000 00000000* 1265 | L0017560 00000000 00000000* 1266 | L0017576 00000000 00000000* 1267 | L0017592 00000000 00000000* 1268 | L0017608 00000000 00000000* 1269 | L0017624 00000000 00000000* 1270 | L0017640 000000 000000* 1271 | L0017652 000000 000000* 1272 | L0017664 000000 000000* 1273 | L0017676 000000 000000* 1274 | L0017688 000000 000000* 1275 | L0017700 000000 000000* 1276 | L0017712 00000000 00000000* 1277 | L0017728 00000000 00000000* 1278 | L0017744 00000000 00000000* 1279 | L0017760 00000000 00000000* 1280 | L0017776 00000000 00000000* 1281 | L0017792 00000000 00000000* 1282 | L0017808 01000100 00000000* 1283 | L0017824 10000100 00000000* 1284 | L0017840 10000100 00000000* 1285 | L0017856 000000 000000* 1286 | L0017868 000000 000000* 1287 | L0017880 000000 000000* 1288 | L0017892 000000 000000* 1289 | L0017904 100000 000000* 1290 | L0017916 000000 000000* 1291 | L0017928 00100000 00000000* 1292 | L0017944 10100000 00000000* 1293 | L0017960 11000100 00000000* 1294 | L0017976 11000100 00000000* 1295 | L0017992 11000100 00000000* 1296 | L0018008 01000000 00000000* 1297 | L0018024 10000000 00000000* 1298 | L0018040 00000000 00000000* 1299 | L0018056 00000000 00000000* 1300 | L0018072 000000 000000* 1301 | L0018084 000000 000000* 1302 | L0018096 000000 000000* 1303 | L0018108 000010 000000* 1304 | L0018120 000010 000000* 1305 | L0018132 000000 000000* 1306 | L0018144 00000000 00000000* 1307 | L0018160 00000000 00000000* 1308 | L0018176 00000000 00000000* 1309 | L0018192 00000000 00000000* 1310 | L0018208 00000000 00000000* 1311 | L0018224 00000000 00000000* 1312 | L0018240 00000000 00000000* 1313 | L0018256 00000000 00000000* 1314 | L0018272 00000000 00000000* 1315 | L0018288 000000 000000* 1316 | L0018300 000000 000000* 1317 | L0018312 000000 000000* 1318 | L0018324 000000 000000* 1319 | L0018336 000000 000000* 1320 | L0018348 000000 000000* 1321 | L0018360 00000000 00000000* 1322 | L0018376 00000000 00000000* 1323 | L0018392 00000000 00000000* 1324 | L0018408 00000000 00000000* 1325 | L0018424 00000000 00000000* 1326 | L0018440 00000000 00000000* 1327 | L0018456 00000000 00000000* 1328 | L0018472 00000000 00000000* 1329 | L0018488 00000000 00000000* 1330 | L0018504 000000 000000* 1331 | L0018516 000000 000000* 1332 | L0018528 000000 000000* 1333 | L0018540 000000 000000* 1334 | L0018552 000000 000000* 1335 | L0018564 000000 000000* 1336 | L0018576 00000000 00000000* 1337 | L0018592 00000000 00000000* 1338 | L0018608 00000000 00000000* 1339 | L0018624 00000000 00000000* 1340 | L0018640 00000000 00000000* 1341 | L0018656 00000000 00000000* 1342 | L0018672 00000000 00000000* 1343 | L0018688 00000000 00000000* 1344 | L0018704 00000000 00000000* 1345 | L0018720 000000 000000* 1346 | L0018732 000000 000010* 1347 | L0018744 000000 000000* 1348 | L0018756 000000 000000* 1349 | L0018768 000000 000000* 1350 | L0018780 000000 000000* 1351 | L0018792 00000000 00000000* 1352 | L0018808 00000000 00000000* 1353 | L0018824 00000000 00000000* 1354 | L0018840 00000000 00000000* 1355 | L0018856 00000000 00000000* 1356 | L0018872 00000000 00000000* 1357 | L0018888 00000000 00000000* 1358 | L0018904 00000000 00000000* 1359 | L0018920 00000000 00000000* 1360 | L0018936 000000 000000* 1361 | L0018948 000000 000000* 1362 | L0018960 000000 000000* 1363 | L0018972 000000 000000* 1364 | L0018984 000000 000000* 1365 | L0018996 000000 000000* 1366 | L0019008 00000000 00000000* 1367 | L0019024 00000000 00000000* 1368 | L0019040 00000000 00000000* 1369 | L0019056 00000000 00000000* 1370 | L0019072 00000000 00000000* 1371 | L0019088 00000000 00000000* 1372 | L0019104 00000000 00000000* 1373 | L0019120 00000000 00000000* 1374 | L0019136 00000000 00000000* 1375 | L0019152 000000 000000* 1376 | L0019164 000000 000000* 1377 | L0019176 000000 000000* 1378 | L0019188 000000 000000* 1379 | L0019200 000000 000000* 1380 | L0019212 000000 000000* 1381 | L0019224 00000000 00000000* 1382 | L0019240 00000000 00000000* 1383 | L0019256 00000000 00000000* 1384 | L0019272 00000000 00000000* 1385 | L0019288 00000000 00000000* 1386 | L0019304 00000000 00000000* 1387 | L0019320 00000000 00000000* 1388 | L0019336 00000000 00000000* 1389 | L0019352 00000000 00000000* 1390 | L0019368 000000 000000* 1391 | L0019380 000000 000000* 1392 | L0019392 000000 000000* 1393 | L0019404 000000 000000* 1394 | L0019416 000000 000000* 1395 | L0019428 000000 000000* 1396 | L0019440 00000000 00000000* 1397 | L0019456 00000000 00000000* 1398 | L0019472 00000000 00000000* 1399 | L0019488 00000000 00000000* 1400 | L0019504 00000000 00000000* 1401 | L0019520 00000000 00000000* 1402 | L0019536 00000000 00000000* 1403 | L0019552 00000000 00000000* 1404 | L0019568 00000000 00000000* 1405 | L0019584 000000 000000* 1406 | L0019596 000000 000000* 1407 | L0019608 000000 000000* 1408 | L0019620 000000 000000* 1409 | L0019632 000000 000000* 1410 | L0019644 000000 000000* 1411 | L0019656 00000000 00000000* 1412 | L0019672 00000000 00000000* 1413 | L0019688 00000000 00000000* 1414 | L0019704 00000000 00000000* 1415 | L0019720 00000000 00000000* 1416 | L0019736 00000000 00000000* 1417 | L0019752 00000000 00000000* 1418 | L0019768 00000000 00000000* 1419 | L0019784 00000000 00000000* 1420 | L0019800 000000 000000* 1421 | L0019812 000000 000000* 1422 | L0019824 000000 000000* 1423 | L0019836 000000 000000* 1424 | L0019848 000000 000000* 1425 | L0019860 000000 000000* 1426 | L0019872 00000000 00000000* 1427 | L0019888 00000000 00000000* 1428 | L0019904 00000000 00000000* 1429 | L0019920 00000000 00000000* 1430 | L0019936 00000000 00000000* 1431 | L0019952 00000000 00000000* 1432 | L0019968 00000000 00000000* 1433 | L0019984 00000000 00000000* 1434 | L0020000 00000000 00000000* 1435 | L0020016 000000 000000* 1436 | L0020028 000000 000000* 1437 | L0020040 000000 000000* 1438 | L0020052 000000 000000* 1439 | L0020064 000000 000000* 1440 | L0020076 000000 000000* 1441 | L0020088 00000000 00000000* 1442 | L0020104 00000000 00000000* 1443 | L0020120 00000000 00000000* 1444 | L0020136 00000000 00000000* 1445 | L0020152 00000000 00000000* 1446 | L0020168 00000000 00000000* 1447 | L0020184 00000000 00000000* 1448 | L0020200 00000000 00000000* 1449 | L0020216 00000000 00000000* 1450 | L0020232 000000 000000* 1451 | L0020244 000000 000000* 1452 | L0020256 000000 000000* 1453 | L0020268 000000 000000* 1454 | L0020280 000000 000000* 1455 | L0020292 000000 000000* 1456 | L0020304 00000000 00000000* 1457 | L0020320 00000000 00000000* 1458 | L0020336 00000000 00000000* 1459 | L0020352 00000000 00000000* 1460 | L0020368 00000000 00000000* 1461 | L0020384 00000000 00000000* 1462 | L0020400 00000000 00000000* 1463 | L0020416 00000000 00000000* 1464 | L0020432 00000000 00000000* 1465 | L0020448 000000 000000* 1466 | L0020460 000000 000000* 1467 | L0020472 000000 000000* 1468 | L0020484 000000 000000* 1469 | L0020496 000000 000000* 1470 | L0020508 000000 000000* 1471 | L0020520 00000000 00000000* 1472 | L0020536 00000000 00000000* 1473 | L0020552 00000000 00000000* 1474 | L0020568 00000000 00000000* 1475 | L0020584 00000000 00000000* 1476 | L0020600 00000000 00000000* 1477 | L0020616 00000000 00000000* 1478 | L0020632 00000000 00000000* 1479 | L0020648 00000000 00000000* 1480 | L0020664 000000 000000* 1481 | L0020676 000000 000000* 1482 | L0020688 000000 000000* 1483 | L0020700 000000 000000* 1484 | L0020712 000000 000000* 1485 | L0020724 000000 000000* 1486 | L0020736 00000000 00000000* 1487 | L0020752 00000000 00000000* 1488 | L0020768 00000000 00000000* 1489 | L0020784 00000000 00000000* 1490 | L0020800 00000000 00000000* 1491 | L0020816 00000000 00000000* 1492 | L0020832 00000000 00000000* 1493 | L0020848 00000000 00000000* 1494 | L0020864 00000000 00000000* 1495 | L0020880 000000 000000* 1496 | L0020892 000000 000000* 1497 | L0020904 000000 000000* 1498 | L0020916 000000 000000* 1499 | L0020928 000000 000000* 1500 | L0020940 000000 000000* 1501 | L0020952 00000000 00000000* 1502 | L0020968 00000000 00000000* 1503 | L0020984 00000000 00000000* 1504 | L0021000 00000000 00000000* 1505 | L0021016 00000000 00000000* 1506 | L0021032 00000000 00000000* 1507 | L0021048 00000000 00000000* 1508 | L0021064 00000000 00000000* 1509 | L0021080 00000000 00000000* 1510 | L0021096 000000 000000* 1511 | L0021108 000000 000000* 1512 | L0021120 000000 000000* 1513 | L0021132 000000 000000* 1514 | L0021144 000000 000000* 1515 | L0021156 000000 000000* 1516 | L0021168 00000000 00000000* 1517 | L0021184 00000000 00000000* 1518 | L0021200 00000000 00000000* 1519 | L0021216 00000000 00000000* 1520 | L0021232 00000000 00000000* 1521 | L0021248 00000000 00010000* 1522 | L0021264 00000000 00000000* 1523 | L0021280 00000000 00000000* 1524 | L0021296 00000000 00000000* 1525 | L0021312 000000 000000* 1526 | L0021324 000000 000000* 1527 | L0021336 000000 000000* 1528 | L0021348 000000 000000* 1529 | L0021360 000001 000000* 1530 | L0021372 000000 000000* 1531 | L0021384 00000000 00000000* 1532 | L0021400 00000000 00000000* 1533 | L0021416 01000100 00000000* 1534 | L0021432 01000100 00000000* 1535 | L0021448 01000100 00000000* 1536 | L0021464 00000000 00000000* 1537 | L0021480 11000100 00000000* 1538 | L0021496 10000100 00000000* 1539 | L0021512 10000100 00000000* 1540 | L0021528 100001 000000* 1541 | L0021540 000001 000000* 1542 | L0021552 000001 000000* 1543 | L0021564 000001 000000* 1544 | L0021576 000000 000000* 1545 | L0021588 000000 000000* 1546 | L0021600 00000000 00000000* 1547 | L0021616 00000000 00000000* 1548 | L0021632 00000000 00000000* 1549 | L0021648 00000000 00000000* 1550 | L0021664 00000000 00000000* 1551 | L0021680 00000000 01000000* 1552 | L0021696 00000000 00000000* 1553 | L0021712 00000000 00000000* 1554 | L0021728 00000000 00000000* 1555 | L0021744 000000 000000* 1556 | L0021756 000000 000000* 1557 | L0021768 000000 000000* 1558 | L0021780 000000 000000* 1559 | L0021792 000000 000000* 1560 | L0021804 000000 000000* 1561 | L0021816 00000000 00000000* 1562 | L0021832 00000000 00000000* 1563 | L0021848 00000000 00000000* 1564 | L0021864 00000000 00000000* 1565 | L0021880 00000000 00000000* 1566 | L0021896 00000000 00000000* 1567 | L0021912 00000000 00000000* 1568 | L0021928 00000000 00000000* 1569 | L0021944 00000000 00000000* 1570 | L0021960 000000 000000* 1571 | L0021972 000000 000000* 1572 | L0021984 000000 000000* 1573 | L0021996 000000 000000* 1574 | L0022008 000000 000000* 1575 | L0022020 000000 000000* 1576 | L0022032 00000000 00000000* 1577 | L0022048 00000000 00000000* 1578 | L0022064 00000000 00000000* 1579 | L0022080 00000000 00000000* 1580 | L0022096 00000000 00000000* 1581 | L0022112 00000000 00000000* 1582 | L0022128 00000000 00000000* 1583 | L0022144 00000000 00000000* 1584 | L0022160 00000000 00000000* 1585 | L0022176 000000 000000* 1586 | L0022188 000000 000000* 1587 | L0022200 000000 000000* 1588 | L0022212 000000 000000* 1589 | L0022224 000000 000000* 1590 | L0022236 000000 000000* 1591 | L0022248 00000000 00000000* 1592 | L0022264 00000000 00000000* 1593 | L0022280 00000000 00000000* 1594 | L0022296 00000000 00000000* 1595 | L0022312 00000000 00000000* 1596 | L0022328 00000000 00000000* 1597 | L0022344 00000000 00000000* 1598 | L0022360 00000000 00000000* 1599 | L0022376 00000000 00000000* 1600 | L0022392 000000 000000* 1601 | L0022404 000000 000000* 1602 | L0022416 000000 000000* 1603 | L0022428 000000 000000* 1604 | L0022440 000000 000000* 1605 | L0022452 000000 000000* 1606 | L0022464 00000000 00000000* 1607 | L0022480 00000000 00000000* 1608 | L0022496 00000000 00000000* 1609 | L0022512 00000000 00000000* 1610 | L0022528 00000000 00000000* 1611 | L0022544 00000000 00000000* 1612 | L0022560 00000000 00000000* 1613 | L0022576 00000000 00000000* 1614 | L0022592 00000000 00000000* 1615 | L0022608 000000 000000* 1616 | L0022620 000000 000000* 1617 | L0022632 000000 000000* 1618 | L0022644 000000 000000* 1619 | L0022656 000000 000000* 1620 | L0022668 000000 000000* 1621 | L0022680 00000000 00000000* 1622 | L0022696 00000000 00000000* 1623 | L0022712 00000000 00000000* 1624 | L0022728 00000000 00000000* 1625 | L0022744 00000000 00000000* 1626 | L0022760 00000000 00000000* 1627 | L0022776 00000000 00000000* 1628 | L0022792 00000000 00000000* 1629 | L0022808 00000000 00000000* 1630 | L0022824 000000 000000* 1631 | L0022836 000000 000000* 1632 | L0022848 000000 000000* 1633 | L0022860 000000 000000* 1634 | L0022872 000000 000000* 1635 | L0022884 000000 000000* 1636 | L0022896 00000000 00000000* 1637 | L0022912 00000000 00000000* 1638 | L0022928 00000000 00000000* 1639 | L0022944 00000000 00000000* 1640 | L0022960 00000000 00000000* 1641 | L0022976 00000000 00000000* 1642 | L0022992 00000000 00000000* 1643 | L0023008 00000000 00000000* 1644 | L0023024 00000000 00000000* 1645 | L0023040 000000 000000* 1646 | L0023052 000000 000000* 1647 | L0023064 000000 000000* 1648 | L0023076 000000 000000* 1649 | L0023088 000000 000000* 1650 | L0023100 000000 000000* 1651 | L0023112 00000000 00000000* 1652 | L0023128 00000000 00000000* 1653 | L0023144 00000000 00000000* 1654 | L0023160 00000000 00000000* 1655 | L0023176 00000000 00000000* 1656 | L0023192 00000000 00000000* 1657 | L0023208 00000000 00000000* 1658 | L0023224 00000000 00000000* 1659 | L0023240 00000000 00000000* 1660 | L0023256 000000 000000* 1661 | L0023268 000000 000000* 1662 | L0023280 000000 000000* 1663 | L0023292 000000 000000* 1664 | L0023304 000000 000000* 1665 | L0023316 000000 000000* 1666 | C7CDB* 1667 | 6577 1668 | -------------------------------------------------------------------------------- /programming/CMD.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/programming/CMD.PNG -------------------------------------------------------------------------------- /programming/FT232H_board.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/programming/FT232H_board.jpg -------------------------------------------------------------------------------- /programming/README.md: -------------------------------------------------------------------------------- 1 | This programming guide for Windows 10 uses the cheap FT232H board. My unit cost 7€ from Aliexpress. 2 | ![picture of FT232H board](FT232H_board.jpg) 3 | 4 | I use it with an adapter which has test needles for the signals. 5 | 6 | ![picture of programming adapter](programming_adapter_assembled.jpg) 7 | 8 | I also have a TQFP44 to DIL adapter which allow the programming of loose chips. 9 | ![picture of programming adapter TQFP44](programming_adapter_TQFP44.jpg) 10 | 11 | 1. Plug in FT232H adapteri to an USB port. Windows 10 will automatically install default drivers 12 | 2. Replace Win10 FTDI default drivers: 13 | - Download Zadig from https://zadig.akeo.ie/ or directly [here](https://github.com/1c3d1v3r/neatPLA/blob/master/programming/zadig-2.5.exe) 14 | - Launch Zadic. Select Options List all devices. 15 | - Select Single RS232-HS (or other compatible FTDI device) 16 | - Select libusb-win32 as the new driver and click "Replace Driver" 17 | ![picture of Zadig window](Zadig.PNG) 18 | 3. Download [xc3sprog.zip](https://github.com/1c3d1v3r/neatPLA/blob/master/programming/xc3sprog.zip). It contains the xc3sprog.exe programming tool and the neatPLA.jed file. 19 | 4. Extract .zip file 20 | 5. Open CMD and go to extracted folder 21 | 6. Connect FT232H board to neatPLA PCB 22 | - AD0 --> TCK 23 | - AD1 --> TDI 24 | - AD2 --> TDO 25 | - AD3 --> TMS 26 | - GND --> GND 27 | - 3.3V --> 3.3V OR 5V --> 5V. Do not connect both! Using 5V verifies the working of the LDO regulator. 28 | 7. In CMD give command: xc3sprog -c ft232h -v neatPLA.jed 29 | The XC9536XL CPLD IC is now programmed. 30 | ![picture of CMD window](CMD.PNG) 31 | 8. To program more ICs repeat steps 6 & 7. 32 | -------------------------------------------------------------------------------- /programming/Zadig.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/programming/Zadig.PNG -------------------------------------------------------------------------------- /programming/programming_adapter_TQFP44.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/programming/programming_adapter_TQFP44.jpg -------------------------------------------------------------------------------- /programming/programming_adapter_assembled.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/programming/programming_adapter_assembled.jpg -------------------------------------------------------------------------------- /programming/xc3sprog.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/programming/xc3sprog.zip -------------------------------------------------------------------------------- /programming/zadig-2.5.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/1c3d1v3r/neatPLA/5eb1e516aa0e10dcaf5fa49be552fb0d9c3af5f9/programming/zadig-2.5.exe --------------------------------------------------------------------------------