├── .cirrus.yml ├── .editorconfig ├── .gitattributes ├── .github └── workflows │ ├── allow_whitespace.pats │ ├── build-and-test-macos.yml │ ├── build-and-test-ubuntu.yml │ ├── check_confdir.py │ ├── check_symlinks.py │ ├── check_whitespace.sh │ ├── ci.yml │ ├── install_dependencies_doc_macos.sh │ ├── install_dependencies_doc_ubuntu.sh │ ├── install_dependencies_macos.sh │ ├── install_dependencies_releasenotes_ubuntu.sh │ ├── install_dependencies_testsuite_macos.sh │ ├── install_dependencies_testsuite_ubuntu.sh │ └── install_dependencies_ubuntu.sh ├── .gitignore ├── .gitmodules ├── COPYING ├── DEVELOP.md ├── Dockerfile ├── GNUmakefile ├── INSTALL.md ├── LICENSE.txt ├── LICENSES ├── LICENSE.ghc ├── LICENSE.hbc ├── LICENSE.parsec ├── LICENSE.parsec_html ├── LICENSE.stp ├── LICENSE.stp_components ├── LICENSE.yices └── LICENSE.yices-painless ├── README.md ├── doc ├── .gitignore ├── BH_ref_guide │ ├── BH_lang.bib │ ├── BH_lang.tex │ ├── Makefile │ └── version.tex ├── BSV_ref_guide │ ├── .gitignore │ ├── BSV_lang.tex │ ├── Figures │ │ ├── fig_Contents_Elaborated.png │ │ ├── fig_Contents_Interface_Decl.png │ │ ├── fig_Contents_Method_Def.png │ │ ├── fig_Contents_Module_Decl.png │ │ ├── fig_Contents_Package.png │ │ ├── fig_Contents_Rule.png │ │ ├── fig_MappingToHW.png │ │ ├── fig_MappingToHW.sxd │ │ ├── fig_Overall_Structure.png │ │ ├── path.dia │ │ └── path.png │ ├── Makefile │ ├── StmtFSM.tex │ ├── extractGrammar.c │ ├── lang.bib │ └── version.tex ├── Makefile ├── common │ └── B-Lang.png ├── libraries_ref_guide │ ├── LibDoc │ │ ├── AlignedFIFOs.tex │ │ ├── Arbiter.tex │ │ ├── Assert.tex │ │ ├── BGetPut.tex │ │ ├── BRAM.tex │ │ ├── BRAMCore.tex │ │ ├── BRAMFIFO.tex │ │ ├── BuildVector.tex │ │ ├── CBus.tex │ │ ├── CGetPut.tex │ │ ├── CRC.tex │ │ ├── ClientServer.tex │ │ ├── Clocks.tex │ │ ├── Cntrs.tex │ │ ├── CommitIfc.tex │ │ ├── CompletionBuffer.tex │ │ ├── Complex.tex │ │ ├── ConfigReg.tex │ │ ├── Connectable.tex │ │ ├── Counter.tex │ │ ├── DReg.tex │ │ ├── DefaultValue.tex │ │ ├── Divide.tex │ │ ├── Enum.tex │ │ ├── FIFO.tex │ │ ├── FShow.tex │ │ ├── FixedPoint.tex │ │ ├── FloatingPoint.tex │ │ ├── Gearbox.tex │ │ ├── GetPut.tex │ │ ├── Gray.tex │ │ ├── GrayCounter.tex │ │ ├── HList.tex │ │ ├── LBus.tex │ │ ├── LFSR.tex │ │ ├── LevelFIFO.tex │ │ ├── List.tex │ │ ├── MIMO.tex │ │ ├── Math.tex │ │ ├── Memory.tex │ │ ├── ModuleCollect.tex │ │ ├── ModuleContext.tex │ │ ├── NumberTypes.tex │ │ ├── OInt.tex │ │ ├── OVLAssertions.tex │ │ ├── Prelude.tex │ │ ├── Printf.tex │ │ ├── Probe.tex │ │ ├── RWire.tex │ │ ├── Randomizable.tex │ │ ├── Real.tex │ │ ├── RegFile.tex │ │ ├── Reserved.tex │ │ ├── RevertingVirtualReg.tex │ │ ├── SpecialFIFOs.tex │ │ ├── SquareRoot.tex │ │ ├── StmtFSM.tex │ │ ├── TieOff.tex │ │ ├── TriState.tex │ │ ├── UniqueWrappers.tex │ │ ├── UnitAppendList.tex │ │ ├── Vector.tex │ │ └── ZBus.tex │ ├── LibFig │ │ ├── BRAM.dia │ │ ├── BRAM.png │ │ ├── Makereseta.dia │ │ ├── Makereseta.png │ │ ├── SyncRegSlow.dia │ │ ├── SyncRegSlow.png │ │ ├── SyncRegtoFast.dia │ │ ├── SyncRegtoFast.png │ │ ├── bitsynch.dia │ │ ├── bitsynch.png │ │ ├── bitsynch05.dia │ │ ├── bitsynch05.png │ │ ├── bitsynch1.dia │ │ ├── bitsynch1.png │ │ ├── bitsynch15.dia │ │ ├── bitsynch15.png │ │ ├── cbus1.dia │ │ ├── cbus1.png │ │ ├── clockdiv.dia │ │ ├── clockdiv.png │ │ ├── clockmux.dia │ │ ├── clockmux.png │ │ ├── clockselect.dia │ │ ├── clockselect.png │ │ ├── dualram.dia │ │ ├── dualram.png │ │ ├── gatedclock.dia │ │ ├── gatedclock.png │ │ ├── makeclock.dia │ │ ├── makeclock.png │ │ ├── nullcrossingreg.dia │ │ ├── nullcrossingreg.png │ │ ├── resetEither.dia │ │ ├── resetEither.png │ │ ├── resetmux.dia │ │ ├── resetmux.png │ │ ├── syncfifo.dia │ │ ├── syncfifo.png │ │ ├── syncfifocount.dia │ │ ├── syncfifocount.png │ │ ├── syncfifotofast.dia │ │ ├── syncfifotofast.png │ │ ├── syncfifotoslow.dia │ │ ├── syncfifotoslow.png │ │ ├── synchandshake.dia │ │ ├── synchandshake.png │ │ ├── syncpulse.dia │ │ ├── syncpulse.png │ │ ├── syncregister.dia │ │ ├── syncregister.png │ │ ├── syncreset.dia │ │ ├── syncreset.png │ │ ├── syncreseta.dia │ │ ├── syncreseta.png │ │ ├── syncwire.dia │ │ ├── syncwire.png │ │ ├── tristate.dia │ │ └── tristate.png │ ├── Makefile │ ├── libraries_ref_guide.tex │ └── version.tex └── user_guide │ ├── Makefile │ ├── bluetcl.tex │ ├── check-flags.pl │ ├── check-sim-flags.pl │ ├── figures │ ├── compilerstages.dia │ ├── compilerstages.png │ ├── hierarchy.dia │ └── hierarchy.png │ ├── user_guide.tex │ └── version.tex ├── examples └── smoke_test │ ├── .gitignore │ ├── FibOne.bsv │ ├── Makefile │ └── mkFibOne.out.expected ├── platform.mk ├── platform.sh ├── release ├── .gitignore ├── Makefile ├── ReleaseNotes.adoc ├── tarball-COPYING └── tarball-README ├── src ├── Libraries │ ├── Base1 │ │ ├── ActionSeq.bs │ │ ├── Array.bsv │ │ ├── Assert.bs │ │ ├── CShow.bs │ │ ├── Clocks.bsv │ │ ├── ConfigReg.bs │ │ ├── Connectable.bs │ │ ├── Counter.bs │ │ ├── Enum.bs │ │ ├── Environment.bs │ │ ├── FIFO.bs │ │ ├── FIFOF.bs │ │ ├── FIFOF_.bsv │ │ ├── FIFOLevel.bsv │ │ ├── FShow.bsv │ │ ├── FoldFIFO.bs │ │ ├── FoldFIFOF.bs │ │ ├── Fork.bs │ │ ├── GetPut.bs │ │ ├── Inout.bsv │ │ ├── LevelFIFO.bsv │ │ ├── List.bs │ │ ├── ListN.bs │ │ ├── Makefile │ │ ├── Once.bs │ │ ├── Prelude.bs │ │ ├── PreludeBSV.bsv │ │ ├── Probe.bsv │ │ ├── ProbeWire.bsv │ │ ├── RWire.bsv │ │ ├── Real.bs │ │ ├── RegFile.bs │ │ ├── Reserved.bs │ │ ├── RevertingVirtualReg.bs │ │ ├── SShow.bs │ │ ├── Vector.bs │ │ └── depends.mk │ ├── Base2 │ │ ├── BGetPut.bs │ │ ├── BRAMCore.bsv │ │ ├── BRAM_Compat.bsv │ │ ├── BitUtils.bsv │ │ ├── BitonicSort.bs │ │ ├── Boolify.bs │ │ ├── CGetPut.bs │ │ ├── ClientServer.bs │ │ ├── CompletionBuffer.bsv │ │ ├── DPSRAM.bs │ │ ├── DReg.bs │ │ ├── EqFunction.bs │ │ ├── FlexBitArith.bs │ │ ├── IVec.bs │ │ ├── LFSR.bs │ │ ├── ListFIFO.bs │ │ ├── ListReg.bs │ │ ├── Makefile │ │ ├── Mcp.bs │ │ ├── ModuleAugmented.bs │ │ ├── OInt.bs │ │ ├── OVLAssertions.bsv │ │ ├── PopCount.bs │ │ ├── Pull.bs │ │ ├── Push.bs │ │ ├── RAM.bs │ │ ├── RPush.bs │ │ ├── RegTwo.bs │ │ ├── SPSRAM.bs │ │ ├── SRAM.bs │ │ ├── SRAMFile.bs │ │ ├── STRAM.bs │ │ ├── SVA.bsv │ │ ├── SplitTRAM.bs │ │ ├── StmtFSM.bs │ │ ├── SyncSRAM.bs │ │ ├── TRAM.bs │ │ ├── Tabulate.bs │ │ ├── ToString.bs │ │ ├── TriState.bsv │ │ ├── TurboFIFO.bsv │ │ ├── UIntRange.bs │ │ ├── UniqueWrappers.bsv │ │ ├── Wallace.bs │ │ ├── ZBus.bsv │ │ ├── ZBusUtil.bsv │ │ ├── depends.mk │ │ └── std_ovl_defines.h │ ├── Base3-Contexts │ │ ├── CBus.bsv │ │ ├── Contexts.bsv │ │ ├── Contexts.defines │ │ ├── LBus.bsv │ │ ├── Makefile │ │ ├── ModuleCollect.bsv │ │ ├── ModuleContext.bsv │ │ └── ModuleContextCore.bs │ ├── Base3-Math │ │ ├── Complex.bsv │ │ ├── Divide.bsv │ │ ├── FixedPoint.bsv │ │ ├── FloatingPoint.bsv │ │ ├── Makefile │ │ ├── Math.bsv │ │ ├── NumberTypes.bsv │ │ └── SquareRoot.bsv │ ├── Base3-Misc │ │ ├── AlignedFIFOs.bsv │ │ ├── Arbiter.bsv │ │ ├── Arbitrate.bsv │ │ ├── BRAM.bsv │ │ ├── BRAMFIFO.bsv │ │ ├── BUtils.bsv │ │ ├── BuildList.bs │ │ ├── BuildVector.bsv │ │ ├── BypassReg.bsv │ │ ├── CRC.bsv │ │ ├── Cntrs.bsv │ │ ├── CommitIfc.bsv │ │ ├── DefaultValue.bsv │ │ ├── DummyDriver.bsv │ │ ├── EdgeDetect.bsv │ │ ├── Gearbox.bsv │ │ ├── Gray.bsv │ │ ├── GrayCounter.bsv │ │ ├── HList.bsv │ │ ├── MIMO.bsv │ │ ├── Makefile │ │ ├── Memory.bsv │ │ ├── Misc.bsv │ │ ├── NullCrossingFIFOF.bsv │ │ ├── PAClib.bsv │ │ ├── Printf.bsv │ │ ├── Randomizable.bsv │ │ ├── SpecialFIFOs.bsv │ │ ├── TieOff.bsv │ │ └── UnitAppendList.bsv │ ├── Makefile │ └── common.mk ├── Makefile ├── Parsec │ ├── Parsec.hs │ ├── ParsecChar.hs │ ├── ParsecCombinator.hs │ ├── ParsecExpr.hs │ ├── ParsecLanguage.hs │ ├── ParsecPerm.hs │ ├── ParsecPrim.hs │ └── ParsecToken.hs ├── VPI │ ├── .gitignore │ ├── Makefile │ ├── bdpi.h │ ├── libbdpi.c │ └── vpi_user.h ├── Verilator │ ├── Makefile │ ├── sim_main.cpp │ └── verilator_config.vlt ├── Verilog.Quartus │ ├── BRAM1.v │ ├── BRAM1BE.v │ ├── BRAM1BELoad.v │ ├── BRAM1Load.v │ ├── BRAM2.v │ ├── BRAM2BE.v │ ├── BRAM2BELoad.v │ ├── BRAM2Load.v │ └── Makefile ├── Verilog.Vivado │ ├── BRAM1.v │ ├── BRAM1BE.v │ ├── BRAM1BELoad.v │ ├── BRAM1Load.v │ ├── BRAM2.v │ ├── BRAM2BE.v │ ├── BRAM2BELoad.v │ ├── BRAM2Load.v │ ├── GatedClock.v │ ├── MakeClock.v │ ├── Makefile │ ├── RegFile.v │ └── SizedFIFO.v ├── Verilog │ ├── .gitignore │ ├── BRAM1.v │ ├── BRAM1BE.v │ ├── BRAM1BELoad.v │ ├── BRAM1Load.v │ ├── BRAM2.v │ ├── BRAM2BE.v │ ├── BRAM2BELoad.v │ ├── BRAM2Load.v │ ├── Bluespec.xcf │ ├── BypassWire.v │ ├── BypassWire0.v │ ├── CRegA5.v │ ├── CRegN5.v │ ├── CRegUN5.v │ ├── ClockDiv.v │ ├── ClockGen.v │ ├── ClockInverter.v │ ├── ClockMux.v │ ├── ClockSelect.v │ ├── ConstrainedRandom.v │ ├── ConvertFromZ.v │ ├── ConvertToZ.v │ ├── Counter.v │ ├── CrossingBypassWire.v │ ├── CrossingRegA.v │ ├── CrossingRegN.v │ ├── CrossingRegUN.v │ ├── DualPortRam.v │ ├── Empty.v │ ├── FIFO1.v │ ├── FIFO10.v │ ├── FIFO2.v │ ├── FIFO20.v │ ├── FIFOL1.v │ ├── FIFOL10.v │ ├── FIFOL2.v │ ├── FIFOL20.v │ ├── Fork.v │ ├── GatedClock.v │ ├── GatedClockDiv.v │ ├── GatedClockInverter.v │ ├── InitialReset.v │ ├── InoutConnect.v │ ├── LatchCrossingReg.v │ ├── MakeClock.v │ ├── MakeReset.v │ ├── MakeReset0.v │ ├── MakeResetA.v │ ├── Makefile │ ├── McpRegUN.v │ ├── ProbeCapture.v │ ├── ProbeHook.v │ ├── ProbeMux.v │ ├── ProbeTrigger.v │ ├── ProbeValue.v │ ├── ProbeWire.v │ ├── RWire.v │ ├── RWire0.v │ ├── RegA.v │ ├── RegFile.v │ ├── RegFileLoad.v │ ├── RegN.v │ ├── RegTwoA.v │ ├── RegTwoN.v │ ├── RegTwoUN.v │ ├── RegUN.v │ ├── ResetEither.v │ ├── ResetInverter.v │ ├── ResetMux.v │ ├── ResetToBool.v │ ├── ResolveZ.v │ ├── RevertReg.v │ ├── SampleReg.v │ ├── ScanIn.v │ ├── SizedFIFO.v │ ├── SizedFIFO0.v │ ├── SizedFIFOL.v │ ├── SizedFIFOL0.v │ ├── SyncBit.v │ ├── SyncBit05.v │ ├── SyncBit1.v │ ├── SyncBit15.v │ ├── SyncFIFO.v │ ├── SyncFIFO0.v │ ├── SyncFIFO1.v │ ├── SyncFIFO10.v │ ├── SyncFIFOLevel.v │ ├── SyncFIFOLevel0.v │ ├── SyncHandshake.v │ ├── SyncPulse.v │ ├── SyncRegister.v │ ├── SyncReset.v │ ├── SyncReset0.v │ ├── SyncResetA.v │ ├── SyncWire.v │ ├── TriState.v │ ├── UngatedClockMux.v │ ├── UngatedClockSelect.v │ ├── common.mk │ ├── copy_module.pl │ └── main.v ├── bluesim │ ├── .gitignore │ ├── Makefile │ ├── bluesim_kernel_api.h │ ├── bluesim_primitives.h │ ├── bluesim_probes.h │ ├── bluesim_systemc.h │ ├── bluesim_types.h │ ├── bs_elf_export_map.txt │ ├── bs_mach-o_export_map.txt │ ├── bs_mem_defines.h │ ├── bs_mem_file.h │ ├── bs_model.h │ ├── bs_module.h │ ├── bs_prim_mod_bram.h │ ├── bs_prim_mod_clockgen.h │ ├── bs_prim_mod_clockmux.h │ ├── bs_prim_mod_counter.h │ ├── bs_prim_mod_fifo.h │ ├── bs_prim_mod_gatedclock.h │ ├── bs_prim_mod_probe.h │ ├── bs_prim_mod_reg.h │ ├── bs_prim_mod_regfile.h │ ├── bs_prim_mod_resets.h │ ├── bs_prim_mod_synchronizers.h │ ├── bs_prim_mod_wire.h │ ├── bs_prim_ops.h │ ├── bs_range_tracker.h │ ├── bs_reset.h │ ├── bs_symbol.h │ ├── bs_system_tasks.h │ ├── bs_target.h │ ├── bs_vcd.h │ ├── bs_wide_data.h │ ├── dollar_display.cxx │ ├── dollar_dumpvars.cxx │ ├── dollar_plusargs.cxx │ ├── dollar_stop_finish.cxx │ ├── dollar_time.cxx │ ├── event_queue.cxx │ ├── event_queue.h │ ├── gen_version_h │ ├── kernel.cxx │ ├── kernel.h │ ├── mem_alloc.cxx │ ├── mem_alloc.h │ ├── mem_file.cxx │ ├── module.cxx │ ├── plusargs.cxx │ ├── plusargs.h │ ├── portability.cxx │ ├── portability.h │ ├── prim_mod_bram.cxx │ ├── prim_mod_clockgen.cxx │ ├── prim_mod_clockmux.cxx │ ├── prim_mod_counter.cxx │ ├── prim_mod_fifo.cxx │ ├── prim_mod_gatedclock.cxx │ ├── prim_mod_probe.cxx │ ├── prim_mod_reg.cxx │ ├── prim_mod_regfile.cxx │ ├── prim_mod_resets.cxx │ ├── prim_mod_synchronizers.cxx │ ├── prim_mod_wire.cxx │ ├── prim_ops.cxx │ ├── priority.cxx │ ├── priority.h │ ├── rand32.cxx │ ├── rand32.h │ ├── reset.cxx │ ├── symbol.cxx │ ├── target.cxx │ ├── vcd.cxx │ └── wide_data.cxx ├── bluetcl │ ├── .gitignore │ ├── BluesimP.tcl │ ├── Makefile │ ├── bluesim.tcl │ ├── bluespec.tcl │ ├── makedepend.tcl │ ├── tclIndex.tcl │ └── utils.tcl ├── comp │ ├── .cvsignore │ ├── .ghci │ ├── .gitignore │ ├── AAddSchedAssumps.hs │ ├── AAddScheduleDefs.hs │ ├── ABin.hs │ ├── ABinUtil.hs │ ├── ACheck.hs │ ├── ACleanup.hs │ ├── AConv.hs │ ├── ADropDefs.hs │ ├── ADropUndet.hs │ ├── ADumpSchedule.hs │ ├── ADumpScheduleInfo.hs │ ├── AExpand.hs │ ├── AExpr2STP.hs │ ├── AExpr2Util.hs │ ├── AExpr2Yices.hs │ ├── ANoInline.hs │ ├── AOpt.hs │ ├── APaths.hs │ ├── APrims.hs │ ├── AProofs.hs │ ├── ARankMethCalls.hs │ ├── ARemoveAssumps.hs │ ├── ARenameIO.hs │ ├── ASchedule.hs │ ├── AScheduleInfo.hs │ ├── AState.hs │ ├── ASyntax.hs │ ├── ASyntaxUtil.hs │ ├── ATaskSplice.hs │ ├── AUses.hs │ ├── AVeriQuirks.hs │ ├── AVerilog.hs │ ├── AVerilogUtil.hs │ ├── Assump.hs │ ├── BDD.hs │ ├── BExpr.hs │ ├── Backend.hs │ ├── BackendNamingConventions.hs │ ├── Bag.hs │ ├── Balanced.lhs │ ├── BinData.hs │ ├── BinParse.hs │ ├── BinUtil.hs │ ├── BluesimLoader.hs │ ├── BoolExp.hs │ ├── BoolOpt.hs │ ├── CCSyntax.hs │ ├── CFreeVars.hs │ ├── CPPLineDirectives.hs │ ├── CSubst.hs │ ├── CSyntax.hs │ ├── CSyntaxTypes.hs │ ├── CSyntaxUtil.hs │ ├── CType.hs │ ├── CVPrint.hs │ ├── Classic.hs │ ├── ConTagInfo.hs │ ├── CondTree.hs │ ├── ContextErrors.hs │ ├── CtxRed.hs │ ├── DOT.hs │ ├── DPIWrappers.hs │ ├── Depend.hs │ ├── Deriving.hs │ ├── DisjointTest.hs │ ├── DynamicMap.hs │ ├── EquivalenceClass.hs │ ├── Error.hs │ ├── ErrorMonad.hs │ ├── ErrorUtil.hs │ ├── Eval.hs │ ├── Exceptions.hs │ ├── FStringCompat.hs │ ├── FileIOUtil.hs │ ├── FileNameUtil.hs │ ├── Fixity.hs │ ├── FixupDefs.hs │ ├── Flags.hs │ ├── FlagsDecode.hs │ ├── ForeignFunctions.hs │ ├── GHC │ │ ├── .cvsignore │ │ └── posix │ │ │ ├── .cvsignore │ │ │ └── TmpNam.hs │ ├── GHCPretty.lhs │ ├── GenABin.hs │ ├── GenBin.hs │ ├── GenForeign.hs │ ├── GenFuncWrap.hs │ ├── GenSign.hs │ ├── GenWrap.hs │ ├── GenWrapUtils.hs │ ├── GlobPattern.hs │ ├── GraphMap.hs │ ├── GraphPaths.hs │ ├── GraphUtil.hs │ ├── GraphWrapper.hs │ ├── IConv.hs │ ├── IConvLet.hs │ ├── IDropRules.hs │ ├── IExpand.hs │ ├── IExpandUtils.hs │ ├── IInline.hs │ ├── IInlineFmt.hs │ ├── IInlineUtil.hs │ ├── ILift.hs │ ├── IPrims.hs │ ├── ISimplify.hs │ ├── ISplitIf.hs │ ├── IStateLoc.hs │ ├── ISyntax.hs │ ├── ISyntaxCheck.hs │ ├── ISyntaxUtil.hs │ ├── ISyntaxXRef.hs │ ├── ITransform.hs │ ├── IType.hs │ ├── IWireSet.hs │ ├── Id.hs │ ├── IdPrint.hs │ ├── IfcBetterInfo.hs │ ├── InferKind.hs │ ├── InlineCReg.hs │ ├── InlineReg.hs │ ├── InlineWires.hs │ ├── InstNodes.hs │ ├── IntLit.hs │ ├── IntegerUtil.hs │ ├── Intervals.hs │ ├── KIMisc.hs │ ├── LambdaCalc.hs │ ├── LambdaCalcUtil.hs │ ├── Lex.hs │ ├── Libs │ │ ├── .cvsignore │ │ ├── IOMutVar.hs │ │ ├── IOUtil.hs │ │ ├── ListMap.hs │ │ ├── ListUtil.hs │ │ ├── MVarStrict.hs │ │ ├── Parse.hs │ │ └── Sort.hs │ ├── Literal.hs │ ├── Log2.hs │ ├── MakeSymTab.hs │ ├── Makefile │ ├── PFPrint.hs │ ├── PPrint.hs │ ├── PVPrint.hs │ ├── Params.hs │ ├── ParseOp.hs │ ├── Parser │ │ ├── BSV.hs │ │ ├── BSV │ │ │ ├── CVParser.lhs │ │ │ ├── CVParserAssertion.lhs │ │ │ ├── CVParserCommon.lhs │ │ │ ├── CVParserImperative.lhs │ │ │ └── CVParserUtil.lhs │ │ ├── Classic.hs │ │ └── Classic │ │ │ ├── CParser.hs │ │ │ └── Warnings.hs │ ├── PoisonUtils.hs │ ├── Position.hs │ ├── Pragma.hs │ ├── PragmaCheck.hs │ ├── PreIds.hs │ ├── PreStrings.hs │ ├── Pred.hs │ ├── Pred2STP.hs │ ├── Pred2Yices.hs │ ├── Pretty.hs │ ├── Prim.hs │ ├── ProofObligation.hs │ ├── RSchedule.hs │ ├── RealUtil.hs │ ├── SAL.hs │ ├── SAT.hs │ ├── SATPred.hs │ ├── SCC.hs │ ├── SEMonad.hs │ ├── SchedInfo.hs │ ├── Scheme.hs │ ├── SignalNaming.hs │ ├── SimBlocksToC.hs │ ├── SimCCBlock.hs │ ├── SimCOpt.hs │ ├── SimDomainInfo.hs │ ├── SimExpand.hs │ ├── SimFileUtils.hs │ ├── SimMakeCBlocks.hs │ ├── SimPackage.hs │ ├── SimPackageOpt.hs │ ├── SimPrimitiveModules.hs │ ├── Simplify.hs │ ├── SpeedyString.hs │ ├── StdPrel.hs │ ├── Subst.hs │ ├── SymTab.hs │ ├── Synthesize.hs │ ├── SystemCWrapper.hs │ ├── SystemCheck.hs │ ├── SystemVerilogKeywords.lhs │ ├── SystemVerilogPreprocess.lhs │ ├── SystemVerilogScanner.lhs │ ├── SystemVerilogTokens.lhs │ ├── TCMisc.hs │ ├── TCPat.hs │ ├── TCheck.hs │ ├── TIMonad.hs │ ├── TclParseUtils.hs │ ├── TclUtils.hs │ ├── TopUtils.hs │ ├── Type.hs │ ├── TypeAnalysis.hs │ ├── TypeAnalysisTclUtil.hs │ ├── TypeCheck.hs │ ├── TypeOps.hs │ ├── Undefined.hs │ ├── Unify.hs │ ├── Util.hs │ ├── VCD.hs │ ├── VFileName.hs │ ├── VFinalCleanup.hs │ ├── VIOProps.hs │ ├── VModInfo.hs │ ├── VPIWrappers.hs │ ├── VPrims.hs │ ├── VVerilogDollar.hs │ ├── Verilog.hs │ ├── Version.hs │ ├── Wires.hs │ ├── bluetcl.hs │ ├── bluetcl_Main.hsc │ ├── bsc.hs │ ├── bsc2bsv.hs │ ├── bsv2bsc.hs │ ├── dumpba.hs │ ├── dumpbo.hs │ ├── showrules.hs │ ├── update-build-system.sh │ ├── update-build-version.sh │ ├── vcdcheck.hs │ └── wrapper.sh ├── exec │ ├── Makefile │ ├── bsc_build_vsim_cvc │ ├── bsc_build_vsim_cver │ ├── bsc_build_vsim_isim │ ├── bsc_build_vsim_iverilog │ ├── bsc_build_vsim_modelsim │ ├── bsc_build_vsim_ncverilog │ ├── bsc_build_vsim_vcs │ ├── bsc_build_vsim_vcsi │ ├── bsc_build_vsim_verilator │ ├── bsc_build_vsim_veriwell │ └── bsc_build_vsim_xsim └── vendor │ ├── htcl │ ├── .gitignore │ ├── HTcl.hs │ ├── Makefile │ └── haskell.c │ ├── stp │ ├── .gitignore │ ├── HaskellIfc │ │ ├── STP.hs │ │ └── STPFFI.hs │ ├── Makefile │ ├── src │ │ ├── AST │ │ │ ├── AST.h │ │ │ ├── ASTBVConst.cpp │ │ │ ├── ASTBVConst.h │ │ │ ├── ASTInterior.cpp │ │ │ ├── ASTInterior.h │ │ │ ├── ASTInternal.h │ │ │ ├── ASTInternalWithChildren.h │ │ │ ├── ASTKind.kinds │ │ │ ├── ASTNode.cpp │ │ │ ├── ASTNode.h │ │ │ ├── ASTSymbol.cpp │ │ │ ├── ASTSymbol.h │ │ │ ├── ASTUtil.cpp │ │ │ ├── ASTmisc.cpp │ │ │ ├── ArrayTransformer.cpp │ │ │ ├── ArrayTransformer.h │ │ │ ├── Makefile │ │ │ ├── NodeFactory │ │ │ │ ├── HashingNodeFactory.cpp │ │ │ │ ├── HashingNodeFactory.h │ │ │ │ ├── NodeFactory.cpp │ │ │ │ ├── NodeFactory.h │ │ │ │ ├── SimplifyingNodeFactory.cpp │ │ │ │ ├── SimplifyingNodeFactory.h │ │ │ │ ├── TypeChecker.cpp │ │ │ │ └── TypeChecker.h │ │ │ ├── RunTimes.cpp │ │ │ ├── RunTimes.h │ │ │ ├── STLport_config.h │ │ │ ├── TestAST │ │ │ │ ├── asttest.cpp │ │ │ │ ├── bbtest.cpp │ │ │ │ └── cnftest.cpp │ │ │ ├── UsefulDefs.h │ │ │ └── genkinds.pl │ │ ├── LICENSE │ │ │ ├── AUTHORS │ │ │ ├── LICENSE │ │ │ └── LICENSE_COMPONENTS │ │ ├── Makefile │ │ ├── Makefile.common │ │ ├── STPManager │ │ │ ├── DifficultyScore.h │ │ │ ├── Makefile │ │ │ ├── NodeIterator.h │ │ │ ├── STP.cpp │ │ │ ├── STP.h │ │ │ ├── STPManager.cpp │ │ │ ├── STPManager.h │ │ │ └── UserDefinedFlags.h │ │ ├── absrefine_counterexample │ │ │ ├── AbsRefine_CounterExample.h │ │ │ ├── AbstractionRefinement.cpp │ │ │ ├── CounterExample.cpp │ │ │ └── Makefile │ │ ├── boost │ │ │ ├── config.hpp │ │ │ ├── config │ │ │ │ ├── abi │ │ │ │ │ ├── borland_prefix.hpp │ │ │ │ │ ├── borland_suffix.hpp │ │ │ │ │ ├── msvc_prefix.hpp │ │ │ │ │ └── msvc_suffix.hpp │ │ │ │ ├── abi_prefix.hpp │ │ │ │ ├── abi_suffix.hpp │ │ │ │ ├── auto_link.hpp │ │ │ │ ├── compiler │ │ │ │ │ ├── borland.hpp │ │ │ │ │ ├── codegear.hpp │ │ │ │ │ ├── comeau.hpp │ │ │ │ │ ├── common_edg.hpp │ │ │ │ │ ├── compaq_cxx.hpp │ │ │ │ │ ├── digitalmars.hpp │ │ │ │ │ ├── gcc.hpp │ │ │ │ │ ├── gcc_xml.hpp │ │ │ │ │ ├── greenhills.hpp │ │ │ │ │ ├── hp_acc.hpp │ │ │ │ │ ├── intel.hpp │ │ │ │ │ ├── kai.hpp │ │ │ │ │ ├── metrowerks.hpp │ │ │ │ │ ├── mpw.hpp │ │ │ │ │ ├── nvcc.hpp │ │ │ │ │ ├── pgi.hpp │ │ │ │ │ ├── sgi_mipspro.hpp │ │ │ │ │ ├── sunpro_cc.hpp │ │ │ │ │ ├── vacpp.hpp │ │ │ │ │ └── visualc.hpp │ │ │ │ ├── no_tr1 │ │ │ │ │ ├── cmath.hpp │ │ │ │ │ ├── complex.hpp │ │ │ │ │ ├── functional.hpp │ │ │ │ │ ├── memory.hpp │ │ │ │ │ └── utility.hpp │ │ │ │ ├── platform │ │ │ │ │ ├── aix.hpp │ │ │ │ │ ├── amigaos.hpp │ │ │ │ │ ├── beos.hpp │ │ │ │ │ ├── bsd.hpp │ │ │ │ │ ├── cygwin.hpp │ │ │ │ │ ├── hpux.hpp │ │ │ │ │ ├── irix.hpp │ │ │ │ │ ├── linux.hpp │ │ │ │ │ ├── macos.hpp │ │ │ │ │ ├── qnxnto.hpp │ │ │ │ │ ├── solaris.hpp │ │ │ │ │ ├── symbian.hpp │ │ │ │ │ ├── vxworks.hpp │ │ │ │ │ └── win32.hpp │ │ │ │ ├── posix_features.hpp │ │ │ │ ├── requires_threads.hpp │ │ │ │ ├── select_compiler_config.hpp │ │ │ │ ├── select_platform_config.hpp │ │ │ │ ├── select_stdlib_config.hpp │ │ │ │ ├── stdlib │ │ │ │ │ ├── dinkumware.hpp │ │ │ │ │ ├── libcomo.hpp │ │ │ │ │ ├── libstdcpp3.hpp │ │ │ │ │ ├── modena.hpp │ │ │ │ │ ├── msl.hpp │ │ │ │ │ ├── roguewave.hpp │ │ │ │ │ ├── sgi.hpp │ │ │ │ │ ├── stlport.hpp │ │ │ │ │ └── vacpp.hpp │ │ │ │ ├── suffix.hpp │ │ │ │ ├── user.hpp │ │ │ │ └── warning_disable.hpp │ │ │ ├── detail │ │ │ │ ├── algorithm.hpp │ │ │ │ ├── allocator_utilities.hpp │ │ │ │ ├── atomic_count.hpp │ │ │ │ ├── binary_search.hpp │ │ │ │ ├── call_traits.hpp │ │ │ │ ├── catch_exceptions.hpp │ │ │ │ ├── compressed_pair.hpp │ │ │ │ ├── container_fwd.hpp │ │ │ │ ├── dynamic_bitset.hpp │ │ │ │ ├── endian.hpp │ │ │ │ ├── has_default_constructor.hpp │ │ │ │ ├── identifier.hpp │ │ │ │ ├── indirect_traits.hpp │ │ │ │ ├── interlocked.hpp │ │ │ │ ├── is_function_ref_tester.hpp │ │ │ │ ├── is_incrementable.hpp │ │ │ │ ├── is_xxx.hpp │ │ │ │ ├── iterator.hpp │ │ │ │ ├── lcast_precision.hpp │ │ │ │ ├── lightweight_mutex.hpp │ │ │ │ ├── lightweight_test.hpp │ │ │ │ ├── lightweight_thread.hpp │ │ │ │ ├── limits.hpp │ │ │ │ ├── named_template_params.hpp │ │ │ │ ├── no_exceptions_support.hpp │ │ │ │ ├── none_t.hpp │ │ │ │ ├── numeric_traits.hpp │ │ │ │ ├── ob_call_traits.hpp │ │ │ │ ├── ob_compressed_pair.hpp │ │ │ │ ├── quick_allocator.hpp │ │ │ │ ├── reference_content.hpp │ │ │ │ ├── scoped_enum_emulation.hpp │ │ │ │ ├── select_type.hpp │ │ │ │ ├── sp_typeinfo.hpp │ │ │ │ ├── templated_streams.hpp │ │ │ │ ├── utf8_codecvt_facet.hpp │ │ │ │ └── workaround.hpp │ │ │ ├── noncopyable.hpp │ │ │ ├── pool │ │ │ │ ├── detail │ │ │ │ │ ├── ct_gcd_lcm.hpp │ │ │ │ │ ├── for.m4 │ │ │ │ │ ├── gcd_lcm.hpp │ │ │ │ │ ├── guard.hpp │ │ │ │ │ ├── mutex.hpp │ │ │ │ │ ├── pool_construct.bat │ │ │ │ │ ├── pool_construct.inc │ │ │ │ │ ├── pool_construct.m4 │ │ │ │ │ ├── pool_construct.sh │ │ │ │ │ ├── pool_construct_simple.bat │ │ │ │ │ ├── pool_construct_simple.inc │ │ │ │ │ ├── pool_construct_simple.m4 │ │ │ │ │ ├── pool_construct_simple.sh │ │ │ │ │ └── singleton.hpp │ │ │ │ ├── object_pool.hpp │ │ │ │ ├── pool.hpp │ │ │ │ ├── pool_alloc.hpp │ │ │ │ ├── poolfwd.hpp │ │ │ │ ├── simple_segregated_storage.hpp │ │ │ │ └── singleton_pool.hpp │ │ │ ├── static_assert.hpp │ │ │ └── type_traits │ │ │ │ ├── add_const.hpp │ │ │ │ ├── add_cv.hpp │ │ │ │ ├── add_pointer.hpp │ │ │ │ ├── add_reference.hpp │ │ │ │ ├── add_volatile.hpp │ │ │ │ ├── aligned_storage.hpp │ │ │ │ ├── alignment_of.hpp │ │ │ │ ├── alignment_traits.hpp │ │ │ │ ├── arithmetic_traits.hpp │ │ │ │ ├── array_traits.hpp │ │ │ │ ├── broken_compiler_spec.hpp │ │ │ │ ├── composite_traits.hpp │ │ │ │ ├── config.hpp │ │ │ │ ├── conversion_traits.hpp │ │ │ │ ├── cv_traits.hpp │ │ │ │ ├── decay.hpp │ │ │ │ ├── detail │ │ │ │ ├── bool_trait_def.hpp │ │ │ │ ├── bool_trait_undef.hpp │ │ │ │ ├── cv_traits_impl.hpp │ │ │ │ ├── false_result.hpp │ │ │ │ ├── ice_and.hpp │ │ │ │ ├── ice_eq.hpp │ │ │ │ ├── ice_not.hpp │ │ │ │ ├── ice_or.hpp │ │ │ │ ├── is_function_ptr_helper.hpp │ │ │ │ ├── is_function_ptr_tester.hpp │ │ │ │ ├── is_mem_fun_pointer_impl.hpp │ │ │ │ ├── is_mem_fun_pointer_tester.hpp │ │ │ │ ├── size_t_trait_def.hpp │ │ │ │ ├── size_t_trait_undef.hpp │ │ │ │ ├── template_arity_spec.hpp │ │ │ │ ├── type_trait_def.hpp │ │ │ │ ├── type_trait_undef.hpp │ │ │ │ ├── wrap.hpp │ │ │ │ └── yes_no_type.hpp │ │ │ │ ├── extent.hpp │ │ │ │ ├── floating_point_promotion.hpp │ │ │ │ ├── function_traits.hpp │ │ │ │ ├── has_new_operator.hpp │ │ │ │ ├── has_nothrow_assign.hpp │ │ │ │ ├── has_nothrow_constructor.hpp │ │ │ │ ├── has_nothrow_copy.hpp │ │ │ │ ├── has_nothrow_destructor.hpp │ │ │ │ ├── has_trivial_assign.hpp │ │ │ │ ├── has_trivial_constructor.hpp │ │ │ │ ├── has_trivial_copy.hpp │ │ │ │ ├── has_trivial_destructor.hpp │ │ │ │ ├── has_virtual_destructor.hpp │ │ │ │ ├── ice.hpp │ │ │ │ ├── integral_constant.hpp │ │ │ │ ├── integral_promotion.hpp │ │ │ │ ├── intrinsics.hpp │ │ │ │ ├── is_abstract.hpp │ │ │ │ ├── is_arithmetic.hpp │ │ │ │ ├── is_array.hpp │ │ │ │ ├── is_base_and_derived.hpp │ │ │ │ ├── is_base_of.hpp │ │ │ │ ├── is_base_of_tr1.hpp │ │ │ │ ├── is_class.hpp │ │ │ │ ├── is_complex.hpp │ │ │ │ ├── is_compound.hpp │ │ │ │ ├── is_const.hpp │ │ │ │ ├── is_convertible.hpp │ │ │ │ ├── is_empty.hpp │ │ │ │ ├── is_enum.hpp │ │ │ │ ├── is_float.hpp │ │ │ │ ├── is_floating_point.hpp │ │ │ │ ├── is_function.hpp │ │ │ │ ├── is_fundamental.hpp │ │ │ │ ├── is_integral.hpp │ │ │ │ ├── is_member_function_pointer.hpp │ │ │ │ ├── is_member_object_pointer.hpp │ │ │ │ ├── is_member_pointer.hpp │ │ │ │ ├── is_object.hpp │ │ │ │ ├── is_pod.hpp │ │ │ │ ├── is_pointer.hpp │ │ │ │ ├── is_polymorphic.hpp │ │ │ │ ├── is_reference.hpp │ │ │ │ ├── is_same.hpp │ │ │ │ ├── is_scalar.hpp │ │ │ │ ├── is_signed.hpp │ │ │ │ ├── is_stateless.hpp │ │ │ │ ├── is_union.hpp │ │ │ │ ├── is_unsigned.hpp │ │ │ │ ├── is_virtual_base_of.hpp │ │ │ │ ├── is_void.hpp │ │ │ │ ├── is_volatile.hpp │ │ │ │ ├── make_signed.hpp │ │ │ │ ├── make_unsigned.hpp │ │ │ │ ├── msvc │ │ │ │ ├── remove_all_extents.hpp │ │ │ │ ├── remove_bounds.hpp │ │ │ │ ├── remove_const.hpp │ │ │ │ ├── remove_cv.hpp │ │ │ │ ├── remove_extent.hpp │ │ │ │ ├── remove_pointer.hpp │ │ │ │ ├── remove_reference.hpp │ │ │ │ ├── remove_volatile.hpp │ │ │ │ └── typeof.hpp │ │ │ │ ├── object_traits.hpp │ │ │ │ ├── promote.hpp │ │ │ │ ├── rank.hpp │ │ │ │ ├── reference_traits.hpp │ │ │ │ ├── remove_all_extents.hpp │ │ │ │ ├── remove_bounds.hpp │ │ │ │ ├── remove_const.hpp │ │ │ │ ├── remove_cv.hpp │ │ │ │ ├── remove_extent.hpp │ │ │ │ ├── remove_pointer.hpp │ │ │ │ ├── remove_reference.hpp │ │ │ │ ├── remove_volatile.hpp │ │ │ │ ├── same_traits.hpp │ │ │ │ ├── transform_traits.hpp │ │ │ │ ├── transform_traits_spec.hpp │ │ │ │ └── type_with_alignment.hpp │ │ ├── c_interface │ │ │ ├── Makefile │ │ │ ├── c_interface.cpp │ │ │ ├── c_interface.h │ │ │ └── fdstream.h │ │ ├── cpp_interface │ │ │ ├── Makefile │ │ │ ├── cpp_interface.cpp │ │ │ └── cpp_interface.h │ │ ├── extlib-abc │ │ │ ├── Makefile │ │ │ ├── aig.h │ │ │ ├── aig │ │ │ │ ├── aig │ │ │ │ │ ├── aigCheck.c │ │ │ │ │ ├── aigDfs.c │ │ │ │ │ ├── aigFanout.c │ │ │ │ │ ├── aigMan.c │ │ │ │ │ ├── aigMem.c │ │ │ │ │ ├── aigMffc.c │ │ │ │ │ ├── aigObj.c │ │ │ │ │ ├── aigOper.c │ │ │ │ │ ├── aigOrder.c │ │ │ │ │ ├── aigPart.c │ │ │ │ │ ├── aigRepr.c │ │ │ │ │ ├── aigRet.c │ │ │ │ │ ├── aigScl.c │ │ │ │ │ ├── aigSeq.c │ │ │ │ │ ├── aigShow.c │ │ │ │ │ ├── aigTable.c │ │ │ │ │ ├── aigTime.c │ │ │ │ │ ├── aigTiming.c │ │ │ │ │ ├── aigTruth.c │ │ │ │ │ ├── aigTsim.c │ │ │ │ │ ├── aigUtil.c │ │ │ │ │ └── aigWin.c │ │ │ │ ├── cnf │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── cnfCore.c │ │ │ │ │ ├── cnfCut.c │ │ │ │ │ ├── cnfData.c │ │ │ │ │ ├── cnfMan.c │ │ │ │ │ ├── cnfMap.c │ │ │ │ │ ├── cnfPost.c │ │ │ │ │ ├── cnfUtil.c │ │ │ │ │ └── cnfWrite.c │ │ │ │ ├── dar │ │ │ │ │ ├── darBalance.c │ │ │ │ │ ├── darCore.c │ │ │ │ │ ├── darCut.c │ │ │ │ │ ├── darData.c │ │ │ │ │ ├── darLib.c │ │ │ │ │ ├── darMan.c │ │ │ │ │ ├── darPrec.c │ │ │ │ │ └── darScript.c │ │ │ │ └── kit │ │ │ │ │ ├── kitIsop.c │ │ │ │ │ ├── kitSop.c │ │ │ │ │ └── kitTruth.c │ │ │ ├── cnf.h │ │ │ ├── cnf_short.h │ │ │ ├── copyright.txt │ │ │ ├── dar.h │ │ │ ├── darInt.h │ │ │ ├── kit.h │ │ │ ├── leaks.h │ │ │ ├── vec.h │ │ │ ├── vecFlt.h │ │ │ ├── vecInt.h │ │ │ ├── vecPtr.h │ │ │ ├── vecStr.h │ │ │ └── vecVec.h │ │ ├── extlib-constbv │ │ │ ├── Makefile │ │ │ ├── constantbv.cpp │ │ │ └── constantbv.h │ │ ├── main │ │ │ ├── Globals.cpp │ │ │ ├── Globals.h │ │ │ ├── Makefile │ │ │ └── main.cpp │ │ ├── parser │ │ │ ├── LetMgr.cpp │ │ │ ├── LetMgr.h │ │ │ ├── Makefile │ │ │ ├── cvc.lex │ │ │ ├── cvc.y │ │ │ ├── parser.h │ │ │ ├── smt.lex │ │ │ ├── smt.y │ │ │ ├── smt2.lex │ │ │ └── smt2.y │ │ ├── printer │ │ │ ├── AssortedPrinters.cpp │ │ │ ├── AssortedPrinters.h │ │ │ ├── BenchPrinter.cpp │ │ │ ├── CPrinter.cpp │ │ │ ├── GDLPrinter.cpp │ │ │ ├── LispPrinter.cpp │ │ │ ├── Makefile │ │ │ ├── PLPrinter.cpp │ │ │ ├── SMTLIB1Printer.cpp │ │ │ ├── SMTLIB2Printer.cpp │ │ │ ├── SMTLIBPrinter.cpp │ │ │ ├── SMTLIBPrinter.h │ │ │ ├── dotPrinter.cpp │ │ │ └── printers.h │ │ ├── sat │ │ │ ├── CryptoMinisat.cpp │ │ │ ├── CryptoMinisat.h │ │ │ ├── LICENSE │ │ │ ├── Makefile │ │ │ ├── MinisatCore.cpp │ │ │ ├── MinisatCore.h │ │ │ ├── MinisatCore_prop.cpp │ │ │ ├── MinisatCore_prop.h │ │ │ ├── README │ │ │ ├── SATSolver.h │ │ │ ├── SimplifyingMinisat.cpp │ │ │ ├── SimplifyingMinisat.h │ │ │ ├── core │ │ │ │ ├── Dimacs.h │ │ │ │ ├── Main.cc │ │ │ │ ├── Makefile │ │ │ │ ├── Solver.cc │ │ │ │ ├── Solver.h │ │ │ │ └── SolverTypes.h │ │ │ ├── core_prop │ │ │ │ ├── Makefile │ │ │ │ ├── Solver_prop.cc │ │ │ │ └── Solver_prop.h │ │ │ ├── cryptominisat2 │ │ │ │ ├── BitArray.h │ │ │ │ ├── BoundedQueue.h │ │ │ │ ├── CMakeLists.txt │ │ │ │ ├── CSet.h │ │ │ │ ├── Clause.h │ │ │ │ ├── ClauseAllocator.cpp │ │ │ │ ├── ClauseAllocator.h │ │ │ │ ├── ClauseCleaner.cpp │ │ │ │ ├── ClauseCleaner.h │ │ │ │ ├── DoublePackedRow.h │ │ │ │ ├── FailedVarSearcher.cpp │ │ │ │ ├── FailedVarSearcher.h │ │ │ │ ├── FindUndef.cpp │ │ │ │ ├── FindUndef.h │ │ │ │ ├── Gaussian.cpp │ │ │ │ ├── Gaussian.h │ │ │ │ ├── GaussianConfig.h │ │ │ │ ├── Logger.cpp │ │ │ │ ├── Logger.h │ │ │ │ ├── MTRand │ │ │ │ │ └── MersenneTwister.h │ │ │ │ ├── Main.cpp │ │ │ │ ├── Makefile │ │ │ │ ├── MatrixFinder.cpp │ │ │ │ ├── MatrixFinder.h │ │ │ │ ├── MersenneTwister.h │ │ │ │ ├── OnlyNonLearntBins.cpp │ │ │ │ ├── OnlyNonLearntBins.h │ │ │ │ ├── PackedMatrix.h │ │ │ │ ├── PackedRow.cpp │ │ │ │ ├── PackedRow.h │ │ │ │ ├── PartFinder.cpp │ │ │ │ ├── PartFinder.h │ │ │ │ ├── PartHandler.cpp │ │ │ │ ├── PartHandler.h │ │ │ │ ├── RestartTypeChooser.cpp │ │ │ │ ├── RestartTypeChooser.h │ │ │ │ ├── Solver.cpp │ │ │ │ ├── Solver.h │ │ │ │ ├── SolverTypes.h │ │ │ │ ├── StateSaver.cpp │ │ │ │ ├── StateSaver.h │ │ │ │ ├── Subsumer.cpp │ │ │ │ ├── Subsumer.h │ │ │ │ ├── UselessBinRemover.cpp │ │ │ │ ├── UselessBinRemover.h │ │ │ │ ├── VERSION │ │ │ │ ├── VarReplacer.cpp │ │ │ │ ├── VarReplacer.h │ │ │ │ ├── XSet.h │ │ │ │ ├── XorFinder.cpp │ │ │ │ ├── XorFinder.h │ │ │ │ ├── XorSubsumer.cpp │ │ │ │ ├── XorSubsumer.h │ │ │ │ ├── constants.h │ │ │ │ ├── msvc │ │ │ │ │ └── stdint.h │ │ │ │ ├── mtl │ │ │ │ │ ├── Alg.h │ │ │ │ │ ├── BasicHeap.h │ │ │ │ │ ├── BoxedVec.h │ │ │ │ │ ├── Heap.h │ │ │ │ │ ├── Map.h │ │ │ │ │ ├── Queue.h │ │ │ │ │ └── Vec.h │ │ │ │ └── time_mem.h │ │ │ ├── doc │ │ │ │ └── ReleaseNotes-2.2.0.txt │ │ │ ├── mtl │ │ │ │ ├── Alg.h │ │ │ │ ├── Alloc.h │ │ │ │ ├── BasicHeap.h │ │ │ │ ├── BoxedVec.h │ │ │ │ ├── Heap.h │ │ │ │ ├── IntTypesMtl.h │ │ │ │ ├── Map.h │ │ │ │ ├── Queue.h │ │ │ │ ├── Sort.h │ │ │ │ ├── Vec.h │ │ │ │ ├── XAlloc.h │ │ │ │ └── template.mk │ │ │ ├── simp │ │ │ │ ├── Main.cc │ │ │ │ ├── Makefile │ │ │ │ ├── SimpSolver.cc │ │ │ │ └── SimpSolver.h │ │ │ └── utils │ │ │ │ ├── Makefile │ │ │ │ ├── Options.cc │ │ │ │ ├── Options.h │ │ │ │ ├── ParseUtils.h │ │ │ │ ├── System.cc │ │ │ │ └── System.h │ │ ├── simplifier │ │ │ ├── AIGSimplifyPropositionalCore.h │ │ │ ├── AlwaysTrue.h │ │ │ ├── EstablishIntervals.h │ │ │ ├── FindPureLiterals.h │ │ │ ├── Makefile │ │ │ ├── MutableASTNode.cpp │ │ │ ├── MutableASTNode.h │ │ │ ├── PropagateEqualities.cpp │ │ │ ├── PropagateEqualities.h │ │ │ ├── RemoveUnconstrained.cpp │ │ │ ├── RemoveUnconstrained.h │ │ │ ├── SubstitutionMap.cpp │ │ │ ├── SubstitutionMap.h │ │ │ ├── Symbols.h │ │ │ ├── UseITEContext.h │ │ │ ├── VariablesInExpression.cpp │ │ │ ├── VariablesInExpression.h │ │ │ ├── bvsolver.cpp │ │ │ ├── bvsolver.h │ │ │ ├── constantBitP │ │ │ │ ├── ConstantBitP_Arithmetic.cpp │ │ │ │ ├── ConstantBitP_Boolean.cpp │ │ │ │ ├── ConstantBitP_Comparison.cpp │ │ │ │ ├── ConstantBitP_Division.cpp │ │ │ │ ├── ConstantBitP_MaxPrecision.cpp │ │ │ │ ├── ConstantBitP_MaxPrecision.h │ │ │ │ ├── ConstantBitP_Multiplication.cpp │ │ │ │ ├── ConstantBitP_Shifting.cpp │ │ │ │ ├── ConstantBitP_TransferFunctions.cpp │ │ │ │ ├── ConstantBitP_TransferFunctions.h │ │ │ │ ├── ConstantBitP_Utility.cpp │ │ │ │ ├── ConstantBitP_Utility.h │ │ │ │ ├── ConstantBitPropagation.cpp │ │ │ │ ├── ConstantBitPropagation.h │ │ │ │ ├── Dependencies.h │ │ │ │ ├── FixedBits.cpp │ │ │ │ ├── FixedBits.h │ │ │ │ ├── MersenneTwister.h │ │ │ │ ├── MultiplicationStats.h │ │ │ │ ├── NodeToFixedBitsMap.h │ │ │ │ ├── WorkList.h │ │ │ │ └── multiplication │ │ │ │ │ ├── ColumnCounts.h │ │ │ │ │ └── ColumnStats.h │ │ │ ├── consteval.cpp │ │ │ ├── simplifier.cpp │ │ │ └── simplifier.h │ │ └── to-sat │ │ │ ├── AIG │ │ │ ├── BBNodeAIG.h │ │ │ ├── BBNodeManagerAIG.cpp │ │ │ ├── BBNodeManagerAIG.h │ │ │ ├── ToCNFAIG.cpp │ │ │ ├── ToCNFAIG.h │ │ │ ├── ToSATAIG.cpp │ │ │ └── ToSATAIG.h │ │ │ ├── ASTNode │ │ │ ├── BBNodeManagerASTNode.h │ │ │ ├── ClauseList.cpp │ │ │ ├── ClauseList.h │ │ │ ├── SimpBool.cpp │ │ │ ├── ToCNF.cpp │ │ │ ├── ToCNF.h │ │ │ ├── ToSAT.cpp │ │ │ └── ToSAT.h │ │ │ ├── BitBlaster.cpp │ │ │ ├── BitBlaster.h │ │ │ ├── Makefile │ │ │ ├── ToSATBase.cpp │ │ │ └── ToSATBase.h │ └── src_stub │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── stp_c_interface.h │ │ └── stp_stub.c │ └── yices │ ├── .gitignore │ ├── Makefile │ └── v2.6 │ ├── .gitignore │ ├── HaskellIfc │ ├── Yices.hs │ └── YicesFFI.hs │ ├── Makefile │ └── stub │ ├── .gitignore │ ├── Makefile │ └── yices.c ├── testsuite ├── .gitignore ├── Makefile ├── README.md ├── archive_logs.sh ├── bsc.arrays │ ├── ArrayBenchmark.bsv │ ├── ArrayDecl.bsv │ ├── ArrayDecl_Bind.bsv │ ├── ArrayDecl_Bind_ExtraVar.bsv │ ├── ArrayDecl_Bind_ExtraVar_ArrayType.bsv │ ├── ArrayDecl_Bind_ExtraVar_WrongSize.bsv │ ├── ArrayDecl_Bind_ExtraVar_WrongSize.bsv.bsc-vcomp-out.expected │ ├── ArrayDecl_Bind_WrongSize.bsv │ ├── ArrayDecl_Bind_WrongSize.bsv.bsc-vcomp-out.expected │ ├── ArrayDecl_Expr_WrongSize.bsv │ ├── ArrayDecl_Expr_WrongSize.bsv.bsc-vcomp-out.expected │ ├── ArrayDecl_ExtraVar.bsv │ ├── ArrayDecl_ExtraVar_WrongSize.bsv │ ├── ArrayDecl_ExtraVar_WrongSize.bsv.bsc-vcomp-out.expected │ ├── ArrayDecl_TopLevel.bsv │ ├── ArrayDecl_WrongSize.bsv │ ├── ArrayDecl_WrongSize.bsv.bsc-vcomp-out.expected │ ├── ArrayFunc.bsv │ ├── LoopUpdate.bsv │ ├── LoopUpdate2.bsv │ ├── Makefile │ ├── MultiArrayDecl.bsv │ ├── MultiArrayDecl_Expr_WrongSize.bsv │ ├── MultiArrayDecl_Expr_WrongSize.bsv.bsc-vcomp-out.expected │ ├── MultiArrayDecl_Expr_WrongSubSize.bsv │ ├── MultiArrayDecl_SubExpr_WrongSize.bsv │ ├── MultiArrayDecl_SubExpr_WrongSize.bsv.bsc-vcomp-out.expected │ ├── MultiArrayDecl_WrongSize.bsv │ ├── MultiArrayDecl_WrongSize.bsv.bsc-vcomp-out.expected │ ├── MultiSelectUpdate.bsv │ ├── SelectUpdate.bsv │ ├── arrays.exp │ ├── bounds │ │ ├── Makefile │ │ ├── select │ │ │ ├── ArrayInBounds1.bsv │ │ │ ├── ArrayInBounds2.bsv │ │ │ ├── ArrayOutOfBounds1.bsv │ │ │ ├── ArrayOutOfBounds2.bsv │ │ │ ├── BitInBounds1.bsv │ │ │ ├── BitInBounds2.bsv │ │ │ ├── BitOutOfBounds1.bsv │ │ │ ├── BitOutOfBounds2.bsv │ │ │ ├── ListInBounds1.bsv │ │ │ ├── ListInBounds2.bsv │ │ │ ├── ListNInBounds1.bsv │ │ │ ├── ListNInBounds2.bsv │ │ │ ├── ListNOutOfBounds1.bsv │ │ │ ├── ListNOutOfBounds2.bsv │ │ │ ├── ListOutOfBounds1.bsv │ │ │ ├── ListOutOfBounds2.bsv │ │ │ ├── Makefile │ │ │ ├── VectorInBounds1.bsv │ │ │ ├── VectorInBounds2.bsv │ │ │ ├── VectorOutOfBounds1.bsv │ │ │ ├── VectorOutOfBounds2.bsv │ │ │ ├── select.exp │ │ │ ├── sysArrayInBounds1.out.expected │ │ │ ├── sysArrayInBounds2.out.expected │ │ │ ├── sysBitInBounds1.out.expected │ │ │ ├── sysBitInBounds2.out.expected │ │ │ ├── sysListInBounds1.out.expected │ │ │ ├── sysListInBounds2.out.expected │ │ │ ├── sysListNInBounds1.out.expected │ │ │ ├── sysListNInBounds2.out.expected │ │ │ ├── sysVectorInBounds1.out.expected │ │ │ └── sysVectorInBounds2.out.expected │ │ └── update │ │ │ ├── ArrayInBounds1.bsv │ │ │ ├── ArrayInBounds2.bsv │ │ │ ├── ArrayOutOfBounds1.bsv │ │ │ ├── ArrayOutOfBounds2.bsv │ │ │ ├── BitInBounds1.bsv │ │ │ ├── BitInBounds2.bsv │ │ │ ├── BitOutOfBounds1.bsv │ │ │ ├── BitOutOfBounds2.bsv │ │ │ ├── ListInBounds1.bsv │ │ │ ├── ListInBounds2.bsv │ │ │ ├── ListNInBounds1.bsv │ │ │ ├── ListNInBounds2.bsv │ │ │ ├── ListNOutOfBounds1.bsv │ │ │ ├── ListNOutOfBounds2.bsv │ │ │ ├── ListOutOfBounds1.bsv │ │ │ ├── ListOutOfBounds2.bsv │ │ │ ├── Makefile │ │ │ ├── VectorInBounds1.bsv │ │ │ ├── VectorInBounds2.bsv │ │ │ ├── VectorOutOfBounds1.bsv │ │ │ ├── VectorOutOfBounds2.bsv │ │ │ ├── sysArrayInBounds1.out.expected │ │ │ ├── sysArrayInBounds2.out.expected │ │ │ ├── sysBitInBounds1.out.expected │ │ │ ├── sysBitInBounds2.out.expected │ │ │ ├── sysListInBounds1.out.expected │ │ │ ├── sysListInBounds2.out.expected │ │ │ ├── sysListNInBounds1.out.expected │ │ │ ├── sysListNInBounds2.out.expected │ │ │ ├── sysVectorInBounds1.out.expected │ │ │ ├── sysVectorInBounds2.out.expected │ │ │ └── update.exp │ ├── dynamic │ │ ├── ActionVec.bsv │ │ ├── ActionVecShortIndex.bsv │ │ ├── ArrayLength_ArrSel.bsv │ │ ├── ArrayLength_ArrSel_2D.bsv │ │ ├── ArrayLength_If.bsv │ │ ├── ArrayToVector_ArrSel.bsv │ │ ├── ArrayToVector_If.bsv │ │ ├── DisplayConstArray.bsv │ │ ├── DisplayDynConstArray.bsv │ │ ├── DynamicActionDynamicIndex.bsv │ │ ├── DynamicArrayDynamicUpdate.bsv │ │ ├── DynamicListDynamicActionDynamicIndex.bsv │ │ ├── DynamicListDynamicUpdate.bsv │ │ ├── DynamicSelectUpdateArray.bsv │ │ ├── DynamicSelectUpdateList.bsv │ │ ├── ImpCond.bsv │ │ ├── ImpCond.bsv.bsc-sched-out.expected │ │ ├── Makefile │ │ ├── OneElemLargeIndex.bsv │ │ ├── PermuteListN.bsv │ │ ├── PermuteVector.bsv │ │ ├── PrimBNot_DynArrSelect.bsv │ │ ├── RegOfVec.bsv │ │ ├── RegToReg.bsv │ │ ├── SameElems1.bsv │ │ ├── SameElems2.bsv │ │ ├── SelDefault.bsv │ │ ├── SelDefaultElse.bsv │ │ ├── SelDefaultFail.bsv │ │ ├── SelDefaultFail2.bsv │ │ ├── SimpleDynamicAction.bsv │ │ ├── SimpleDynamicBounds.bsv │ │ ├── SimpleDynamicList.bsv │ │ ├── SimpleDynamicListAction.bsv │ │ ├── SimpleDynamicListBounds.bsv │ │ ├── SimpleDynamicTest.bsv │ │ ├── SizeZeroElems.bsv │ │ ├── SizeZeroIndex.bsv │ │ ├── StringVecDisplay.bsv │ │ ├── StringVecParam.bsv │ │ ├── TwoLevelUpdate.bsv │ │ ├── TwoLevelUpdateList.bsv │ │ ├── VecCondAction.bsv │ │ ├── VecOfReg.bsv │ │ ├── VecOfRegFile_Sub.bsv │ │ ├── arrays_dynamic.exp │ │ ├── sysActionVec.v.expected │ │ ├── sysActionVecShortIndex.v.expected │ │ ├── sysDisplayConstArray.v.expected │ │ ├── sysDisplayDynConstArray.v.expected │ │ ├── sysDynamicActionDynamicIndex.out.expected │ │ ├── sysDynamicArrayDynamicUpdate.out.expected │ │ ├── sysDynamicListDynamicActionDynamicIndex.out.expected │ │ ├── sysDynamicListDynamicUpdate.out.expected │ │ ├── sysDynamicSelectUpdateArray.out.expected │ │ ├── sysDynamicSelectUpdateList.out.expected │ │ ├── sysRegOfVec.v.expected │ │ ├── sysRegToReg.v.expected │ │ ├── sysSimpleDynamicAction.out.expected │ │ ├── sysSimpleDynamicList.out.expected │ │ ├── sysSimpleDynamicListAction.out.expected │ │ ├── sysSimpleDynamicTest.out.expected │ │ ├── sysTwoLevelUpdate.out.expected │ │ ├── sysTwoLevelUpdateList.out.expected │ │ ├── sysVecCondAction.v.expected │ │ └── sysVecOfReg.v.expected │ ├── sysLoopUpdate.out.expected │ ├── sysLoopUpdate2.out.expected │ └── undefined │ │ ├── LengthUndefinedArray.bsv │ │ ├── LengthUndefinedList.bsv │ │ ├── Makefile │ │ ├── RuleIUNotUsed.bsv │ │ ├── SelectActionUndefinedIndex.bsv │ │ ├── SelectArrayUndefinedIndex.bsv │ │ ├── SelectClockUndefinedIndex.bsv │ │ ├── SelectListActionUndefinedIndex.bsv │ │ ├── SelectListUndefinedIndex.bsv │ │ ├── SelectResetUndefinedList.bsv │ │ ├── SelectUndefinedArray.bsv │ │ ├── SelectUndefinedArrayStatic.bsv │ │ ├── SelectUndefinedList.bsv │ │ ├── SelectUndefinedListStatic.bsv │ │ ├── SelectUndefinedVector.bsv │ │ ├── SelectUndefinedVectorStatic.bsv │ │ ├── UpdateArrayUndefinedIndex.bsv │ │ ├── UpdateBitArrayUndefinedIndex.bsv │ │ ├── UpdateListUndefinedIndex.bsv │ │ ├── UpdateUndefinedArray.bsv │ │ ├── UpdateUndefinedBitArray.bsv │ │ ├── UpdateUndefinedList.bsv │ │ ├── UpdateUndefinedVector.bsv │ │ ├── UpdateVectorUndefinedIndex.bsv │ │ ├── array_undefined.exp │ │ ├── sysSelectActionUndefinedIndex.v.expected │ │ ├── sysSelectArrayUndefinedIndex.out.expected │ │ ├── sysSelectListActionUndefinedIndex.v.expected │ │ ├── sysSelectListUndefinedIndex.out.expected │ │ ├── sysSelectUndefinedArray.out.expected │ │ ├── sysSelectUndefinedArrayStatic.out.expected │ │ ├── sysSelectUndefinedList.out.expected │ │ ├── sysSelectUndefinedListStatic.out.expected │ │ ├── sysSelectUndefinedVector.out.expected │ │ └── sysSelectUndefinedVectorStatic.out.expected ├── bsc.assertions │ ├── Makefile │ ├── properties │ │ ├── Makefile │ │ ├── SemanticsTest.bsv │ │ ├── SyntaxTest.bsv │ │ ├── properties.exp │ │ └── sysSemanticsTest.out.expected │ └── sequences │ │ ├── Makefile │ │ ├── SemanticsTest.bsv │ │ ├── SyntaxTest.bsv │ │ ├── sequences.exp │ │ └── sysSemanticsTest.out.expected ├── bsc.binary │ ├── Makefile │ ├── ManyMeths.bsv │ └── binary.exp ├── bsc.bluesim │ ├── Makefile │ ├── config │ ├── debugging │ │ ├── GCD.bsv │ │ ├── MCDTest.bsv │ │ ├── Makefile │ │ ├── RF.bsv │ │ ├── TbGCD.bsv │ │ ├── debugging.exp │ │ ├── mkMCDTest_r_cc.out.expected │ │ ├── mkRF_p7_r_s_cc.out.expected │ │ ├── mkRF_ss_c.out.expected │ │ ├── mkTbGCD_r.out.expected │ │ └── mkTbGCD_ss_c.out.expected │ ├── interactive │ │ ├── APeriodicTest.bsv │ │ ├── GCD.bsv │ │ ├── Long.bsv │ │ ├── MCDTest.bsv │ │ ├── Makefile │ │ ├── TbGCD.bsv │ │ ├── TimescaleTest.bs │ │ ├── aperiodic.cmd │ │ ├── async.cmd │ │ ├── clock.cmd │ │ ├── debug.cmd │ │ ├── debug2.cmd │ │ ├── debug3.cmd │ │ ├── debug4.cmd │ │ ├── debug5.cmd │ │ ├── dump.cmd │ │ ├── error.cmd │ │ ├── error2.cmd │ │ ├── exit.cmd │ │ ├── gcd.cmd │ │ ├── glob.cmd │ │ ├── help.cmd │ │ ├── hier.bsv │ │ ├── hier.cmd │ │ ├── interactive.exp │ │ ├── mkLong_async.out.expected │ │ ├── mkMCDTest_clock.out.expected │ │ ├── mkPrims_prims.out.expected │ │ ├── mkTbGCD_debug.out.expected │ │ ├── mkTbGCD_debug2.out.expected │ │ ├── mkTbGCD_debug3.out.expected │ │ ├── mkTbGCD_debug4.out.expected │ │ ├── mkTbGCD_debug5.out.expected │ │ ├── mkTbGCD_gcd.out.expected │ │ ├── mkTest_dump.out.expected │ │ ├── mkTest_error.out.expected │ │ ├── mkTest_error2.out.expected │ │ ├── mkTest_exit.out.expected │ │ ├── mkTest_help.out.expected │ │ ├── mkTest_quit.out.expected │ │ ├── mkTest_step.out.expected │ │ ├── mkTest_step2.out.expected │ │ ├── mkTest_sync.out.expected │ │ ├── mkTimescaleTest_1.out.expected │ │ ├── mkTimescaleTest_2.out.expected │ │ ├── mkTop_error2.out.expected │ │ ├── mkTop_glob.out.expected │ │ ├── mkTop_hier.out.expected │ │ ├── prims.bsv │ │ ├── prims.cmd │ │ ├── quit.cmd │ │ ├── step.cmd │ │ ├── step2.cmd │ │ ├── sync.cmd │ │ ├── sysAPeriodicTest_aperiodic.out.expected │ │ ├── sysAPeriodicTest_debug5.out.expected │ │ ├── timescale.cmd │ │ ├── timescale2.cmd │ │ └── tiny.bsv │ ├── misc │ │ ├── .gitignore │ │ ├── AVMethBDPIWithReset.bsv │ │ ├── AlwaysEnabledAV.bsv │ │ ├── ArithShift.bsv │ │ ├── Bug.bsv │ │ ├── ClkTest.bsv │ │ ├── EmptyModule.bsv │ │ ├── GenerateTest3.bsv │ │ ├── Generator.bsv │ │ ├── Makefile │ │ ├── ModuleArg.bsv │ │ ├── ModuleParam.bsv │ │ ├── MulTest.bsv │ │ ├── NestedIfBraces.bsv │ │ ├── SameFamily.bsv │ │ ├── SatMath.bsv │ │ ├── ShowVersionTimestamps.bsv │ │ ├── SignedWideLiteral.bsv │ │ ├── Solver.bsv │ │ ├── SparseRF.bsv │ │ ├── Sudoku.bsv │ │ ├── TypeUtil.bsv │ │ ├── WideMethod.bsv │ │ ├── WideModArgPortTest.bsv │ │ ├── WideRF.bsv │ │ ├── WideSched.bsv │ │ ├── WindowsRF.bsv │ │ ├── c_func.c.keep │ │ ├── mem.dat │ │ ├── mem2.dat │ │ ├── misc.exp │ │ ├── sysArithShift.out.expected │ │ ├── sysClkTest.out.expected │ │ ├── sysEmptyModule.out.expected │ │ ├── sysMulTest.out.expected │ │ ├── sysNestedIfBraces.bsc-ccomp-out.expected │ │ ├── sysSameFamily.out.expected │ │ ├── sysShowVersionTimestamps.out.expected │ │ ├── sysSignedWideLiteral.out.expected │ │ ├── sysSparseRF.out.expected │ │ ├── sysWideModArgPortTest.bsc-ccomp-out.expected │ │ ├── sysWideModArgPortTest.out.expected │ │ ├── sysWideRF.out.expected │ │ ├── sysWideSched.out.expected │ │ ├── sysWindowsRF.out.expected │ │ └── windows.hex │ ├── operators │ │ ├── ExtractBounds.bsv │ │ ├── ExtractSizes.bsv │ │ ├── Makefile │ │ ├── ShiftL.bsv │ │ ├── ShiftR.bsv │ │ ├── ShiftRA.bsv │ │ ├── operators.exp │ │ ├── sysExtractBounds.out.expected │ │ ├── sysExtractSizes.out.expected │ │ ├── sysShiftL.out.expected │ │ ├── sysShiftR.out.expected │ │ └── sysShiftRA.out.expected │ ├── parallel │ │ ├── GCD.bsv │ │ ├── Makefile │ │ ├── TbGCD.bsv │ │ ├── mkTbGCD.out.expected │ │ └── parallel.exp │ ├── schedule │ │ ├── MERuleAndMethodInSubmod.bsv │ │ ├── MERulesInSubmod.bsv │ │ ├── MEValueMethod.bsv │ │ ├── Makefile │ │ ├── MutuallyExclusiveAssump_CombSched.bsv │ │ └── schedule.exp │ ├── to_systemc │ │ ├── Makefile │ │ ├── Methods.bsv │ │ ├── ModuleArg.bsv │ │ ├── ModuleParam.bsv │ │ ├── Path.bsv │ │ ├── RenamedClockClash.bsv │ │ ├── RuleBeforeMethod.bsv │ │ ├── gcd │ │ │ ├── GCD.bsv │ │ │ ├── Makefile │ │ │ ├── TbGCD.sc │ │ │ ├── TbGCD.sch │ │ │ ├── gcd.exp │ │ │ ├── gcd.out.expected │ │ │ └── top.sc │ │ ├── gcd2 │ │ │ ├── GCD.bsv │ │ │ ├── Makefile │ │ │ ├── gcd.out.expected │ │ │ ├── gcd2.exp │ │ │ └── tb.sc │ │ ├── getput │ │ │ ├── GetPutTest.bsv │ │ │ ├── Makefile │ │ │ ├── TbGetPut.sc │ │ │ ├── TbGetPut.sch │ │ │ ├── getput.exp │ │ │ ├── getput.out.expected │ │ │ └── top.sc │ │ ├── mcd │ │ │ ├── MCD.bsv │ │ │ ├── Makefile │ │ │ ├── mcd.exp │ │ │ ├── mcd.out.expected │ │ │ └── tb.sc │ │ ├── multiple_models │ │ │ ├── Makefile │ │ │ └── gcd │ │ │ │ ├── GCD.bsv │ │ │ │ ├── Makefile │ │ │ │ ├── TbGCD.bsv │ │ │ │ ├── gcd.exp │ │ │ │ ├── top.out.expected │ │ │ │ └── top.sc │ │ ├── probes │ │ │ ├── Dut.bsv │ │ │ ├── Makefile │ │ │ ├── probes.exp │ │ │ ├── probes.out.expected │ │ │ └── top.sc │ │ ├── systemc.exp │ │ └── wide_gcd │ │ │ ├── GCD.bsv │ │ │ ├── Makefile │ │ │ ├── TbGCD.sc │ │ │ ├── TbGCD.sch │ │ │ ├── gcd.out.expected │ │ │ ├── top.sc │ │ │ └── wide_gcd.exp │ └── vcd │ │ ├── .gitignore │ │ ├── CounterTest.bsv │ │ ├── Makefile │ │ ├── SameCanFire.bsv │ │ ├── VCDTest1.bsv │ │ ├── VCDTest2.bsv │ │ ├── sysVCDTest1.out.expected │ │ ├── sysVCDTest2.out.expected │ │ └── vcd.exp ├── bsc.bluetcl │ ├── Makefile │ ├── commands │ │ ├── Dep1.bsv │ │ ├── HierTest.bsv │ │ ├── HierTest2.bsv │ │ ├── IncDep1.bsv │ │ ├── IncDep2.bsv │ │ ├── Makefile │ │ ├── TaggedUnionPoly.bsv │ │ ├── Test.bsv │ │ ├── Test2.bsv │ │ ├── TestSchedErr.bsv │ │ ├── bpackage.tcl │ │ ├── bpackage.tcl.bluetcl-bh-out.expected │ │ ├── bpackage.tcl.bluetcl-out.expected │ │ ├── browseinst.tcl │ │ ├── browseinst.tcl.bluetcl-bh-out.expected │ │ ├── browseinst.tcl.bluetcl-out.expected │ │ ├── browseinst2.tcl │ │ ├── browseinst2.tcl.bluetcl-bh-out.expected │ │ ├── browseinst2.tcl.bluetcl-out.expected │ │ ├── browsemodule.tcl │ │ ├── browsemodule.tcl.bluetcl-bh-out.expected │ │ ├── browsemodule.tcl.bluetcl-out.expected │ │ ├── browsepackage.tcl │ │ ├── browsepackage.tcl.bluetcl-bh-out.expected │ │ ├── browsepackage.tcl.bluetcl-out.expected │ │ ├── commands.exp │ │ ├── depend.tcl │ │ ├── depend.tcl.bluetcl-bh-out.expected │ │ ├── depend.tcl.bluetcl-out.expected │ │ ├── help.tcl │ │ ├── help.tcl.bluetcl-bh-out.expected │ │ ├── help.tcl.bluetcl-out.expected │ │ ├── module.tcl │ │ ├── module.tcl.bluetcl-bh-out.expected │ │ ├── module.tcl.bluetcl-out.expected │ │ ├── pprint.tcl │ │ ├── schedule.tcl │ │ ├── schedule.tcl.bluetcl-bh-out.expected │ │ ├── schedule.tcl.bluetcl-out.expected │ │ ├── schedule_err.tcl │ │ ├── schedule_err.tcl.bluetcl-bh-out.expected │ │ ├── schedule_err.tcl.bluetcl-out.expected │ │ ├── subdir │ │ │ ├── Foo.bs │ │ │ └── Makefile │ │ ├── submodule.tcl │ │ ├── submodule.tcl.bluetcl-bh-out.expected │ │ ├── submodule.tcl.bluetcl-out.expected │ │ ├── syntax.tcl │ │ ├── syntax.tcl.bluetcl-bh-out.expected │ │ ├── syntax.tcl.bluetcl-out.expected │ │ ├── syntax_errs.tcl │ │ ├── syntax_errs.tcl.bluetcl-bh-out.expected │ │ ├── syntax_errs.tcl.bluetcl-out.expected │ │ ├── type.tcl │ │ ├── type.tcl.bluetcl-bh-out.expected │ │ ├── type.tcl.bluetcl-out.expected │ │ ├── type_bh.tcl │ │ ├── type_bh.tcl.bluetcl-bh-out.expected │ │ └── type_bh.tcl.bluetcl-out.expected │ ├── hierarchy │ │ ├── Design.bsv │ │ ├── Design.tcl │ │ ├── Design.tcl.bluetcl-bh-out.expected │ │ ├── Design.tcl.bluetcl-out.expected │ │ ├── Example.bsv │ │ ├── Example.tcl │ │ ├── Example.tcl.bluetcl-bh-out.expected │ │ ├── Example.tcl.bluetcl-out.expected │ │ ├── Makefile │ │ ├── Zow.bsv │ │ └── hierarchy.exp │ ├── hierarchy2 │ │ ├── Design.bsv │ │ ├── Design2.bsv │ │ ├── EHRReg.bsv │ │ ├── Example.bsv │ │ ├── FTestV.bsv │ │ ├── HierTest.bsv │ │ ├── Loop2.bsv │ │ ├── LoopSkip.bsv │ │ ├── Makefile │ │ ├── MultFor.bsv │ │ ├── ShowH.tcl │ │ ├── ShowH.tcl_sysDesign.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysDesign.bluetcl-out.expected │ │ ├── ShowH.tcl_sysDesign2.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysDesign2.bluetcl-out.expected │ │ ├── ShowH.tcl_sysEHRReg.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysEHRReg.bluetcl-out.expected │ │ ├── ShowH.tcl_sysExample.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysExample.bluetcl-out.expected │ │ ├── ShowH.tcl_sysFTestV.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysFTestV.bluetcl-out.expected │ │ ├── ShowH.tcl_sysHierTest.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysHierTest.bluetcl-out.expected │ │ ├── ShowH.tcl_sysLoop2.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysLoop2.bluetcl-out.expected │ │ ├── ShowH.tcl_sysLoopSkip.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysLoopSkip.bluetcl-out.expected │ │ ├── ShowH.tcl_sysMultFor.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysMultFor.bluetcl-out.expected │ │ ├── ShowH.tcl_sysMultiFor.bluetcl-out.expected │ │ ├── ShowH.tcl_sysSimpleLoop.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysSimpleLoop.bluetcl-out.expected │ │ ├── ShowH.tcl_sysTest2.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysTest2.bluetcl-out.expected │ │ ├── ShowH.tcl_sysTest2F.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysTest2F.bluetcl-out.expected │ │ ├── ShowH.tcl_sysTestBody.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysTestBody.bluetcl-out.expected │ │ ├── ShowH.tcl_sysTestClash.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysTestClash.bluetcl-out.expected │ │ ├── ShowH.tcl_sysTestF.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysTestF.bluetcl-out.expected │ │ ├── ShowH.tcl_sysTestFOld.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysTestFOld.bluetcl-out.expected │ │ ├── ShowH.tcl_sysTestL.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysTestL.bluetcl-out.expected │ │ ├── ShowH.tcl_sysTestRW.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysTestRW.bluetcl-out.expected │ │ ├── ShowH.tcl_sysTestV.bluetcl-bh-out.expected │ │ ├── ShowH.tcl_sysTestV.bluetcl-out.expected │ │ ├── SimpleLoop.bsv │ │ ├── Test2.bsv │ │ ├── Test2F.bsv │ │ ├── TestBody.bsv │ │ ├── TestClash.bsv │ │ ├── TestF.bsv │ │ ├── TestFOld.bsv │ │ ├── TestL.bsv │ │ ├── TestRW.bsv │ │ ├── TestV.bsv │ │ └── hierarchy2.exp │ ├── packages │ │ ├── .gitignore │ │ ├── InstSynth │ │ │ ├── FIFO.include.bsv.expected │ │ │ ├── FIFOLevel.include.bsv.expected │ │ │ ├── Inst.bsv │ │ │ ├── InstSynth.exp │ │ │ ├── Inst_auto.bsv │ │ │ ├── Makefile │ │ │ ├── instsynth.tcl │ │ │ └── instsynth.tcl.bluetcl-out.expected │ │ ├── Makefile │ │ ├── expandPorts │ │ │ ├── Makefile │ │ │ ├── Test1.bsv │ │ │ ├── Test1.includes.exp.vh │ │ │ ├── Test1.wrapper.exp.v │ │ │ ├── Test10.bsv │ │ │ ├── Test10.includes.exp.vh │ │ │ ├── Test10.wrapper.exp.v │ │ │ ├── Test11.bsv │ │ │ ├── Test11.includes.exp.vh │ │ │ ├── Test11.wrapper.exp.v │ │ │ ├── Test12.bsv │ │ │ ├── Test12.includes.exp.vh │ │ │ ├── Test12.wrapper.exp.v │ │ │ ├── Test1a.bsv │ │ │ ├── Test1a.includes.exp.vh │ │ │ ├── Test1a.wrapper.exp.v │ │ │ ├── Test1b.bsv │ │ │ ├── Test1b.includes.exp.vh │ │ │ ├── Test1b.wrapper.exp.v │ │ │ ├── Test2.bsv │ │ │ ├── Test2.includes.exp.vh │ │ │ ├── Test2.wrapper.exp.v │ │ │ ├── Test3.bsv │ │ │ ├── Test3.includes.exp.vh │ │ │ ├── Test3.wrapper.exp.v │ │ │ ├── Test4.bsv │ │ │ ├── Test4.includes.exp.vh │ │ │ ├── Test4.wrapper.exp.v │ │ │ ├── Test4a.bsv │ │ │ ├── Test4a.includes.exp.vh │ │ │ ├── Test4a.wrapper.exp.v │ │ │ ├── Test5.bsv │ │ │ ├── Test5.includes.exp.vh │ │ │ ├── Test5.wrapper.exp.v │ │ │ ├── Test6.bsv │ │ │ ├── Test6.includes.exp.vh │ │ │ ├── Test6.wrapper.exp.v │ │ │ ├── Test7.bsv │ │ │ ├── Test7.includes.exp.vh │ │ │ ├── Test7.wrapper.exp.v │ │ │ ├── Test7a.bsv │ │ │ ├── Test7a.includes.exp.vh │ │ │ ├── Test7a.wrapper.exp.v │ │ │ ├── Test7b.bsv │ │ │ ├── Test7b.includes.exp.vh │ │ │ ├── Test7b.rename.tcl │ │ │ ├── Test7b.wrapper.exp.v │ │ │ ├── compareOutput.pl │ │ │ └── expandPorts.exp │ │ ├── makedepend │ │ │ ├── Dep1.bsv │ │ │ ├── Foo.bsv │ │ │ ├── IncDep1.bsv │ │ │ ├── IncDep2.bsv │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── badflag.bluetcl-out.expected │ │ │ ├── bdir.bluetcl-out.expected │ │ │ ├── defines.bluetcl-out.expected │ │ │ ├── error1.bluetcl-out.expected │ │ │ ├── error2.bluetcl-out.expected │ │ │ ├── include1.inc │ │ │ ├── makedepend.exp │ │ │ ├── minusO.depend-out.expected │ │ │ ├── minus_o.bluetcl-out.expected │ │ │ ├── nofile.bluetcl-out.expected │ │ │ ├── patterns.bluetcl-out.expected │ │ │ ├── subinclude.inc │ │ │ ├── test1.bluetcl-out.expected │ │ │ ├── updir.bluetcl-out.expected │ │ │ ├── usage1.bluetcl-out.expected │ │ │ └── usage2.bluetcl-out.expected │ │ └── utils │ │ │ ├── Makefile │ │ │ ├── utils.exp │ │ │ ├── utils_test.tcl │ │ │ ├── utils_test.tcl.bluetcl-bh-out.expected │ │ │ └── utils_test.tcl.bluetcl-out.expected │ └── targeted │ │ ├── Makefile │ │ ├── port_types │ │ ├── InhighEnable.bsv │ │ ├── Makefile │ │ ├── Prims.bsv │ │ ├── ZeroSize.bsv │ │ ├── inhigh.tcl │ │ ├── inhigh.tcl.bluetcl-bh-out.expected │ │ ├── inhigh.tcl.bluetcl-out.expected │ │ ├── port_types.exp │ │ ├── prims.tcl │ │ ├── prims.tcl.bluetcl-bh-out.expected │ │ ├── prims.tcl.bluetcl-out.expected │ │ ├── zero_size.tcl │ │ ├── zero_size.tcl.bluetcl-bh-out.expected │ │ └── zero_size.tcl.bluetcl-out.expected │ │ └── type │ │ ├── Makefile │ │ ├── PolyField.bsv │ │ ├── polyfield.tcl │ │ ├── polyfield.tcl.bluetcl-bh-out.expected │ │ ├── polyfield.tcl.bluetcl-out.expected │ │ └── type.exp ├── bsc.bsc_examples │ ├── .cvsignore │ ├── Counters.bs │ ├── Elf.bs │ ├── ElfData.bs │ ├── FSM.bs │ ├── GCD.bs │ ├── Joe.bs │ ├── LCG.bs │ ├── Life.bs │ ├── ListToBit.bs │ ├── LookupU.bs │ ├── Makefile │ ├── Mips.bs │ ├── MipsCPU.bs │ ├── MipsDefs.bs │ ├── MipsInstr.bs │ ├── MipsROM.bs │ ├── Misc.bs │ ├── NewMips.bs │ ├── NewMipsDefs.bs │ ├── NewMipsInstr.bs │ ├── NewMipsROM.bs │ ├── RAM2.bs │ ├── RC6.bs │ ├── RPN.bs │ ├── RecUpd.bs │ ├── RegisterArray.bs │ ├── Ring.bs │ ├── Seq.bs │ ├── SeqO.bs │ ├── SharedRAMArbiter.bs │ ├── Test.bs │ ├── Test1.bs │ ├── Two.bs │ ├── UseAct.bs │ ├── bsc_examples.exp │ ├── pong │ │ ├── Ball.bs │ │ ├── Border.bs │ │ ├── Color.bs │ │ ├── Controller.bs │ │ ├── Counter.bs │ │ ├── Decimal.bs │ │ ├── Global.bs │ │ ├── Kbd.bs │ │ ├── LedDecoder.bs │ │ ├── Makefile │ │ ├── Paddle.bs │ │ ├── Score.bs │ │ ├── Shape.bs │ │ ├── Switch.bs │ │ ├── TbTopLevel.bs │ │ ├── TopLevel.bs │ │ ├── VGACore.bs │ │ └── bscpong.exp │ ├── sysGCD.final-state.expected │ ├── sysLCG.final-state.expected │ └── trafficlight │ │ ├── .cvsignore │ │ ├── Makefile │ │ ├── TL0.bs │ │ ├── TL1.bs │ │ ├── TL2.bs │ │ ├── TL3.bs │ │ ├── TL4.bs │ │ ├── TL5.bs │ │ ├── TL6.bs │ │ ├── TL7.bs │ │ ├── TL8.bs │ │ ├── TL9.bs │ │ └── trafficlight.exp ├── bsc.bsv_examples │ ├── AES │ │ ├── Aes.bsv │ │ ├── Aes_TB.bsv │ │ ├── Defines.bsv │ │ ├── InvSboxComb.bsv │ │ ├── InvSboxRom.bsv │ │ ├── Makefile │ │ ├── ProbeWire.bsv │ │ ├── RconComb.bsv │ │ ├── RconRom.bsv │ │ ├── SboxComb.bsv │ │ ├── SboxFunc.bsv │ │ ├── SboxRom.bsv │ │ ├── aes.exp │ │ ├── dat.vectors │ │ ├── dat192.vectors │ │ ├── dat256.vectors │ │ ├── funcit.pl │ │ ├── invsbox.table │ │ ├── key128.vectors │ │ ├── key192.vectors │ │ ├── key256.vectors │ │ ├── makeVecs.pl │ │ ├── makeVecs192.pl │ │ ├── makeVecs256.pl │ │ ├── rcon.table │ │ ├── sbox.table │ │ └── sysAes_TB.out.expected │ ├── AmbaAdapters │ │ ├── .gitignore │ │ ├── AmbaAdapters.bsv │ │ ├── Buses.bsv │ │ ├── DMA.bsv │ │ ├── DMA_Envir.bsv │ │ ├── Interfaces.bsv │ │ ├── Makefile │ │ ├── Masters.bsv │ │ ├── README │ │ ├── Slaves.bsv │ │ ├── TBbaseline.bsv │ │ ├── amba_adapters.exp │ │ ├── sysDMA.out.expected │ │ ├── sysDMA.v.out.expected │ │ └── sysM1_25.out.expected │ ├── AmbaLoadDemo │ │ ├── Buses.bsv │ │ ├── Dmac.bsv │ │ ├── Interfaces.bsv │ │ ├── Makefile │ │ ├── Masters.bsv │ │ ├── README │ │ ├── Results.dat │ │ ├── Slaves.bsv │ │ ├── TB1m2s.bsv │ │ ├── TB2Masters.bsv │ │ ├── TBbaseline.bsv │ │ ├── amba_load_demo.exp │ │ └── sysM1_25.out.expected │ ├── AmbaSynthesis │ │ ├── .gitignore │ │ ├── AmbaSynthesis.bsv │ │ ├── DMA.bsv │ │ ├── DMA_Envir.bsv │ │ ├── Makefile │ │ ├── README │ │ ├── Slaves.bsv │ │ ├── amba_syn.exp │ │ └── sysDMA.out.expected │ ├── AmbaTransModel │ │ ├── .gitignore │ │ ├── Buses.bsv │ │ ├── Interfaces.bsv │ │ ├── Makefile │ │ ├── Masters.bsv │ │ ├── README.txt │ │ ├── Slaves.bsv │ │ ├── TB1m2s.bsv │ │ ├── TB2m2s.bsv │ │ ├── amba_tmodel.exp │ │ └── sysTB1m2s.out.expected │ ├── Amba_dmac │ │ ├── AhbInterfaces.bsv │ │ ├── DMAC.bsv │ │ ├── Makefile │ │ ├── Slave.bsv │ │ ├── ahb_bus.v │ │ ├── ahb_default.v │ │ ├── ahb_ram.v │ │ ├── amba_dmac.exp │ │ ├── amba_tb.v │ │ ├── ram_1024x32.v │ │ └── sysAmbaDmac.out.expected │ ├── AssertionsDemo │ │ ├── .gitignore │ │ ├── AssertionWires.bsv │ │ ├── AssertionWiresTest.bsv │ │ ├── AssertionWiresTest2.bsv │ │ ├── AssertionWiresTest2.bsv.bsc-vcomp-out.expected │ │ ├── FPAdd.bsv │ │ ├── FPAddAssert.bsv │ │ ├── FPAddAssertBug.bsv │ │ ├── FPAddAssertWires.bsv │ │ ├── FPAddAssertWiresBug.bsv │ │ ├── FPAddBug.bsv │ │ ├── FPLibrary.bsv │ │ ├── FPLibraryBug.bsv │ │ ├── Makefile │ │ ├── Makefile.data │ │ ├── Tester.bsv │ │ ├── TesterBug.bsv │ │ ├── TesterLib.bsv │ │ ├── TesterWires.bsv │ │ ├── TesterWiresBug.bsv │ │ ├── assert_demo.exp │ │ ├── dumper.c │ │ ├── sysAssertionWiresTest.out.expected │ │ ├── sysAssertionWiresTest.v.out.expected │ │ ├── sysTester.c.out.expected │ │ ├── sysTester.out.expected │ │ ├── sysTester.v.out.expected │ │ ├── sysTesterBug.c.out.expected │ │ ├── sysTesterBug.out.expected │ │ ├── sysTesterBug.v.out.expected │ │ ├── sysTesterWires.out.expected │ │ ├── sysTesterWires.v.out.expected │ │ ├── sysTesterWiresBug.c.out.expected │ │ ├── sysTesterWiresBug.out.expected │ │ └── sysTesterWiresBug.v.out.expected │ ├── ConditionWires │ │ ├── DemonstrateConditions.bsv │ │ ├── Makefile │ │ └── conditionWires.exp │ ├── FIRFilter │ │ ├── FIRMain.bsv │ │ ├── FIRTest.bsv │ │ ├── Makefile │ │ ├── README │ │ ├── SyncFIR.bsv │ │ ├── firfilter.exp │ │ └── sysFIRTest.out.expected │ ├── FP │ │ ├── Arith.bsv │ │ ├── ArithPipe.bsv │ │ ├── Basic.bsv │ │ ├── FP.exp │ │ ├── FloatingPoint.bsv │ │ ├── Makefile │ │ ├── PipeMult.bsv │ │ ├── Synth.bsv │ │ ├── sysArith.expected │ │ ├── sysArithPipe.expected │ │ ├── sysBasic.expected │ │ ├── sysPipeMult.expected │ │ └── sysSynth.expected │ ├── FloatingPoint │ │ ├── .gitignore │ │ ├── FPAdd.bsv │ │ ├── FPLibrary.bsv │ │ ├── FPMac.bsv │ │ ├── FPMult.bsv │ │ ├── Makefile │ │ ├── Makefile.data │ │ ├── README │ │ ├── TesterA.bsv │ │ ├── TesterA64.bsv │ │ ├── TesterLib.bsv │ │ ├── TesterM.bsv │ │ ├── TesterM64.bsv │ │ ├── TesterMac.bsv │ │ ├── TesterMac64.bsv │ │ ├── dumper.c │ │ ├── floating_point.exp │ │ ├── mkTesterA.out.expected │ │ ├── mkTesterA64.out.expected │ │ ├── mkTesterM.out.expected │ │ ├── mkTesterM64.out.expected │ │ ├── mkTesterMac.out.expected │ │ ├── mkTesterMac64.out.expected │ │ ├── sysTesterA.out.expected │ │ ├── sysTesterA64.out.expected │ │ ├── sysTesterM.out.expected │ │ ├── sysTesterM64.out.expected │ │ ├── sysTesterMac.out.expected │ │ └── sysTesterMac64.out.expected │ ├── GlibcRandom │ │ ├── GlibcRandom.bsv │ │ ├── GlibcRandom.exp │ │ ├── Makefile │ │ ├── systbFast.out.expected │ │ ├── systbSlow.out.expected │ │ ├── tbFast.bsv │ │ └── tbSlow.bsv │ ├── Hamming │ │ ├── Hamming.bsv │ │ ├── HammingQ.bsv │ │ ├── Makefile │ │ ├── README │ │ ├── hamming.exp │ │ └── sysHamming.out.expected │ ├── Life │ │ ├── Life.bsv │ │ ├── Makefile │ │ ├── README.txt │ │ ├── example_life.exp │ │ └── sysLife.out.expected │ ├── MacTestBench │ │ ├── Arbiter.bsv │ │ ├── BGetPut.bsv │ │ ├── Bank.bsv │ │ ├── ConstrainedRandom.v │ │ ├── Control.bsv │ │ ├── Crc.bsv │ │ ├── DutInit.bsv │ │ ├── DutWrapped.bsv │ │ ├── EthFrame.bsv │ │ ├── EthMac.bsv │ │ ├── EthMacConfigs.bsv │ │ ├── EthTop.bsv │ │ ├── Mac.bsv │ │ ├── Makefile │ │ ├── Mii.bsv │ │ ├── MiiPhyLayer.bsv │ │ ├── RandomSynth.bsv │ │ ├── Randomizeable.bsv │ │ ├── SWEmulator.bsv │ │ ├── Scoreboard.bsv │ │ ├── SimpleRam.bsv │ │ ├── SimpleSwitch.bsv │ │ ├── Slice.bsv │ │ ├── SyncConnectable.bsv │ │ ├── TbEnv.bsv │ │ ├── TbEnv0.bsv │ │ ├── TbEnvConfigs.bsv │ │ ├── TbTop.bsv │ │ ├── TxRxPntArray.bsv │ │ ├── Util.bsv │ │ ├── WBAssemble.bsv │ │ ├── WBConfigs.bsv │ │ ├── WBMaster.bsv │ │ ├── WBRam.bsv │ │ ├── WBSerializer.bsv │ │ ├── WBSlave.bsv │ │ ├── WBSlave2.bsv │ │ ├── WBTransmit.bsv │ │ ├── WBone.bsv │ │ ├── eth_clockgen.v │ │ ├── eth_cop.v │ │ ├── eth_crc.v │ │ ├── eth_defines.v │ │ ├── eth_fifo.v │ │ ├── eth_maccontrol.v │ │ ├── eth_macstatus.v │ │ ├── eth_miim.v │ │ ├── eth_outputcontrol.v │ │ ├── eth_random.v │ │ ├── eth_receivecontrol.v │ │ ├── eth_register.v │ │ ├── eth_registers.v │ │ ├── eth_rxaddrcheck.v │ │ ├── eth_rxcounters.v │ │ ├── eth_rxethmac.v │ │ ├── eth_rxstatem.v │ │ ├── eth_shiftreg.v │ │ ├── eth_spram_256x32.v │ │ ├── eth_top.v │ │ ├── eth_transmitcontrol.v │ │ ├── eth_txcounters.v │ │ ├── eth_txethmac.v │ │ ├── eth_txstatem.v │ │ ├── eth_wishbone.v │ │ ├── mac_testbench.exp │ │ ├── mkSimpleSwitch.out.expected │ │ ├── mkTbEnv.out.expected │ │ └── timescale.v │ ├── Makefile │ ├── Maxtree │ │ ├── Makefile │ │ ├── MaxTree.bsv │ │ ├── README │ │ ├── TestMaxTree.bsv │ │ ├── TestPush.bsv │ │ ├── maxtree.exp │ │ ├── sys_2q.out.expected │ │ ├── sys_2q.v.out.expected │ │ ├── sys_fifo.out.expected │ │ ├── sys_fifo.v.out.expected │ │ ├── sys_fifo_loopy.out.expected │ │ └── sys_p2_fifo.out.expected │ ├── Misc │ │ ├── Makefile │ │ ├── TestShifter64.bsv │ │ ├── example_misc.exp │ │ └── sysTestShifter64.out.expected │ ├── PortReplicator │ │ ├── AsyncROM.bs │ │ ├── Makefile │ │ ├── PortReplicator.bs │ │ ├── PortReplicator.bsv │ │ ├── PortReplicator.exp │ │ ├── PortReplicator2.bs │ │ └── PortReplicator2.bsv │ ├── RAMS │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── RAMS.exp │ │ ├── README.txt │ │ ├── SRAM_wrapper.bsv │ │ ├── Test.bsv │ │ ├── Verilog_SRAM_model.v │ │ ├── mem_init.data │ │ └── mkTop.out.expected │ ├── SHA1 │ │ ├── KenSha1.bsv │ │ ├── Makefile │ │ ├── README │ │ ├── SHA1.exp │ │ └── sysKenSha1.out.expected │ ├── SHA256 │ │ ├── KenSha2.bsv │ │ ├── Makefile │ │ ├── README │ │ ├── SHA2.exp │ │ └── sysKenSha2.out.expected │ ├── SHA512 │ │ ├── KenSha2.bsv │ │ ├── Makefile │ │ ├── README │ │ ├── SHA2.exp │ │ └── sysKenSha2.out.expected │ ├── SimpleIfcArgInvert │ │ ├── Common.bsv │ │ ├── Makefile │ │ ├── Proc1.bsv │ │ ├── Proc2.bsv │ │ ├── proc.c.out.expected │ │ ├── proc.v.out.expected │ │ └── simple_ifc_arg_invert.exp │ ├── bsvfifo │ │ ├── BSVFIFO.bsv │ │ ├── Makefile │ │ ├── README │ │ ├── SizedFIFOTest.bsv │ │ ├── bsvfifo.exp │ │ └── sysSizedFIFOTest.out.expected │ ├── cache-controller │ │ ├── .gitignore │ │ ├── Cache.bsv │ │ ├── Cache_Controller.bsv │ │ ├── External_Interfaces.bsv │ │ ├── Makefile │ │ ├── RandomTestbench.bsv │ │ ├── SRAM_Fake.bsv │ │ ├── SRAM_Interfaces.bsv │ │ ├── Testbench.bsv │ │ ├── cache-controller.exp │ │ ├── random_testbench.out.expected │ │ └── testbench.out.expected │ ├── configbus │ │ ├── CBus.bsv │ │ ├── CBusExample1.bsv │ │ ├── Makefile │ │ └── configbus.exp │ ├── cpu │ │ ├── CPUTest.bsv │ │ ├── CPUTestBypass.bsv │ │ ├── CPUTestBypassPreFIFO.bsv │ │ ├── CPUTestV2.bsv │ │ ├── FindFIFO.bsv │ │ ├── FindFIFO2.bsv │ │ ├── FindFIFO3.bsv │ │ ├── FindFIFOM.bsv │ │ ├── FindFIFOM2.bsv │ │ ├── FiveStageCPUBypass.bsv │ │ ├── FiveStageCPUBypassPreFIFO.bsv │ │ ├── FiveStageCPUQ1.bsv │ │ ├── FiveStageCPUQ1sol.bsv │ │ ├── FiveStageCPUQ2.bsv │ │ ├── FiveStageCPUQ2sol.bsv │ │ ├── FiveStageCPUQ3.bsv │ │ ├── FiveStageCPUQ3sol.bsv │ │ ├── FiveStageCPUStall.bsv │ │ ├── FiveStageCPUStallV2.bsv │ │ ├── Makefile │ │ ├── README │ │ ├── cpu.exp │ │ ├── mkCPUTest.out.expected │ │ ├── mkCPUTest.v.out.expected │ │ ├── mkCPUTestBypass.out.expected │ │ ├── mkCPUTestBypass.v.out.expected │ │ ├── mkCPUTestBypassPreFIFO.out.expected │ │ ├── mkCPUTestBypassPreFIFO.v.out.expected │ │ ├── mkCPUTestV2.out.expected │ │ └── mkCPUTestV2.v.out.expected │ ├── fifo │ │ ├── Makefile │ │ ├── fifo_FixedPtrSize │ │ │ ├── Makefile │ │ │ ├── SFIFOSupport.bsv │ │ │ ├── SFIFOTest.bsv │ │ │ ├── fifo_FixedPtrSize.exp │ │ │ └── mkSFIFOTest.out.expected │ │ ├── fifo_Lennart_RWire │ │ │ ├── Fifo_Lennart_RWire.bsv │ │ │ ├── Makefile │ │ │ └── fifo_Lennart_RWire.exp │ │ ├── fifo_RAM │ │ │ ├── Makefile │ │ │ ├── SFIFOSupport.bsv │ │ │ ├── SFIFOTest.bsv │ │ │ ├── fifo_RAM.exp │ │ │ └── mkSFIFOTest.out.expected │ │ ├── fifo_UBit1 │ │ │ ├── Makefile │ │ │ ├── SFIFOSupport.bsv │ │ │ ├── SFIFOTest.bsv │ │ │ ├── UBit.bs │ │ │ ├── fifo_UBit1.exp │ │ │ └── mkSFIFOTest.out.expected │ │ └── fifo_UBit2 │ │ │ ├── Makefile │ │ │ ├── SFIFOSupport.bsv │ │ │ ├── SFIFOTest.bsv │ │ │ ├── UBit.bs │ │ │ ├── fifo_UBit2.exp │ │ │ └── mkSFIFOTest.out.expected │ ├── fifo_arb │ │ ├── Block1.bsv │ │ ├── Block2.bsv │ │ ├── Block2b.bsv │ │ ├── Block3.bsv │ │ ├── Includes.bsv │ │ ├── Makefile │ │ ├── Questions.txt │ │ ├── README │ │ ├── Tb1.bsv │ │ ├── Tb2.bsv │ │ ├── Tb2b.bsv │ │ ├── Tb3.bsv │ │ ├── fifo_arb.exp │ │ ├── mkTb1.out.expected │ │ ├── mkTb2.out.expected │ │ ├── mkTb2b.out.expected │ │ └── mkTb3.out.expected │ ├── fsm │ │ ├── FSM1.bsv │ │ ├── FSM2.bsv │ │ ├── FSM3.bsv │ │ ├── FSM4.bsv │ │ ├── FSMbug1.bsv │ │ ├── Makefile │ │ └── fsm.exp │ ├── gcd │ │ ├── DIV3.bsv │ │ ├── GCD.bsv │ │ ├── Makefile │ │ ├── TbDIV3.bsv │ │ ├── TbGCD.bsv │ │ ├── TbWideGCD.bsv │ │ ├── WideGCD.bsv │ │ ├── gcd.exp │ │ ├── mkTbDIV3.out.expected │ │ ├── mkTbGCD.out.expected │ │ └── mkTbWideGCD.out.expected │ ├── h264 │ │ ├── CAVLC.bsv │ │ ├── DeblockTee.bsv │ │ ├── EntropyTee.bsv │ │ ├── ExpGolomb.bsv │ │ ├── H264Types.bsv │ │ ├── IBufferControl.bsv │ │ ├── ICalc_nC.bsv │ │ ├── IDeblockFilter.bsv │ │ ├── IEntropyDec.bsv │ │ ├── IFinalOutput.bsv │ │ ├── IFrameBuffer.bsv │ │ ├── IH264.bsv │ │ ├── IInputGen.bsv │ │ ├── IInterpolator.bsv │ │ ├── IInverseTrans.bsv │ │ ├── IMemED.bsv │ │ ├── INalUnwrap.bsv │ │ ├── IPrediction.bsv │ │ ├── Makefile │ │ ├── h264.exp │ │ ├── mkBufferControl.bsv │ │ ├── mkCalc_nC.bsv │ │ ├── mkDeblockFilter.bsv │ │ ├── mkEntropyDec.bsv │ │ ├── mkFinalOutput.bsv │ │ ├── mkFrameBuffer.bsv │ │ ├── mkH264.bsv │ │ ├── mkInputGen.bsv │ │ ├── mkInterpolator.bsv │ │ ├── mkInverseTrans.bsv │ │ ├── mkMemED.bsv │ │ ├── mkNalUnwrap.bsv │ │ ├── mkPrediction.bsv │ │ └── mkTH.bsv │ ├── mcd_Rand │ │ ├── Makefile │ │ ├── README │ │ ├── README.internal │ │ ├── RandGen.bsv │ │ ├── RandGenC.bsv │ │ ├── RandGlobal.bsv │ │ ├── RandGlobalC.bsv │ │ ├── RandTop.bsv │ │ ├── RandTop2.bsv │ │ ├── RandTop3.bsv │ │ ├── RandTop3A.bsv │ │ ├── RandTop3C.bsv │ │ ├── RandTop4.bsv │ │ ├── RandTop5.bsv │ │ ├── RandTop6.bsv │ │ ├── RandTopC.bsv │ │ ├── RandUser1.bsv │ │ ├── RandUser1C.bsv │ │ ├── RandUser2.bsv │ │ ├── RandUser2C.bsv │ │ ├── Top.bsv │ │ ├── TopA.bsv │ │ ├── TopB.bsv │ │ ├── TopC.bsv │ │ ├── mkTop.out.expected │ │ ├── mkTop.v.out.expected │ │ └── rand.exp │ ├── memq │ │ ├── DQueue.bsv │ │ ├── DQueueConfig.bsv │ │ ├── DQueueTb.bsv │ │ ├── Makefile │ │ ├── PriQ.bsv │ │ ├── PriQ1.bsv │ │ ├── PriQ2.bsv │ │ ├── Priority.bsv │ │ ├── QType.bsv │ │ ├── README │ │ ├── priq.exp │ │ └── sysDQueueTb.out.expected │ ├── mesa │ │ ├── Makefile │ │ ├── course_lab │ │ │ ├── .gitignore │ │ │ ├── ClientServerLib.bsv │ │ │ ├── CompletionBuffer.bsv │ │ │ ├── ExtSDRAM.bsv │ │ │ ├── Makefile │ │ │ ├── MesaCirc.bsv │ │ │ ├── MesaCircLpm.bsv │ │ │ ├── MesaCircLpmQ.bsv │ │ │ ├── MesaDefs.bsv │ │ │ ├── MesaFlex.bsv │ │ │ ├── MesaFlexLpm.bsv │ │ │ ├── MesaFlexLpmQ.bsv │ │ │ ├── MesaIDefs.bsv │ │ │ ├── MesaLpm.bsv │ │ │ ├── MesaStatic.bsv │ │ │ ├── MesaStaticLpm.bsv │ │ │ ├── MesaTx.bsv │ │ │ ├── MesaTxLpm.bsv │ │ │ ├── Mesa_Dm.bsv │ │ │ ├── Mesa_Mif.bsv │ │ │ ├── Mesa_Vff.bsv │ │ │ ├── README │ │ │ ├── Replicator.bsv │ │ │ ├── SDRAM.bsv │ │ │ ├── SRAM.handbuilt │ │ │ ├── ShiftRegisters.bsv │ │ │ ├── TestMesa.bsv │ │ │ ├── addrs.handbuilt │ │ │ ├── course_lab.exp │ │ │ ├── mesa.circ.expected │ │ │ ├── mesa.circ.v.expected │ │ │ ├── mesa.flex.expected │ │ │ ├── mesa.flex.v.expected │ │ │ ├── mesa.static.expected │ │ │ ├── mesa.static.v.expected │ │ │ ├── mesa.tx.expected │ │ │ ├── mesa.tx.v.expected │ │ │ └── tests.handbuilt │ │ ├── spiless-tx-bsv-cocoon │ │ │ ├── ClientServerLib.bsv │ │ │ ├── Cocoon.bsv │ │ │ ├── ExtSDRAM.bsv │ │ │ ├── LPMMemory.bsv │ │ │ ├── Makefile │ │ │ ├── Memory.bsv │ │ │ ├── Mesa.bsv │ │ │ ├── MesaDefs.bsv │ │ │ ├── MesaIDefs.bsv │ │ │ ├── MesaTxLpm.bsv │ │ │ ├── Mesa_Dm.bsv │ │ │ ├── Mesa_Mif.bsv │ │ │ ├── Mesa_Vff.bsv │ │ │ ├── RPC.bsv │ │ │ ├── RPC.v │ │ │ ├── SDRAM.bsv │ │ │ ├── SRAM.handbuilt │ │ │ ├── TestMesa.bsv │ │ │ ├── addrs.handbuilt │ │ │ ├── spiless-tx-bsv-cocoon.exp │ │ │ ├── sysTestMesa.out.expected │ │ │ └── tests.handbuilt │ │ └── spiless-tx-bsv │ │ │ ├── ClientServerLib.bsv │ │ │ ├── ExtSDRAM.bsv │ │ │ ├── Makefile │ │ │ ├── Mesa.bsv │ │ │ ├── MesaDefs.bsv │ │ │ ├── MesaIDefs.bsv │ │ │ ├── MesaTxLpm.bsv │ │ │ ├── Mesa_Dm.bsv │ │ │ ├── Mesa_Mif.bsv │ │ │ ├── Mesa_Vff.bsv │ │ │ ├── SDRAM.bsv │ │ │ ├── SRAM.handbuilt │ │ │ ├── TestMesa.bsv │ │ │ ├── addrs.handbuilt │ │ │ ├── spiless-tx-bsv.exp │ │ │ ├── sysTestMesa.out.expected │ │ │ ├── sysTestMesa.v.out.expected │ │ │ └── tests.handbuilt │ ├── mimo │ │ ├── Basic.bsv │ │ ├── Makefile │ │ ├── TrafficBRAM.bsv │ │ ├── TrafficREG.bsv │ │ ├── mimo.exp │ │ ├── sysBasic.expected │ │ ├── sysTrafficBRAM.expected │ │ └── sysTrafficREG.expected │ ├── pong │ │ ├── AssertionWires.bsv │ │ ├── Ball0.bsv │ │ ├── Ball1.bsv │ │ ├── Ball2.bsv │ │ ├── Border.bsv │ │ ├── Color.bsv │ │ ├── Controller.bsv │ │ ├── Counter2.bsv │ │ ├── Decimal.bsv │ │ ├── Global.bsv │ │ ├── Island0.bsv │ │ ├── Island1.bsv │ │ ├── Island2.bsv │ │ ├── KbdV.bsv │ │ ├── LedDecoder.bsv │ │ ├── Makefile │ │ ├── Paddle.bsv │ │ ├── README │ │ ├── Score.bsv │ │ ├── Shape.bsv │ │ ├── Switch.bsv │ │ ├── TopLevel0.bsv │ │ ├── TopLevel1.bsv │ │ ├── TopLevel2.bsv │ │ ├── VGACore.bsv │ │ ├── kbscan.v │ │ ├── pong.exp │ │ ├── pong.v │ │ └── reset.v │ ├── rwire │ │ ├── Makefile │ │ ├── README │ │ ├── Register.bsv │ │ ├── RegisterQ.bsv │ │ └── rwire.exp │ ├── shifter │ │ ├── Example1.bsv │ │ ├── Example2.bsv │ │ ├── Example3.bsv │ │ ├── Example3Q.bsv │ │ ├── Example4.bsv │ │ ├── Example4Q.bsv │ │ ├── Example5.bsv │ │ ├── Example5Q.bsv │ │ ├── Example6.bsv │ │ ├── Example6Q.bsv │ │ ├── Example7.bsv │ │ ├── Example7Q.bsv │ │ ├── Example8.bsv │ │ ├── Example8Q.bsv │ │ ├── Makefile │ │ ├── README │ │ ├── Tb1.bsv │ │ ├── Tb2.bsv │ │ ├── Tb3.bsv │ │ ├── Tb3Q.bsv │ │ ├── Tb4.bsv │ │ ├── Tb4Q.bsv │ │ ├── Tb5.bsv │ │ ├── Tb5Q.bsv │ │ ├── Tb6.bsv │ │ ├── Tb6Q.bsv │ │ ├── Tb7.bsv │ │ ├── Tb7Q.bsv │ │ ├── Tb8.bsv │ │ ├── Tb8Q.bsv │ │ ├── mkTb1.out.expected │ │ ├── mkTb2.out.expected │ │ ├── mkTb3.out.expected │ │ ├── mkTb4.out.expected │ │ ├── mkTb5.out.expected │ │ ├── mkTb6.out.expected │ │ ├── mkTb7.out.expected │ │ ├── mkTb8.out.expected │ │ └── shifter.exp │ ├── stepcounter │ │ ├── Makefile │ │ ├── README │ │ ├── StepCounter.bsv │ │ ├── TestStepCounter.bsv │ │ ├── stepcounter.exp │ │ └── sysTestStepCounter.out.expected │ ├── sudoku │ │ ├── GenerateTest3.bsv │ │ ├── Generator.bsv │ │ ├── Makefile │ │ ├── SatMath.bsv │ │ ├── Solver.bsv │ │ ├── Sudoku.bsv │ │ ├── Tactics.bsv │ │ ├── TypeUtil.bsv │ │ ├── mkGenerateTest3.out.expected │ │ └── sudoku.exp │ ├── typeclasses │ │ ├── Bitwise.bsv │ │ ├── Makefile │ │ └── typeclasses.exp │ ├── vending │ │ ├── Makefile │ │ ├── TestVending.bsv │ │ ├── Vending.bsv │ │ ├── Vending0.bsv │ │ ├── VendingIfc.bsv │ │ ├── sysTestVending.out.expected │ │ ├── sysTestVending.v.out.expected │ │ └── vending.exp │ ├── wallace │ │ ├── .gitignore │ │ ├── CombWallace.bsv │ │ ├── Makefile │ │ ├── README │ │ ├── StatefulWallace.bsv │ │ ├── WallaceLib.bsv │ │ ├── WallaceServer.bsv │ │ ├── WallaceTest.bsv │ │ ├── wallace.exp │ │ └── wallace435.out.expected │ └── xbar │ │ ├── Makefile │ │ ├── Tb.bsv │ │ ├── XBar.bsv │ │ ├── sysTb.out.expected │ │ └── xbar.exp ├── bsc.bugs │ ├── Makefile │ ├── bluespec_inc │ │ ├── Makefile │ │ ├── b1018 │ │ │ ├── Case.bsv │ │ │ ├── Makefile │ │ │ └── b1018.exp │ │ ├── b1037 │ │ │ ├── Foo.bsv │ │ │ ├── Makefile │ │ │ ├── b1037.exp │ │ │ └── sysFoo.out.expected │ │ ├── b1040 │ │ │ ├── Bug1040.bsv │ │ │ ├── Makefile │ │ │ └── b1040.exp │ │ ├── b1043 │ │ │ ├── Bug3.bsv │ │ │ ├── Makefile │ │ │ ├── PrimitiveBVI_BadPortName.bsv │ │ │ └── b1043.exp │ │ ├── b1044 │ │ │ ├── Bug4.bsv │ │ │ ├── Makefile │ │ │ └── b1044.exp │ │ ├── b1045 │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── b1045.exp │ │ │ └── sysDesign.out.expected │ │ ├── b1048 │ │ │ ├── Bug.bsv │ │ │ ├── Makefile │ │ │ └── b1048.exp │ │ ├── b1066 │ │ │ ├── Makefile │ │ │ ├── Sub1.bsv │ │ │ ├── Sub2.bsv │ │ │ ├── Test.bsv │ │ │ ├── Test2.bsv │ │ │ └── b1066.exp │ │ ├── b1118 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── b1118.exp │ │ │ ├── continueInForLoop.bsv │ │ │ └── forTest.out.expected │ │ ├── b1121 │ │ │ ├── .gitignore │ │ │ ├── Bug1121.bsv │ │ │ ├── Makefile │ │ │ ├── b1121.exp │ │ │ └── testSizedFIFO.out.expected │ │ ├── b1163 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── b1163.exp │ │ │ └── g.bsv │ │ ├── b1191 │ │ │ ├── Doom.bsv │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── Test2.bsv │ │ │ ├── Test3.bsv │ │ │ └── b1191.exp │ │ ├── b1197 │ │ │ ├── FixedPointLibrary.bo.dumpbi-out.expected │ │ │ ├── FixedPointLibrary.bsv │ │ │ ├── Makefile │ │ │ ├── TestFixedPointMultiFiles.bsv │ │ │ ├── TypeClasses.bsv │ │ │ └── b1197.exp │ │ ├── b1198 │ │ │ ├── Bug1198.bsv │ │ │ ├── Makefile │ │ │ └── b1198.exp │ │ ├── b120 │ │ │ ├── Bug120-1.bsv │ │ │ ├── Bug120-2.bsv │ │ │ ├── Bug120-3.bsv │ │ │ ├── Makefile │ │ │ └── b120.exp │ │ ├── b1213 │ │ │ ├── Example.bsv │ │ │ ├── Makefile │ │ │ ├── XReg.bsv │ │ │ ├── Zaz.bsv │ │ │ └── b1213.exp │ │ ├── b1225 │ │ │ ├── Bug1225.bsv │ │ │ ├── Bug1225.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── b1225.exp │ │ ├── b1229 │ │ │ ├── ActionValueStructBind.bsv │ │ │ ├── Makefile │ │ │ └── b1229.exp │ │ ├── b1235 │ │ │ ├── .gitignore │ │ │ ├── HasSize.bsv │ │ │ ├── HasSizeTest.bsv │ │ │ ├── Makefile │ │ │ └── b1235.exp │ │ ├── b1240 │ │ │ ├── Makefile │ │ │ ├── NegativeTest.bsv │ │ │ └── b1240.exp │ │ ├── b1243 │ │ │ ├── Bug1243.bsv │ │ │ ├── Makefile │ │ │ └── b1243.exp │ │ ├── b1249 │ │ │ ├── Bug1249.bsv │ │ │ ├── Makefile │ │ │ └── b1249.exp │ │ ├── b1263 │ │ │ ├── Bug1263.bsv │ │ │ ├── Bug1263_2.bsv │ │ │ ├── Makefile │ │ │ └── b1263.exp │ │ ├── b1265 │ │ │ ├── Makefile │ │ │ ├── Test2.bsv │ │ │ └── b1265.exp │ │ ├── b1267 │ │ │ ├── Makefile │ │ │ ├── VectorBug.bsv │ │ │ └── b1267.exp │ │ ├── b1294 │ │ │ ├── HasTupleFailFastBug.bsv │ │ │ ├── Makefile │ │ │ └── b1294.exp │ │ ├── b1302 │ │ │ ├── EHR2.bsv │ │ │ ├── EHR_new.bsv │ │ │ ├── Makefile │ │ │ ├── RFile.bsv │ │ │ ├── RFile2.bsv │ │ │ ├── b1302.exp │ │ │ └── sysRFile2.out.expected │ │ ├── b1305 │ │ │ ├── Makefile │ │ │ ├── Zow.bsv │ │ │ ├── Zow2.bsv │ │ │ └── b1305.exp │ │ ├── b1314 │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── b1314.exp │ │ │ └── sysTest.out.expected │ │ ├── b1318 │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ └── b1318.exp │ │ ├── b1325 │ │ │ ├── Makefile │ │ │ ├── Test5.bsv │ │ │ ├── b1325.exp │ │ │ ├── param_test_case_new2.bsv │ │ │ └── top.bsv │ │ ├── b1326 │ │ │ ├── Makefile │ │ │ ├── SatMath.bsv │ │ │ ├── SatTest.bsv │ │ │ ├── SizeOf_MissingBitsProviso.bsv │ │ │ ├── SizeOf_MissingBitsProviso.bsv.bsc-out.expected │ │ │ ├── SizeOf_UnifyWithBitsProviso.bsv │ │ │ └── b1326.exp │ │ ├── b1328 │ │ │ ├── Divider.bsv │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ └── b1328.exp │ │ ├── b1332 │ │ │ ├── Bug1332.bsv │ │ │ ├── Makefile │ │ │ └── b1332.exp │ │ ├── b1349 │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── Test2.bsv │ │ │ └── b1349.exp │ │ ├── b1353 │ │ │ ├── Bug1353.bsv │ │ │ ├── Makefile │ │ │ ├── b1353.exp │ │ │ └── sysBug1353.out.expected │ │ ├── b1354 │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── b1354.exp │ │ │ └── mkMulti.v.expected │ │ ├── b1356 │ │ │ ├── Bug.bsv │ │ │ ├── BugFn.bsv │ │ │ ├── Makefile │ │ │ └── b1356.exp │ │ ├── b1389 │ │ │ ├── Makefile │ │ │ ├── Test0.bsv │ │ │ └── b1389.exp │ │ ├── b1390 │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── Test.bsv.bsc-vcomp-out.expected │ │ │ ├── Test2.bsv │ │ │ ├── Test2.bsv.bsc-vcomp-out.expected │ │ │ ├── b1390.exp │ │ │ ├── mkTest.sched.expected │ │ │ ├── mkTest.v.expected │ │ │ ├── mkTest2.sched.expected │ │ │ └── mkTest2.v.expected │ │ ├── b1396 │ │ │ ├── Example.bsv │ │ │ ├── Makefile │ │ │ ├── TLM.bsv │ │ │ ├── TLMDefines.bsv │ │ │ └── b1396.exp │ │ ├── b1402 │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ └── b1402.exp │ │ ├── b1424 │ │ │ ├── ForLoop1.bsv │ │ │ ├── FunctionLocation1.bsv │ │ │ ├── FunctionLocation2.bsv │ │ │ ├── InstOrder1.bsv │ │ │ ├── InstOrder2.bsv │ │ │ ├── Makefile │ │ │ ├── MethodOrder1.bsv │ │ │ ├── MethodOrder2.bsv │ │ │ ├── MethodOrder3.bsv │ │ │ ├── RuleNameClash1.bsv │ │ │ ├── RuleNameClash2.bsv │ │ │ ├── RuleOrder1.bsv │ │ │ ├── RuleOrder2.bsv │ │ │ ├── RuleOrder3.bsv │ │ │ ├── b1424.exp │ │ │ ├── sysForLoop1.out.expected │ │ │ ├── sysFunctionLocation1.out.expected │ │ │ ├── sysFunctionLocation2.out.expected │ │ │ ├── sysInstOrder1.out.expected │ │ │ ├── sysInstOrder2.out.expected │ │ │ ├── sysMethodOrder1.c.out.expected │ │ │ ├── sysMethodOrder1.v.out.expected │ │ │ ├── sysMethodOrder2.c.out.expected │ │ │ ├── sysMethodOrder2.v.out.expected │ │ │ ├── sysMethodOrder3.c.out.expected │ │ │ ├── sysMethodOrder3.v.out.expected │ │ │ ├── sysRuleNameClash1.out.expected │ │ │ ├── sysRuleNameClash2.out.expected │ │ │ ├── sysRuleOrder1.out.expected │ │ │ ├── sysRuleOrder2.out.expected │ │ │ └── sysRuleOrder3.out.expected │ │ ├── b1429 │ │ │ ├── Makefile │ │ │ ├── Test1.bsv │ │ │ ├── Test2.bsv │ │ │ └── b1429.exp │ │ ├── b1439 │ │ │ ├── Bug1439.bs │ │ │ ├── Bug1439.bsv │ │ │ ├── Makefile │ │ │ └── b1439.exp │ │ ├── b1470 │ │ │ ├── Bug1470.bsv │ │ │ ├── Makefile │ │ │ └── b1470.exp │ │ ├── b1480 │ │ │ ├── If1.bsv │ │ │ ├── Makefile │ │ │ └── b1480.exp │ │ ├── b1489 │ │ │ ├── Bug1489.bsv │ │ │ ├── Makefile │ │ │ └── b1489.exp │ │ ├── b1490 │ │ │ ├── Bug1490Bool.bsv │ │ │ ├── Bug1490MyBool.bsv │ │ │ ├── Bug1490MyEnum.bsv │ │ │ ├── Bug1490MyUnion.bsv │ │ │ ├── Makefile │ │ │ ├── VsortOriginal.bsv │ │ │ ├── VsortWorkaround.bsv │ │ │ └── b1490.exp │ │ ├── b1493 │ │ │ ├── Bug1493.bsv │ │ │ ├── Bug1493_Bad.bsv │ │ │ ├── Makefile │ │ │ └── b1493.exp │ │ ├── b1497 │ │ │ ├── BuffIndex.bsv │ │ │ ├── Cache_Controller.bsv │ │ │ ├── MPMC.defines │ │ │ ├── Makefile │ │ │ ├── Mpmc_NPI.bsv │ │ │ ├── Mpmc_TLM.bsv │ │ │ ├── SRAM_Interfaces.bsv │ │ │ ├── State.bsv │ │ │ ├── TLM.defines │ │ │ ├── TLMDefines.bsv │ │ │ ├── ToddBogusBits.bsv │ │ │ └── b1497.exp │ │ ├── b1539 │ │ │ ├── ClassicInstance.bs │ │ │ ├── ClassicInstance.bs.bsc-out.expected │ │ │ ├── EqNeeded.bsv │ │ │ ├── EqNeeded.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── b1539.exp │ │ ├── b1540 │ │ │ ├── Makefile │ │ │ ├── b1540.exp │ │ │ ├── foo.bsv │ │ │ └── mkFOO.v.expected │ │ ├── b1586 │ │ │ ├── Bug1586.bsv │ │ │ ├── Bug1586.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── b1586.exp │ │ ├── b1589 │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── Test2.bsv │ │ │ └── b1589.exp │ │ ├── b1591 │ │ │ ├── Bug1591.bsv │ │ │ ├── Makefile │ │ │ └── b1591.exp │ │ ├── b1595 │ │ │ ├── GCD.bsv │ │ │ ├── Makefile │ │ │ ├── TbGCD.bsv │ │ │ ├── WrongMod.bsv │ │ │ ├── WrongTop.bsv │ │ │ ├── b1595.exp │ │ │ ├── mkTbGCD.bsc-ccomp-out.expected │ │ │ └── mkWrongTop.bsc-ccomp-out.expected │ │ ├── b1599 │ │ │ ├── Bug1599.bsv │ │ │ ├── Makefile │ │ │ └── b1599.exp │ │ ├── b1610 │ │ │ ├── Board.bsv │ │ │ ├── Makefile │ │ │ ├── Test2.bs │ │ │ └── b1610.exp │ │ ├── b1619 │ │ │ ├── Bug1619A.bsv │ │ │ ├── Bug1619A.bsv.bsc-sched-out.expected │ │ │ ├── Bug1619B.bsv │ │ │ ├── Bug1619B.bsv.bsc-sched-out.expected │ │ │ ├── Bug1619C.bsv │ │ │ ├── Bug1619C.bsv.bsc-sched-out.expected │ │ │ ├── Makefile │ │ │ └── b1619.exp │ │ ├── b1621 │ │ │ ├── Bug1621.bsv │ │ │ ├── Makefile │ │ │ └── b1621.exp │ │ ├── b1654 │ │ │ ├── Bug.bsv │ │ │ ├── Makefile │ │ │ ├── NotBug_Init.bsv │ │ │ ├── NotBug_ValueMethod.bsv │ │ │ └── b1654.exp │ │ ├── b1658 │ │ │ ├── Makefile │ │ │ ├── MethodArg_ActionValue.bsv │ │ │ ├── MethodArg_Value.bsv │ │ │ ├── ModuleParam.bsv │ │ │ ├── ModulePort.bsv │ │ │ ├── b1658.exp │ │ │ └── bug1658.out.expected │ │ ├── b1666 │ │ │ ├── Bug1666.bsv │ │ │ ├── Makefile │ │ │ ├── SignedTest.bsv │ │ │ ├── b1666.exp │ │ │ ├── sysBug1666.out.expected │ │ │ └── sysSignedTest.out.expected │ │ ├── b1690 │ │ │ ├── Makefile │ │ │ ├── MutEx.bsv │ │ │ ├── MutEx.bsv.bsc-sched-out.expected │ │ │ ├── MutExBig.bsv │ │ │ ├── MutExBig.bsv.bsc-sched-out.expected │ │ │ └── b1690.exp │ │ ├── b1718 │ │ │ ├── Makefile │ │ │ ├── StructExplicitRead.bsv │ │ │ ├── StructExplicitWrite.bsv │ │ │ ├── StructImplicitRead.bsv │ │ │ ├── StructImplicitWrite.bsv │ │ │ └── b1718.exp │ │ ├── b1720 │ │ │ ├── Bug1720-1.bsv │ │ │ ├── Bug1720-1.bsv.bsc-vcomp-out.expected │ │ │ ├── Makefile │ │ │ └── b1720.exp │ │ ├── b1753 │ │ │ ├── Bug1753.bsv │ │ │ ├── Makefile │ │ │ ├── MethodNameShadow.bsv │ │ │ ├── ShadowInExpr.bsv │ │ │ ├── ShadowInMethod.bsv │ │ │ ├── ShadowInPattern.bsv │ │ │ ├── ShadowInRule.bsv │ │ │ └── b1753.exp │ │ ├── b1758 │ │ │ ├── Makefile │ │ │ ├── ZeroBitActionValueForeignWithArgs.bsv │ │ │ ├── ZeroBitActionValueMethod.bsv │ │ │ ├── ZeroBitValueMethod.bsv │ │ │ └── b1758.exp │ │ ├── b1796 │ │ │ ├── Bug1796.bsv │ │ │ ├── Makefile │ │ │ └── b1796.exp │ │ ├── b1894 │ │ │ ├── Bug1894.bsv │ │ │ ├── Makefile │ │ │ └── b1894.exp │ │ ├── b1921 │ │ │ ├── DuplicateMember_Interface.bsv │ │ │ ├── DuplicateMember_Interface_Classic.bs │ │ │ ├── DuplicateTypeParam_Alias.bsv │ │ │ ├── DuplicateTypeParam_Class.bsv │ │ │ ├── DuplicateTypeParam_Interface.bsv │ │ │ ├── DuplicateTypeParam_Interface_Classic.bs │ │ │ ├── DuplicateTypeParam_Union.bsv │ │ │ ├── DuplicateValParam_Function.bsv │ │ │ ├── DuplicateValParam_Function_Classic.bs │ │ │ ├── Makefile │ │ │ └── b1921.exp │ │ ├── b198 │ │ │ ├── Bug198.bsv │ │ │ ├── Makefile │ │ │ └── b198.exp │ │ ├── b232 │ │ │ ├── Design.bs │ │ │ ├── Makefile │ │ │ ├── b232.exp │ │ │ └── mkDesign.v.expected │ │ ├── b235 │ │ │ ├── Bug235-1.bsv │ │ │ ├── Bug235-2.bsv │ │ │ ├── Bug235-2.bsv.bsc-out.expected │ │ │ ├── Bug235-3.bsv │ │ │ ├── Bug235-4.bsv │ │ │ ├── Bug235-5.bsv │ │ │ ├── Bug235-5.bsv.bsc-out.expected │ │ │ ├── Bug235-6.bsv │ │ │ ├── Bug235-6.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── b235.exp │ │ ├── b257 │ │ │ ├── Bug257.bsv │ │ │ ├── Makefile │ │ │ └── b257.exp │ │ ├── b260 │ │ │ ├── Makefile │ │ │ ├── Sub.bsv │ │ │ ├── TbSub.bsv │ │ │ └── b260.exp │ │ ├── b262 │ │ │ ├── Bug262.bs │ │ │ ├── Bug262Opt.bsv │ │ │ ├── Makefile │ │ │ ├── b262.exp │ │ │ └── sysBug262Opt.v.expected │ │ ├── b263 │ │ │ ├── Bug263-1.bsv │ │ │ ├── Bug263-2.bsv │ │ │ ├── Bug263-3.bsv │ │ │ ├── Bug263-4.bsv │ │ │ ├── Makefile │ │ │ └── b263.exp │ │ ├── b264 │ │ │ ├── .gitignore │ │ │ ├── Design.bsv │ │ │ ├── Interface.bsv │ │ │ ├── Makefile │ │ │ ├── Top.bsv │ │ │ ├── b264.exp │ │ │ ├── foo.v.expected │ │ │ ├── mkDesign.v.expected │ │ │ ├── mkDesign_in.v.expected │ │ │ ├── top.v.expected │ │ │ └── top2.v.expected │ │ ├── b265 │ │ │ ├── Design_0.bsv │ │ │ ├── Design_1.bsv │ │ │ ├── Makefile │ │ │ └── b265.exp │ │ ├── b267 │ │ │ ├── Bug267.bs │ │ │ ├── Makefile │ │ │ └── b267.exp │ │ ├── b269 │ │ │ ├── Bug269.bsv │ │ │ ├── Bug269.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── b269.exp │ │ ├── b271 │ │ │ ├── Bug271.bsv │ │ │ ├── Makefile │ │ │ └── b271.exp │ │ ├── b281 │ │ │ ├── Bug281_1.bsv │ │ │ ├── Bug281_2.bsv │ │ │ ├── Bug281_3.bsv │ │ │ ├── Makefile │ │ │ └── b281.exp │ │ ├── b289 │ │ │ ├── Bug289.bsv │ │ │ ├── Makefile │ │ │ └── b289.exp │ │ ├── b290 │ │ │ ├── Bug290.bsv │ │ │ ├── Makefile │ │ │ └── b290.exp │ │ ├── b292 │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── b292.exp │ │ │ └── mkDesign.v.expected │ │ ├── b293 │ │ │ ├── Design1.bsv │ │ │ ├── Makefile │ │ │ ├── b293.exp │ │ │ └── mkDesign1.v.expected │ │ ├── b302 │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── b302.exp │ │ │ └── mkDesign.v.expected │ │ ├── b304 │ │ │ ├── Bug304_1.bsv │ │ │ ├── Bug304_2.bs │ │ │ ├── Makefile │ │ │ └── b304.exp │ │ ├── b308 │ │ │ ├── Bug308.bs │ │ │ ├── Makefile │ │ │ └── b308.exp │ │ ├── b312 │ │ │ ├── Bug312.bsv │ │ │ ├── Makefile │ │ │ └── b312.exp │ │ ├── b316 │ │ │ ├── Makefile │ │ │ ├── PPC_BranchPredictor.bsv │ │ │ ├── PPC_Datatypes.bsv │ │ │ └── b316.exp │ │ ├── b323 │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── Test.bsv.bsc-sched-out.expected │ │ │ └── b323.exp │ │ ├── b329 │ │ │ ├── Bug329_1.bsv │ │ │ ├── Bug329_2.bsv │ │ │ ├── Bug329_3.bsv │ │ │ ├── Makefile │ │ │ └── b329.exp │ │ ├── b335 │ │ │ ├── FifoTest.bsv │ │ │ ├── Makefile │ │ │ ├── b335.exp │ │ │ ├── mkDesignE.out.expected │ │ │ └── mkDesignI.out.expected │ │ ├── b340 │ │ │ ├── Bug340.bsv │ │ │ ├── Makefile │ │ │ └── b340.exp │ │ ├── b351 │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ └── b351.exp │ │ ├── b359 │ │ │ ├── Bug359.bsv │ │ │ ├── Bug359.bsv.bsc-vcomp-out.expected │ │ │ ├── Bug359_2.bs │ │ │ ├── Bug359_2.bs.bsc-vcomp-out.expected │ │ │ ├── Makefile │ │ │ ├── ModuleBind.bs │ │ │ └── b359.exp │ │ ├── b373 │ │ │ ├── Makefile │ │ │ ├── Temp.bsv │ │ │ ├── Wallace.bs │ │ │ └── b373.exp │ │ ├── b378 │ │ │ ├── Disjoint.bsv │ │ │ ├── DisjointConflict.bsv │ │ │ ├── Makefile │ │ │ ├── b378.exp │ │ │ ├── mkCTest.v.expected │ │ │ └── mkTest.v.expected │ │ ├── b381 │ │ │ ├── Converter.bs │ │ │ ├── Converter2.bsv │ │ │ ├── Makefile │ │ │ ├── YY.bsv │ │ │ ├── YY.bsv.bsc-vcomp-out.expected │ │ │ └── b381.exp │ │ ├── b384 │ │ │ ├── Bug384_1.bsv │ │ │ ├── Makefile │ │ │ └── b384.exp │ │ ├── b391 │ │ │ ├── Bug391_1.bsv │ │ │ ├── Bug391_2.bsv │ │ │ ├── Bug391_3.bsv │ │ │ ├── Bug391_4.bsv │ │ │ ├── Bug391_5.bsv │ │ │ ├── Makefile │ │ │ └── b391.exp │ │ ├── b394 │ │ │ ├── Bug394.bsv │ │ │ ├── Makefile │ │ │ └── b394.exp │ │ ├── b399 │ │ │ ├── Bug399.bsv │ │ │ ├── Makefile │ │ │ └── b399.exp │ │ ├── b402 │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── b402.exp │ │ │ └── sysTest.out.expected │ │ ├── b405 │ │ │ ├── Bug405_1.bsv │ │ │ ├── Bug405_2.bsv │ │ │ ├── Makefile │ │ │ ├── b405.exp │ │ │ └── module_cmsb.v.expected │ │ ├── b41 │ │ │ ├── Bug41.bs │ │ │ ├── Makefile │ │ │ └── b41.exp │ │ ├── b417 │ │ │ ├── Bug417.bsv │ │ │ ├── Makefile │ │ │ └── b417.exp │ │ ├── b418 │ │ │ ├── FPAdd.bsv │ │ │ ├── FPLibrary.bsv │ │ │ ├── Makefile │ │ │ ├── Tester.bsv │ │ │ ├── Tester.bsv.bsc-out.expected │ │ │ └── b418.exp │ │ ├── b423 │ │ │ ├── Bug423_1.bsv │ │ │ ├── Makefile │ │ │ └── b423.exp │ │ ├── b431 │ │ │ ├── Bug431.bsv │ │ │ ├── Makefile │ │ │ ├── b431.exp │ │ │ └── sysBug431.out.expected │ │ ├── b436 │ │ │ ├── ArrayReg.bsv │ │ │ ├── Makefile │ │ │ └── b436.exp │ │ ├── b437 │ │ │ ├── BoolIsFunction.bsv │ │ │ ├── Bug437BSV.bs │ │ │ ├── Bug437Classic.bs │ │ │ ├── Makefile │ │ │ └── b437.exp │ │ ├── b446 │ │ │ ├── Bug446_1.bsv │ │ │ ├── Bug446_2.bsv │ │ │ ├── Makefile │ │ │ └── b446.exp │ │ ├── b447 │ │ │ ├── Bug447_1.bsv │ │ │ ├── Bug447_2.bsv │ │ │ ├── Bug447_3.bsv │ │ │ ├── Makefile │ │ │ └── b447.exp │ │ ├── b453 │ │ │ ├── Makefile │ │ │ ├── MkBGPd.bsv │ │ │ ├── MkBGPt.bsv │ │ │ └── b453.exp │ │ ├── b459 │ │ │ ├── Bug459.bsv │ │ │ ├── Makefile │ │ │ └── b459.exp │ │ ├── b460 │ │ │ ├── Bug460.bsv │ │ │ ├── Makefile │ │ │ └── b460.exp │ │ ├── b461 │ │ │ ├── Bug461.bsv │ │ │ ├── Makefile │ │ │ └── b461.exp │ │ ├── b484 │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ └── b484.exp │ │ ├── b487 │ │ │ ├── Makefile │ │ │ ├── SimpleClient.bsv │ │ │ ├── ZBus.bs │ │ │ ├── ZBusBuffer.bsv │ │ │ ├── ZBusUtil.bs │ │ │ └── b487.exp │ │ ├── b491 │ │ │ ├── Bug491_1.bs │ │ │ ├── Bug491_2.bsv │ │ │ ├── Makefile │ │ │ └── b491.exp │ │ ├── b492 │ │ │ ├── Bug492_1.bs │ │ │ ├── Makefile │ │ │ └── b492.exp │ │ ├── b496 │ │ │ ├── Bug496_1.bsv │ │ │ ├── Makefile │ │ │ └── b496.exp │ │ ├── b508 │ │ │ ├── Bug508.bsv │ │ │ ├── Makefile │ │ │ └── b508.exp │ │ ├── b517 │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ └── b517.exp │ │ ├── b518 │ │ │ ├── Makefile │ │ │ ├── MethodUrg.bsv │ │ │ ├── MethodUrg.bsv.bsc-sched-out.expected │ │ │ └── b518.exp │ │ ├── b522 │ │ │ ├── Bug522_1.bsv │ │ │ ├── Makefile │ │ │ └── b522.exp │ │ ├── b530 │ │ │ ├── .gitignore │ │ │ ├── Design.bsv │ │ │ ├── Design2.bsv │ │ │ ├── Design3.bsv │ │ │ ├── Design4.bsv │ │ │ ├── DesignBig.bsv │ │ │ ├── DesignReg.bsv │ │ │ ├── Makefile │ │ │ └── negativeshift.exp │ │ ├── b532 │ │ │ ├── Bug532.bsv │ │ │ ├── Makefile │ │ │ └── b532.exp │ │ ├── b535 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── UnsetRWire.bsv │ │ │ ├── b535.exp │ │ │ └── sysUnsetRWire.out.expected │ │ ├── b540 │ │ │ ├── Bug540_1.bsv │ │ │ ├── Bug540_2.bsv │ │ │ ├── Makefile │ │ │ ├── b540.exp │ │ │ ├── sysBug540_1.out.expected │ │ │ └── sysBug540_2.out.expected │ │ ├── b542 │ │ │ ├── Bug542.bsv │ │ │ ├── Makefile │ │ │ └── b542.exp │ │ ├── b547 │ │ │ ├── Bug547.bsv │ │ │ ├── Makefile │ │ │ └── b547.exp │ │ ├── b557 │ │ │ ├── Bug557_1.bsv │ │ │ ├── Bug557_2.bsv │ │ │ ├── Makefile │ │ │ └── b557.exp │ │ ├── b561 │ │ │ ├── Bug561_1.bsv │ │ │ ├── Makefile │ │ │ └── b561.exp │ │ ├── b568 │ │ │ ├── Design.bsv │ │ │ ├── Design_def.bsv │ │ │ ├── Design_full.bsv │ │ │ ├── Makefile │ │ │ └── b568.exp │ │ ├── b569 │ │ │ ├── .gitignore │ │ │ ├── ArithModules.bsv │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── b569.exp │ │ │ ├── mkAddSub.v.expected │ │ │ └── tb.v.expected │ │ ├── b578 │ │ │ ├── Bug578.bs │ │ │ ├── Bug578_simple.bs │ │ │ ├── Bug578_simple.bs.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── b578.exp │ │ ├── b580 │ │ │ ├── Bug580_1.bsv │ │ │ ├── Bug580_2.bsv │ │ │ ├── Makefile │ │ │ └── b580.exp │ │ ├── b589 │ │ │ ├── Bug589_1.bsv │ │ │ ├── Bug589_2.bsv │ │ │ ├── Makefile │ │ │ └── b589.exp │ │ ├── b600 │ │ │ ├── Bug600.bsv │ │ │ ├── Makefile │ │ │ └── b600.exp │ │ ├── b610 │ │ │ ├── Makefile │ │ │ ├── Test20.bsv │ │ │ └── b610.exp │ │ ├── b611 │ │ │ ├── Bug611.bs │ │ │ ├── Makefile │ │ │ └── b611.exp │ │ ├── b621 │ │ │ ├── DynamicVectorIfc.bsv │ │ │ ├── Makefile │ │ │ ├── StaticVectorIfc.bsv │ │ │ ├── b621.exp │ │ │ ├── sysDynamicVectorIfc.out.expected │ │ │ └── sysStaticVectorIfc.out.expected │ │ ├── b628 │ │ │ ├── Makefile │ │ │ ├── Test628.bsv │ │ │ ├── Test628.bsv.bsc-vcomp-out.expected │ │ │ └── b628.exp │ │ ├── b631 │ │ │ ├── Makefile │ │ │ ├── Select.bsv │ │ │ ├── Select.bsv.bsc-vcomp-out.expected │ │ │ └── b631.exp │ │ ├── b633 │ │ │ ├── IVec1.bs │ │ │ ├── Makefile │ │ │ ├── TestIVec1.bsv │ │ │ └── b633.exp │ │ ├── b637 │ │ │ ├── Bug637.bsv │ │ │ ├── Makefile │ │ │ └── b637.exp │ │ ├── b667 │ │ │ ├── Makefile │ │ │ ├── RWireOutput.bsv │ │ │ └── b667.exp │ │ ├── b671 │ │ │ ├── Bug671_1.bsv │ │ │ ├── Makefile │ │ │ └── b671.exp │ │ ├── b675 │ │ │ ├── Bug675_ModuleCollect.bsv │ │ │ ├── Bug675_ModuleCollect.bsv.bsc-out.expected │ │ │ ├── Bug675_ModuleCollect_Classic.bs │ │ │ ├── Bug675_ModuleCollect_Classic.bs.bsc-out.expected │ │ │ ├── Bug675_PrimUpdateRangeFn.bs │ │ │ ├── Makefile │ │ │ ├── Simple.bs │ │ │ ├── Simple.bs.bsc-out.expected │ │ │ └── b675.exp │ │ ├── b676 │ │ │ ├── Bug676_1.bs │ │ │ ├── Bug676_1.bs.bsc-out.expected │ │ │ ├── Bug676_2.bsv │ │ │ ├── Bug676_2.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ ├── SelfRecursiveSyn.bsv │ │ │ ├── SelfRecursiveSyn.bsv.bsc-out.expected │ │ │ └── b676.exp │ │ ├── b68 │ │ │ ├── Bug68.bs │ │ │ ├── Makefile │ │ │ └── b68.exp │ │ ├── b690 │ │ │ ├── AlwaysEnabledOnMethods.bsv │ │ │ ├── AlwaysReadyOnMethods.bsv │ │ │ ├── DifferentPragmasSameInterface.bsv │ │ │ ├── Makefile │ │ │ └── b690.exp │ │ ├── b719 │ │ │ ├── Bug719.bsv │ │ │ ├── Makefile │ │ │ └── b719.exp │ │ ├── b737 │ │ │ ├── ActionMethodRegClash.bsv │ │ │ ├── ActionMethodRegClash_SubIfc.bsv │ │ │ ├── Makefile │ │ │ ├── MethodExternalNameClash.bsv │ │ │ ├── MethodExternalNameClash.bsv.bsc-out.expected │ │ │ ├── MethodInternalNameClash.bsv │ │ │ ├── MethodInternalNameClash.bsv.bsc-out.expected │ │ │ ├── MethodSelfReference.bsv │ │ │ ├── MethodSelfReference.bsv.bsc-out.expected │ │ │ ├── MethodToMethodReference.bsv │ │ │ ├── MethodToMethodReference.bsv.bsc-out.expected │ │ │ ├── ValueMethodInItsCondition.bsv │ │ │ ├── ValueMethodInItsCondition_SubIfc.bsv │ │ │ └── b737.exp │ │ ├── b752 │ │ │ ├── Bug752-2.bsv │ │ │ ├── Bug752.bsv │ │ │ ├── Makefile │ │ │ └── b752.exp │ │ ├── b753 │ │ │ ├── Bug753.bsv │ │ │ ├── Bug753_Classic.bs │ │ │ ├── Makefile │ │ │ ├── SVA2.bs │ │ │ └── b753.exp │ │ ├── b765 │ │ │ ├── Four.bsv │ │ │ ├── Makefile │ │ │ └── b765.exp │ │ ├── b791 │ │ │ ├── .gitignore │ │ │ ├── Bug791.bsv │ │ │ ├── Makefile │ │ │ ├── b791.exp │ │ │ ├── loop.bsv │ │ │ └── sysBug791.out.expected │ │ ├── b810 │ │ │ ├── Bug810_1.bsv │ │ │ ├── Bug810_2.bsv │ │ │ ├── Bug810_3.bsv │ │ │ ├── Makefile │ │ │ ├── Opt_bug.bsv │ │ │ ├── b810.exp │ │ │ ├── sysBug810_1.out.expected │ │ │ ├── sysBug810_3.out.expected │ │ │ └── sysOpt_bug.out.expected │ │ ├── b834 │ │ │ ├── Makefile │ │ │ ├── PPC_Datatypes.bsv │ │ │ ├── RegisterFile.bsv │ │ │ ├── UNUM.bsv │ │ │ ├── b834.exp │ │ │ └── mkRegisterFileFixed_3_2.v.expected │ │ ├── b848 │ │ │ ├── FIFOPush.bsv │ │ │ ├── Makefile │ │ │ ├── b848.exp │ │ │ └── sysFIFOPush.v.expected │ │ ├── b851 │ │ │ ├── Bug851.bsv │ │ │ ├── Makefile │ │ │ └── b851.exp │ │ ├── b864 │ │ │ ├── D.bsv │ │ │ ├── Makefile │ │ │ ├── OptAcrossRWireInDefs.bsv │ │ │ ├── OptAcrossRWireInForeign.bsv │ │ │ ├── OptAcrossRWireInInst.bsv │ │ │ └── b864.exp │ │ ├── b880 │ │ │ ├── FieldSelectError.bsv │ │ │ ├── FieldSelectError.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── b880.exp │ │ ├── b893 │ │ │ ├── Bug893.bsv │ │ │ ├── Makefile │ │ │ └── b893.exp │ │ ├── b898 │ │ │ ├── Bug898.bsv │ │ │ ├── Bug898_2.bsv │ │ │ ├── Makefile │ │ │ ├── b898.exp │ │ │ ├── sysBug898.out.expected │ │ │ ├── sysBug898.v.out.expected │ │ │ ├── sysBug898_2.out.expected │ │ │ └── sysBug898_2.v.out.expected │ │ ├── b925 │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── Test1.bsv │ │ │ ├── Test2.bsv │ │ │ ├── Test3.bsv │ │ │ ├── Test4.bsv │ │ │ ├── b925.exp │ │ │ ├── sysTest1.out.expected │ │ │ ├── sysTest2.out.expected │ │ │ ├── sysTest3.out.expected │ │ │ └── sysTest4.out.expected │ │ ├── b927 │ │ │ ├── Bug927.bsv │ │ │ ├── Makefile │ │ │ └── b927.exp │ │ ├── b941 │ │ │ ├── Makefile │ │ │ ├── UnderscoreIfc.bsv │ │ │ ├── UnderscoreModule.bsv │ │ │ └── b941.exp │ │ └── ek │ │ │ ├── Makefile │ │ │ ├── ParitySwitch2.bsv │ │ │ └── ek_bug.exp │ ├── github │ │ ├── Makefile │ │ ├── gh221 │ │ │ ├── Example1.bs │ │ │ ├── Example2.bs │ │ │ ├── Example2.bs.bsc-out.expected │ │ │ ├── Example3.bs │ │ │ ├── Example4.bs │ │ │ ├── Example4.bs.bsc-out.expected │ │ │ ├── Makefile │ │ │ ├── ShouldCompile.bs │ │ │ ├── Test.bs │ │ │ ├── Test.bs.bsc-out.expected │ │ │ ├── ZipCrash.bs │ │ │ ├── ZipCrash.bs.bsc-out.expected │ │ │ ├── ZipCrash2.bs │ │ │ ├── ZipCrash2.bs.bsc-out.expected │ │ │ ├── ZipNoCrash3.bs │ │ │ └── gh221.exp │ │ ├── gh276 │ │ │ ├── Makefile │ │ │ ├── SuggestStringOf_NoCon.bsv │ │ │ ├── SuggestStringOf_NoCon.bsv.bsc-out.expected │ │ │ ├── SuggestStringOf_TwoCon_IntegerContext.bsv │ │ │ ├── SuggestStringOf_TwoCon_IntegerContext.bsv.bsc-out.expected │ │ │ ├── SuggestValueOf_NoCon.bsv │ │ │ ├── SuggestValueOf_NoCon.bsv.bsc-out.expected │ │ │ ├── SuggestValueOf_OneCon.bsv │ │ │ ├── SuggestValueOf_OneCon.bsv.bsc-out.expected │ │ │ ├── SuggestValueOf_TwoCon_IntegerContext.bsv │ │ │ ├── SuggestValueOf_TwoCon_IntegerContext.bsv.bsc-out.expected │ │ │ ├── SuggestValueOf_TwoCon_PolyContext.bsv │ │ │ ├── SuggestValueOf_TwoCon_PolyContext.bsv.bsc-out.expected │ │ │ └── gh276.exp │ │ ├── gh309 │ │ │ ├── ICE.bs │ │ │ ├── Makefile │ │ │ └── gh309.exp │ │ ├── gh334 │ │ │ ├── IPv4.bsv │ │ │ ├── Makefile │ │ │ └── gh334.exp │ │ ├── gh353 │ │ │ ├── BH_Cons_NamedFields.bs │ │ │ ├── BH_Cons_NonNamedFields.bs │ │ │ ├── BH_Cons_NonNamedFields.bs.bsc-out.expected │ │ │ ├── BSV_Cons_NoFields.bsv │ │ │ ├── BSV_Cons_NonNamedFields.bsv │ │ │ ├── BSV_Cons_NonNamedFields.bsv.bsc-out.expected │ │ │ ├── BSV_SDataCon_Match.bsv │ │ │ ├── BSV_SDataCon_Match.bsv.bsc-out.expected │ │ │ ├── BSV_WrongSyntax.bsv │ │ │ ├── BSV_WrongSyntax.bsv.bsc-out.expected │ │ │ ├── BSV_WrongSyntax_Pat.bsv │ │ │ ├── BSV_WrongSyntax_Pat.bsv.bsc-out.expected │ │ │ ├── Bug353_BH.bs │ │ │ ├── Bug353_BSV_Constr.bsv │ │ │ ├── Bug353_BSV_Constr.bsv.bsc-out.expected │ │ │ ├── Bug353_BSV_Struct.bsv │ │ │ ├── Bug353_Match_BH.bs │ │ │ ├── Bug353_Match_BSV_Constr.bsv │ │ │ ├── Bug353_Match_BSV_Constr.bsv.bsc-out.expected │ │ │ ├── Bug353_Match_BSV_Struct.bsv │ │ │ ├── Bug353_Pat_BH.bs │ │ │ ├── Bug353_Pat_BSV_Constr.bsv │ │ │ ├── Bug353_Pat_BSV_Constr.bsv.bsc-out.expected │ │ │ ├── Bug353_Pat_BSV_Struct.bsv │ │ │ ├── Bug353_Type.bs │ │ │ ├── Disambig_Cons_NoStruct_Ambig.bs │ │ │ ├── Disambig_Cons_NoStruct_Ambig.bs.bsc-out.expected │ │ │ ├── Disambig_Cons_NoStruct_NotAmbig.bs │ │ │ ├── Makefile │ │ │ ├── Types.bs │ │ │ ├── Types_NonNamed.bs │ │ │ └── gh353.exp │ │ ├── gh435 │ │ │ ├── Makefile │ │ │ ├── Top.bs │ │ │ └── gh435.exp │ │ ├── gh678 │ │ │ ├── GenCRepr.bs │ │ │ ├── Makefile │ │ │ ├── SizedVector.bs │ │ │ ├── State.bs │ │ │ ├── Test.bs │ │ │ └── gh678.exp │ │ ├── gh836 │ │ │ ├── Makefile │ │ │ ├── ZeroSizeWires.bs │ │ │ ├── ZeroUndet.bs │ │ │ ├── gh836.exp │ │ │ ├── sysZeroSizeWires.out.expected │ │ │ └── sysZeroUndet.out.expected │ │ └── gh839 │ │ │ ├── Makefile │ │ │ ├── OneHotSelectZero.bs │ │ │ └── gh839.exp │ └── pre_bluespec_inc │ │ ├── Bug101.bs │ │ ├── Bug105.bs │ │ ├── Bug105.bs.bsc-out.expected │ │ ├── Bug1083.bs │ │ ├── Bug1099.bs │ │ ├── Bug1109.bs │ │ ├── Bug1120.bs │ │ ├── Bug1137.bs │ │ ├── Bug122.bs │ │ ├── Bug175.bs │ │ ├── Bug185.bs │ │ ├── Bug186.bs │ │ ├── Bug200.bs │ │ ├── Bug212.bs │ │ ├── Bug319.bs │ │ ├── Bug38.bs │ │ ├── Bug41.bs │ │ ├── Bug73.bs │ │ ├── Bug79.bs │ │ ├── Bug79a.bs │ │ ├── Bug79b.bs │ │ ├── Bug80.bs │ │ ├── Bug80a.bs │ │ ├── Bug85.bs │ │ ├── Bug888.bs │ │ ├── Bug987.bs │ │ ├── Makefile │ │ ├── pre_bluespec_inc.exp │ │ └── sysBug122.out.expected ├── bsc.codegen │ ├── .cvsignore │ ├── ConstructorArgumentOrder.bs │ ├── Makefile │ ├── MissingCStructs.bs │ ├── PrimConcatThree.bsv │ ├── PrimPriMuxNSS.bsv │ ├── TmpsIfcArgs.bs │ ├── TmpsIfcArgsConsumer.bs │ ├── TmpsIfcArgsDefs.bs │ ├── TmpsIfcArgsProducer.bs │ ├── case │ │ ├── ArrSelReal.bsv │ │ ├── ArrSelString.bsv │ │ ├── CaseArrSel.bsv │ │ ├── CaseCase.bsv │ │ ├── CaseIf.bsv │ │ ├── CaseReal.bsv │ │ ├── CaseString.bsv │ │ ├── DupResult.bsv │ │ ├── IfArrSel.bsv │ │ ├── IfCase.bsv │ │ ├── IfElseCase_Func.bsv │ │ ├── IfElseCase_Inline.bsv │ │ ├── Makefile │ │ ├── NoOp_LargerRes.bsv │ │ ├── NoOp_SameSize.bsv │ │ ├── case.exp │ │ ├── sysDupResult.out.expected │ │ ├── sysIfElseCase_Func.out.expected │ │ ├── sysIfElseCase_Inline.out.expected │ │ ├── sysNoOp_LargerRes.out.expected │ │ └── sysNoOp_SameSize.out.expected │ ├── codegen.exp │ ├── foreign │ │ ├── .gitignore │ │ ├── BDPIAction.bsv │ │ ├── BDPIActionValue.bsv │ │ ├── BDPIActionValueIgnorePolyReturn.bsv │ │ ├── BDPIActionValueIgnoreReturn.bsv │ │ ├── BDPIActionValueIgnoreWideReturn.bsv │ │ ├── BDPIActionValueStringResult.bsv │ │ ├── BDPIActionValueWithLogic.bsv │ │ ├── BDPIActionValue_.bsv │ │ ├── BDPIArg64.bsv │ │ ├── BDPIBit8.bsv │ │ ├── BDPIBit97.bsv │ │ ├── BDPIBitN.bsv │ │ ├── BDPIDynamicStringArg.bsv │ │ ├── BDPINonBitRes_Integer.bsv │ │ ├── BDPINonBit_Bool.bsv │ │ ├── BDPINonBit_Integer.bsv │ │ ├── BDPINonBit_ParamNotBitifiable.bsv │ │ ├── BDPIPassingStruct.bsv │ │ ├── BDPIPrimAction.bsv │ │ ├── BDPIStringArg.bsv │ │ ├── BDPIStringResult.bsv │ │ ├── BDPIWideResult.bsv │ │ ├── BDPI_CapitalLinkName.bsv │ │ ├── Bug.bsv │ │ ├── Makefile │ │ ├── actions.c.keep │ │ ├── battery │ │ │ ├── .gitignore │ │ │ ├── ActionImports.bsv │ │ │ ├── ActionValueImports.bsv │ │ │ ├── Makefile │ │ │ ├── MultipleImports.bsv │ │ │ ├── TestActionValues.bsv │ │ │ ├── TestActionValuesUnusedValue.bsv │ │ │ ├── TestActions.bsv │ │ │ ├── TestAggressiveConditions.bsv │ │ │ ├── TestInstParam.bsv │ │ │ ├── TestMethods.bsv │ │ │ ├── TestMultipleRules.bsv │ │ │ ├── TestValues.bsv │ │ │ ├── ValueImports.bsv │ │ │ ├── actions.c.keep │ │ │ ├── actionvalues.c.keep │ │ │ ├── battery.exp │ │ │ ├── common.c.keep │ │ │ ├── common.h.keep │ │ │ ├── mkTestActionValues.out.expected │ │ │ ├── mkTestActionValuesUnusedValue.out.expected │ │ │ ├── mkTestActions.out.expected │ │ │ ├── mkTestAggressiveConditions.out.expected │ │ │ ├── mkTestMethodsTB.out.expected │ │ │ ├── mkTestMultipleRules.out.expected │ │ │ ├── mkTestValues.out.expected │ │ │ └── values.c.keep │ │ ├── convert.c.keep │ │ ├── convert.mk │ │ ├── foreign.exp │ │ ├── incr64.c.keep │ │ ├── logic.c.keep │ │ ├── mkBDPIAction.out.expected │ │ ├── mkBDPIActionValue.out.expected │ │ ├── mkBDPIActionValueIgnorePolyReturn.out.expected │ │ ├── mkBDPIActionValueIgnoreReturn.out.expected │ │ ├── mkBDPIActionValueIgnoreWideReturn.out.expected │ │ ├── mkBDPIActionValueWithLogic.out.expected │ │ ├── mkBDPIActionValue_.out.expected │ │ ├── mkBDPIArg64.out.expected │ │ ├── mkBDPIBit8.out.expected │ │ ├── mkBDPIBit97.out.expected │ │ ├── mkBDPIBitN.out.expected │ │ ├── mkBDPIDynamicStringArg.out.expected │ │ ├── mkBDPINonBit_Bool.out.expected │ │ ├── mkBDPIPassingStruct.c.out.expected │ │ ├── mkBDPIPassingStruct.out.expected │ │ ├── mkBDPIPrimAction.out.expected │ │ ├── mkBDPIStringArg.out.expected │ │ ├── mkBDPIWideResult.out.expected │ │ ├── mkBDPI_CapitalLinkName.out.expected │ │ ├── mkBug.out.expected │ │ ├── poly.c.keep │ │ ├── struct.c.keep │ │ ├── wide.c.keep │ │ └── xbuf.c.keep │ ├── mkPrimPriMuxNSS.out.expected │ ├── rdy_en_pragmas │ │ ├── AlwaysEnabledGated1.bsv │ │ ├── AlwaysEnabledGated2.bsv │ │ ├── AlwaysEnabledNotOK.bsv │ │ ├── AlwaysReadyNotOK.bsv │ │ ├── AlwaysReady_OnInterface_Subinterface.bsv │ │ ├── AlwaysReady_OnInterface_Top.bsv │ │ ├── AlwaysReady_OnMethod.bsv │ │ ├── AlwaysReady_OnModule_FullInterface.bsv │ │ ├── AlwaysReady_OnModule_OneMethod.bsv │ │ ├── AlwaysReady_OnSubinterface.bsv │ │ ├── ExportedGate.bsv │ │ ├── Makefile │ │ ├── TestEnableFail.bsv │ │ ├── Test_AlwaysEnabled.bsv │ │ ├── Test_AlwaysReady.bsv │ │ ├── Test_Path_AlwaysEn.bsv │ │ ├── Test_RdyEn_Path.bsv │ │ ├── rdy_en_pragmas.exp │ │ ├── sysAlwaysEnabledGated1.out.expected │ │ ├── sysAlwaysEnabledGated2.out.expected │ │ ├── sysAlwaysEnabledNotOK.out.expected │ │ └── sysAlwaysReadyNotOK.out.expected │ ├── signature │ │ ├── CtorWithNonBitsArg_MethodArg.bsv │ │ ├── CtorWithNonBitsArg_ModuleArg.bsv │ │ ├── IntegerMethodArg.bsv │ │ ├── Makefile │ │ ├── MethodProviso_ModArg.bsv │ │ ├── MethodProviso_ModArg_NotBound.bsv │ │ ├── MethodProviso_ModIfc.bsv │ │ ├── MethodProviso_ModIfc_NotBound.bsv │ │ ├── MethodProviso_ModSubIfc.bsv │ │ ├── MethodProviso_ModSubIfc2.bsv │ │ ├── MethodProviso_ModSubIfc_NotBound.bsv │ │ ├── NonBitsCtorWithArg_MethodArg.bsv │ │ ├── NonBitsCtorWithArg_ModuleArg.bsv │ │ ├── NonBitsCtorWithNumArg_MethodArg.bsv │ │ ├── NonBitsCtorWithNumArg_ModuleArg.bsv │ │ ├── NonBitsModuleArg.bsv │ │ ├── NonIfc.bsv │ │ ├── NonModule.bsv │ │ ├── PolymorphicMethodArg.bsv │ │ ├── ProvisoIfc.bsv │ │ ├── ProvisoMethod.bsv │ │ └── signature.exp │ ├── strings │ │ ├── CharLiteralBad_Empty.bsv │ │ ├── CharLiteralBad_Empty.bsv.bsc-vcomp-out.expected │ │ ├── CharLiteralBad_Multiple.bsv │ │ ├── CharLiteralBad_Multiple.bsv.bsc-vcomp-out.expected │ │ ├── CharLiteralOK.bsv │ │ ├── DigitToBits_BadResultSize.bsv │ │ ├── DigitToBits_BadResultSize.bsv.bsc-vcomp-out.expected │ │ ├── DigitToInteger_Bad.bsv │ │ ├── DigitToInteger_Bad.bsv.bsc-vcomp-out.expected │ │ ├── IntegerToChar_OutOfRange_High.bsv │ │ ├── IntegerToChar_OutOfRange_High.bsv.bsc-vcomp-out.expected │ │ ├── IntegerToChar_OutOfRange_Low.bsv │ │ ├── IntegerToChar_OutOfRange_Low.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── StringCharFunctions.bsv │ │ ├── StringHead_Empty.bsv │ │ ├── StringHead_Empty.bsv.bsc-vcomp-out.expected │ │ ├── StringSelect_OutOfRange.bsv │ │ ├── StringSelect_OutOfRange.bsv.bsc-vcomp-out.expected │ │ ├── StringTail_Empty.bsv │ │ ├── StringTail_Empty.bsv.bsc-vcomp-out.expected │ │ ├── StringUpdate_OutOfRange.bsv │ │ ├── StringUpdate_OutOfRange.bsv.bsc-vcomp-out.expected │ │ ├── strings.exp │ │ └── sysStringCharFunctions.out.expected │ ├── sysConstructorArgumentOrder.final-state.expected │ ├── sysTmpsIfcArgs.final-state.expected │ ├── undet │ │ ├── Makefile │ │ ├── Undet_SubModArg.bsv │ │ └── undet.exp │ ├── vector_interfaces │ │ ├── ClockListNIfc.bsv │ │ ├── ClockVectorIfcGate.bsv │ │ ├── Makefile │ │ ├── SizeZero.bsv │ │ ├── mkClockListNPassThrough.v.expected │ │ ├── mkClockVectorPassThrough.v.expected │ │ └── vector_interfaces.exp │ └── vector_modargs │ │ ├── ClockedByClock.bsv │ │ ├── ClockedByPort.bsv │ │ ├── ClockedByPort_VecClock.bsv │ │ ├── ClockedByPort_VecReset.bsv │ │ ├── ClockedByReset.bsv │ │ ├── GateAllClocks_VecClock.bsv │ │ ├── GateInputClocks_VecClock.bsv │ │ ├── InvalidPortName.bsv │ │ ├── Makefile │ │ ├── NameCollision.bsv │ │ ├── NameCollision_Rename.bsv │ │ ├── RenameClock.bsv │ │ ├── RenamePort.bsv │ │ ├── RenameReset.bsv │ │ ├── RenameResetFail.bsv │ │ ├── SizeZero.bsv │ │ ├── VecClock.bsv │ │ ├── VecClockResetToRegIfc.bsv │ │ ├── VecClockResetToRegIfc_WrongClock.bsv │ │ ├── VecParam.bsv │ │ ├── VecVecVecInt_Order.bsv │ │ ├── sysClockedByPort.out.expected │ │ ├── sysClockedByReset.out.expected │ │ ├── sysVecClockResetToRegIfc.out.expected │ │ ├── sysVecVecVecInt_Order.out.expected │ │ └── vector_modargs.exp ├── bsc.compile │ ├── .gitignore │ ├── FiveA.bs │ ├── FiveB.bs │ ├── Makefile │ ├── MyProg.bs │ ├── MyProg2.bs │ ├── MyProg2.bs.bsc-out.expected │ ├── Six.bs │ ├── Test.bs │ ├── TopRec.bsv │ ├── TupleExpand.bs │ ├── compile.exp │ └── letrec │ │ ├── Derive1.bsv │ │ ├── Derive2.bsv │ │ ├── Derive3.bsv │ │ ├── Derive3b.bsv │ │ ├── DeriveBits1.bsv │ │ ├── DeriveBits2.bsv │ │ ├── DeriveBits3.bsv │ │ ├── DeriveBits3b.bsv │ │ ├── Letrec3.bs │ │ ├── Letrecbit.bs │ │ ├── Letrecmod.bs │ │ ├── Letrecmodbool.bs │ │ ├── Letrecmodx.bs │ │ ├── Letrect.bs │ │ ├── List1.bsv │ │ ├── List2.bsv │ │ ├── List3.bsv │ │ ├── List3b.bsv │ │ ├── Makefile │ │ ├── Undef.bsv │ │ ├── Undef2.bsv │ │ ├── letrec.exp │ │ ├── sysDerive1.out.expected │ │ ├── sysDerive2.out.expected │ │ ├── sysDerive3.out.expected │ │ ├── sysDerive3b.out.expected │ │ ├── sysLetrec3.out.expected │ │ ├── sysLetrecbit.out.expected │ │ ├── sysLetrecmod.out.expected │ │ ├── sysLetrecmodx.out.expected │ │ ├── sysList1.out.expected │ │ ├── sysList2.out.expected │ │ ├── sysList3.out.expected │ │ ├── sysList3b.out.expected │ │ └── sysUndef.out.expected ├── bsc.doc │ ├── Makefile │ ├── UserGuide_GCD.bsv │ ├── UserGuide_RegInsts.bsv │ ├── UserGuide_mkGCD.v.expected │ ├── doc.exp │ └── sysUserGuide_RegInsts.v.expected ├── bsc.driver │ ├── .cvsignore │ ├── Makefile │ ├── bluesim │ │ ├── Makefile │ │ ├── Mid1.bsv │ │ ├── Mid2.bsv │ │ ├── Sub1.bsv │ │ ├── Sub2.bsv │ │ ├── Sub3.bsv │ │ ├── Top.bsv │ │ ├── bluesim.exp │ │ ├── full_build.out.expected │ │ ├── partial_build.out.expected │ │ ├── reused_build.out.expected │ │ └── sim.out.expected │ ├── cpp │ │ ├── Cpreprocess.bsv │ │ ├── Cpreprocess1.bsv │ │ ├── Cpreprocess_line.bsv │ │ ├── Cpreprocess_line.bsv.bsc-out.expected │ │ ├── Cpreprocess_unusual_format.bsv │ │ ├── Makefile │ │ ├── cpp.exp │ │ ├── more.bsv │ │ ├── number.h │ │ ├── sysCpreprocess.out.expected │ │ └── sysCpreprocess_unusual_format.out.expected │ ├── depend │ │ ├── .gitignore │ │ ├── BDPI.bsv │ │ ├── DupObj.bsv │ │ ├── DupObjTop.bsv │ │ ├── DupObjTop.bsv.bsc-out.expected │ │ ├── DupPkg.bsv │ │ ├── DupTop.bsv │ │ ├── DupTop.bsv.bsc-out.expected │ │ ├── GenWrapQualifiedNames.bsv │ │ ├── Makefile │ │ ├── MyClientServer.bsv │ │ ├── MyGetPut.bsv │ │ ├── MyRAM.bsv │ │ ├── NoInline.bsv │ │ ├── VerilogElab.bsv │ │ ├── VerilogElab.bsv.bsc-vcomp-out.expected │ │ ├── VerilogInclude.bsv │ │ ├── VerilogInclude.bsv.bsc-vcomp-out.expected.1 │ │ ├── VerilogInclude.bsv.bsc-vcomp-out.expected.2 │ │ ├── WFilePackageNameMismatch_Sub.bs │ │ ├── WFilePackageNameMismatch_Top.bs │ │ ├── WFilePackageNameMismatch_Top.bs.bsc-out.expected │ │ ├── defines1 │ │ ├── defines2 │ │ └── depend.exp │ ├── gensign │ │ ├── Bar_VectorReExport.bsv │ │ ├── Basic.bsv │ │ ├── BasicReExport.bsv │ │ ├── BasicReReExport.bsv │ │ ├── DupIdVsPackage.bsv │ │ ├── DupIdVsPackage.bsv.bsc-out.expected │ │ ├── DupIdVsPackage2.bsv │ │ ├── DupIdVsPackage2.bsv.bsc-out.expected │ │ ├── DupPackage.bsv │ │ ├── DupPackage.bsv.bsc-out.expected │ │ ├── DupVar.bsv │ │ ├── DupVar.bsv.bsc-out.expected │ │ ├── ExportAllExport.bo.dumpbi-out.expected │ │ ├── ExportAllExport.bs │ │ ├── ExportAllExportBad.bo.dumpbi-out.expected │ │ ├── ExportAllExportBad.bs │ │ ├── ExportAllImport.bs │ │ ├── ExportAllImportBad.bs │ │ ├── Foo_TestVectorReExport.bsv │ │ ├── ImportReExportTestDataConFull.bsv │ │ ├── ImportTestDataCon.bsv │ │ ├── ImportTestDataCon2.bsv │ │ ├── InfixOp.bsv │ │ ├── Keywords.bsv │ │ ├── LBusX.bs │ │ ├── LBusX.bs.bsc-out.expected │ │ ├── Makefile │ │ ├── QualifiedExportShadow.bsv │ │ ├── ReExportItems_P.bsv │ │ ├── ReExportItems_Q.bsv │ │ ├── ReExportItems_TopNotOK.bsv │ │ ├── ReExportItems_TopOK.bsv │ │ ├── ReExportPkgBSV_Q.bsv │ │ ├── ReExportPkgBSV_Top.bsv │ │ ├── ReExportPkg_P.bs │ │ ├── ReExportPkg_Q.bs │ │ ├── ReExportPkg_Top.bs │ │ ├── ReExportPkg_TopAbstract.bs │ │ ├── ReExportSame_P.bsv │ │ ├── ReExportSame_Q.bsv │ │ ├── ReExportSame_Sub.bsv │ │ ├── ReExportSame_Top.bsv │ │ ├── ReExportSame_TopBad.bsv │ │ ├── ReExportSame_TopBad2.bsv │ │ ├── ReExportTestDataConFull.bsv │ │ ├── ReExport_P.bs │ │ ├── ReExport_Q.bs │ │ ├── ReExport_Top.bs │ │ ├── Shadow.bsv │ │ ├── Shadow2.bsv │ │ ├── Shadow3.bsv │ │ ├── TestDataCon.bsv │ │ ├── TestDataCon2.bsv │ │ ├── TestDataConFull.bsv │ │ ├── TestInfixOp.bsv │ │ ├── TestKeywords.bsv │ │ ├── TestReReExport.bsv │ │ ├── UnboundPackage.bsv │ │ ├── gensign.exp │ │ └── sysTestReReExport.out.expected │ ├── imports │ │ ├── .gitignore │ │ ├── CircPkg-lib.bsv │ │ ├── CircPkg-local.bsv │ │ ├── CircPkg.bsv.bsc-out.expected │ │ ├── CircTop-lib.bsv │ │ ├── DupPkg.bsv │ │ ├── DupTop.bsv │ │ ├── DupTop.bsv.bsc-out.expected │ │ ├── Exclude.bs │ │ ├── Makefile │ │ ├── TestExcludeBad.bs │ │ ├── TestExcludeBad.bs.bsc-out.expected │ │ ├── TestExcludeOK.bs │ │ ├── UnreadableTop.bsv │ │ ├── UnreadableTop.bsv.bsc-out.expected │ │ ├── WrongPkg.bsv │ │ ├── WrongTop.bsv │ │ ├── WrongTop.bsv.bsc-out.expected │ │ └── imports.exp │ ├── mult_errors │ │ ├── Makefile │ │ ├── MultErrors1.bsv │ │ ├── PoisonWarning.bsv │ │ ├── PoisonedDef.bs │ │ ├── PoisonedDef.bs.bsc-ccomp-out.expected │ │ ├── WrappedDefErr.bsv │ │ └── mult_errors.exp │ ├── no_filenames │ │ ├── Makefile │ │ ├── Mid1.bsv │ │ ├── Mid2.bsv │ │ ├── Sub1.bsv │ │ ├── Sub2.bsv │ │ ├── Sub3.bsv │ │ ├── Top.bsv │ │ ├── bsim_build.out.expected │ │ ├── bsim_sim.out.expected │ │ ├── no_filenames.exp │ │ ├── vlib │ │ │ ├── Banner.v │ │ │ └── Makefile │ │ ├── vlog_build.out.expected │ │ └── vlog_sim.out.expected │ └── symtab │ │ ├── ConDup.bsv │ │ ├── ConDup_Leaf.bsv │ │ ├── ConDup_Wrapper.bsv │ │ ├── FieldDup.bsv │ │ ├── FieldDup_Leaf.bsv │ │ ├── FieldDup_Wrapper.bsv │ │ ├── Makefile │ │ ├── TypeclassDup.bsv │ │ ├── TypeclassDupSuperAbstract.bsv │ │ ├── TypeclassDupSuperAbstract_Leaf.bsv │ │ ├── TypeclassDupSuperAbstract_Wrapper.bsv │ │ ├── TypeclassDup_Leaf.bsv │ │ ├── TypeclassDup_Wrapper.bsv │ │ └── symtab.exp ├── bsc.evaluator │ ├── .cvsignore │ ├── .gitignore │ ├── Bug166.bsv │ ├── Bug168.bs │ ├── Bug45.bs │ ├── Bug45a.bs │ ├── Bug45aTest.bs │ ├── EBigLit.bs │ ├── EBigLit.bs.bsc-vcomp-out.expected │ ├── EBigLit2.bs │ ├── EBigLit2.bs.bsc-vcomp-out.expected │ ├── EBigLit3.bs │ ├── EBigLit3.bs.bsc-vcomp-out.expected │ ├── FromIntegerTooLarge.bs │ ├── FullMult.bs │ ├── GCD.bs │ ├── GCD.bs.bsc-vcomp-out.expected │ ├── ITransformConcatIf.bsv │ ├── ITransformConstantAcrossEquals.bs │ ├── IfInNosplitIfBenign.bs │ ├── IfInNosplitIfEvil.bs │ ├── IfLifting.bs │ ├── IfLiftingPredOpt.bs │ ├── ImplicitConditionAssertionFailTwoRules.bsv │ ├── ImplicitConditionAssertionRuleNest.bsv │ ├── ImplicitConditionAssertionsFail.bs │ ├── ImplicitConditionAssertionsFail.bs.bsc-vcomp-out.expected │ ├── ImplicitConditionAssertionsOK.bs │ ├── Makefile │ ├── NormalMult.bs │ ├── ShiftMult.bs │ ├── StaticIndex.bsv │ ├── StaticInteger.bsv │ ├── StructComponentDef.bs │ ├── StructComponentSuperTop.bs │ ├── StructComponentTop.bs │ ├── StructComponentUse.bs │ ├── aggressive-conditions │ │ ├── AggConds.bsv │ │ ├── AggCondsRules.bsv │ │ ├── AggCondsRulesOff.bsv │ │ ├── CanLift_DefExp.bsv │ │ ├── CanLift_DynSel_2D_AVValue.bsv │ │ ├── CanLift_DynSel_AVValue.bsv │ │ ├── CanLift_DynSel_Idx_AVValue.bsv │ │ ├── CantLift_AVValue_Arg.bsv │ │ ├── CantLift_AVValue_NoArg.bsv │ │ ├── ExpandedBug.bsv │ │ ├── Makefile │ │ ├── MethodCondVecSel.bsv │ │ ├── aggressive-conditions.exp │ │ ├── sysCanLift_DefExp.sched.expected │ │ ├── sysCanLift_DynSel_2D_AVValue.sched.expected │ │ ├── sysCanLift_DynSel_AVValue.sched.expected │ │ └── sysCanLift_DynSel_Idx_AVValue.sched.expected │ ├── arguments │ │ ├── LetPortArg.bs │ │ ├── LetPortArg.bsv │ │ ├── Makefile │ │ ├── PortArg_ImplCond.bsv │ │ └── arguments.exp │ ├── cache │ │ ├── Makefile │ │ ├── TestDefCache.bsv │ │ ├── TestDefCache.bsv.bsc-ccomp-out.expected │ │ └── def_cache.exp │ ├── curry │ │ ├── ArrayCurry.bsv │ │ ├── ErrorCurry.bsv │ │ ├── IBMBug.bsv │ │ ├── IfCurry.bsv │ │ ├── Makefile │ │ ├── MessageCurry.bsv │ │ ├── MessageCurry.bsv.bsc-ccomp-out.expected │ │ ├── SeqBug.bsv │ │ ├── SeqCurry.bsv │ │ ├── WarningCurry.bsv │ │ ├── WarningCurry.bsv.bsc-ccomp-out.expected │ │ ├── WhenCurry.bsv │ │ ├── curry.exp │ │ └── mkWhenCurry.v.expected │ ├── dynamic │ │ ├── DynamicAdd.bsv │ │ ├── DynamicDiv.bsv │ │ ├── DynamicInteger.bsv │ │ ├── DynamicIntegerFail.bsv │ │ ├── DynamicIntegerNested.bsv │ │ ├── DynamicLT.bsv │ │ ├── DynamicNeg.bsv │ │ ├── DynamicNeg2.bsv │ │ ├── Makefile │ │ ├── dynamic.exp │ │ ├── errors │ │ │ ├── ERulesMux1.bsv │ │ │ ├── ERulesMux1.bsv.bsc-vcomp-out.expected │ │ │ ├── ERulesMux2.bsv │ │ │ ├── ERulesMux2.bsv.bsc-vcomp-out.expected │ │ │ ├── ERulesMux2a.bsv │ │ │ ├── ERulesMux2a.bsv.bsc-vcomp-out.expected │ │ │ ├── ERulesMux2a_ArrSel.bsv │ │ │ ├── ERulesMux2a_ArrSel.bsv.bsc-vcomp-out.expected │ │ │ ├── ERulesMux2a_Case.bsv │ │ │ ├── ERulesMux2a_Case.bsv.bsc-vcomp-out.expected │ │ │ ├── ERulesMux3.bsv │ │ │ ├── ERulesMux3.bsv.bsc-vcomp-out.expected │ │ │ ├── ERulesMux3_ArrSel.bsv │ │ │ ├── ERulesMux3_ArrSel.bsv.bsc-vcomp-out.expected │ │ │ ├── ERulesMux3_Case.bsv │ │ │ ├── ERulesMux3_Case.bsv.bsc-vcomp-out.expected │ │ │ ├── Ifc_Clock_ArrSel.bsv │ │ │ ├── Ifc_Clock_ArrSel.bsv.bsc-vcomp-out.expected │ │ │ ├── Ifc_Clock_Case.bsv │ │ │ ├── Ifc_Clock_Case.bsv.bsc-vcomp-out.expected │ │ │ ├── Ifc_Clock_If.bsv │ │ │ ├── Ifc_Clock_If.bsv.bsc-vcomp-out.expected │ │ │ ├── Ifc_Inout_ArrSel.bsv │ │ │ ├── Ifc_Inout_ArrSel.bsv.bsc-vcomp-out.expected │ │ │ ├── Ifc_Inout_Case.bsv │ │ │ ├── Ifc_Inout_Case.bsv.bsc-vcomp-out.expected │ │ │ ├── Ifc_Inout_If.bsv │ │ │ ├── Ifc_Inout_If.bsv.bsc-vcomp-out.expected │ │ │ ├── Ifc_Reset_ArrSel.bsv │ │ │ ├── Ifc_Reset_ArrSel.bsv.bsc-vcomp-out.expected │ │ │ ├── Ifc_Reset_Case.bsv │ │ │ ├── Ifc_Reset_Case.bsv.bsc-vcomp-out.expected │ │ │ ├── Ifc_Reset_If.bsv │ │ │ ├── Ifc_Reset_If.bsv.bsc-vcomp-out.expected │ │ │ ├── Makefile │ │ │ ├── ModArg_Clock.bsv │ │ │ ├── ModArg_Clock.bsv.bsc-vcomp-out.expected │ │ │ ├── ModArg_Inout.bsv │ │ │ ├── ModArg_Inout.bsv.bsc-vcomp-out.expected │ │ │ ├── ModArg_Param.bsv │ │ │ ├── ModArg_Param.bsv.bsc-vcomp-out.expected │ │ │ ├── ModArg_Reset.bsv │ │ │ ├── ModArg_Reset.bsv.bsc-vcomp-out.expected │ │ │ └── dynamic_errors.exp │ │ ├── strings │ │ │ ├── DynamicFormatString.bsv │ │ │ ├── Makefile │ │ │ ├── StringConcat.bsv │ │ │ ├── StringEQ.bsv │ │ │ ├── StringInteger.bsv │ │ │ ├── StringIntegerWithNull.bsv │ │ │ ├── StringMux.bsv │ │ │ ├── dynamic_strings.exp │ │ │ ├── sysDynamicFormatString.out.expected │ │ │ ├── sysStringConcat.out.expected │ │ │ ├── sysStringEQ.out.expected │ │ │ ├── sysStringInteger.out.expected │ │ │ ├── sysStringIntegerWithNull.out.expected │ │ │ └── sysStringMux.out.expected │ │ ├── sysDynamicAdd.out.expected │ │ ├── sysDynamicDiv.out.expected │ │ ├── sysDynamicInteger.out.expected │ │ ├── sysDynamicIntegerNested.out.expected │ │ ├── sysDynamicLT.out.expected │ │ ├── sysDynamicNeg.out.expected │ │ └── sysDynamicNeg2.out.expected │ ├── errors │ │ ├── DivByZero.bsv │ │ ├── DivByZero.bsv.bsc-vcomp-out.expected │ │ ├── ImplicitErrors.bsv │ │ ├── LogNegative.bsv │ │ ├── LogNegative.bsv.bsc-vcomp-out.expected │ │ ├── LogZero.bsv │ │ ├── LogZero.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── ModByZero.bsv │ │ ├── ModByZero.bsv.bsc-vcomp-out.expected │ │ ├── NegativeExp.bsv │ │ ├── NegativeExp.bsv.bsc-vcomp-out.expected │ │ ├── PrimExtract_ResultTooLarge.bsv │ │ ├── PrimExtract_ResultTooLarge.bsv.bsc-vcomp-out.expected │ │ ├── PrimExtract_ResultTooLarge_Literal.bsv │ │ ├── RuleAttributeErrors.bsv │ │ ├── RuleHier_ClockCrossRuleErr.bsv │ │ ├── RuleHier_ClockCrossRuleErr.bsv.bsc-vcomp-out.expected │ │ ├── RuleHier_ClockDomainErr.bsv │ │ ├── RuleHier_ClockDomainErr.bsv.bsc-vcomp-out.expected │ │ ├── RuleHier_NoImplCondErr.bsv │ │ ├── RuleHier_NoImplCondErr.bsv.bsc-vcomp-out.expected │ │ ├── StepsIntervalError.bsv │ │ └── errors.exp │ ├── evaluator.exp │ ├── fileIO │ │ ├── BasicRead.bsv │ │ ├── BasicWrite.bsv │ │ ├── Buffering.bsv │ │ ├── CloseTwice.bsv │ │ ├── EnvNames.bsv │ │ ├── FilePaths.bsv │ │ ├── FlushAfterClose.bsv │ │ ├── FlushAfterClose.bsv.bsc-vcomp-out.expected │ │ ├── GetBufferingAfterClose.bsv │ │ ├── GetBufferingAfterClose.bsv.bsc-vcomp-out.expected │ │ ├── GetCharAfterClose.bsv │ │ ├── GetCharAfterClose.bsv.bsc-vcomp-out.expected │ │ ├── GetLineAfterClose.bsv │ │ ├── GetLineAfterClose.bsv.bsc-vcomp-out.expected │ │ ├── IsEOFAfterClose.bsv │ │ ├── IsEOFAfterClose.bsv.bsc-vcomp-out.expected │ │ ├── IsReadableAfterClose.bsv │ │ ├── IsReadableAfterClose.bsv.bsc-vcomp-out.expected │ │ ├── IsWritableAfterClose.bsv │ │ ├── IsWritableAfterClose.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── OpenNonExistentFile.bsv │ │ ├── PutAfterClose.bsv │ │ ├── PutAfterClose.bsv.bsc-vcomp-out.expected │ │ ├── Queries.bsv │ │ ├── SetBufferingAfterClose.bsv │ │ ├── SetBufferingAfterClose.bsv.bsc-vcomp-out.expected │ │ ├── fileIO.exp │ │ ├── sysBasicRead.txt │ │ └── sysEnvNames.log.expected │ ├── intsize │ │ ├── Makefile │ │ ├── intbad1.bsv │ │ ├── intbad2.bsv │ │ ├── intok.bsv │ │ └── intsize.exp │ ├── literal │ │ ├── ConcatTestFail.bsv │ │ ├── ConcatTestOK.bsv │ │ ├── Invalid_Bit_Bin.bsv │ │ ├── Invalid_Bit_Hex.bsv │ │ ├── Invalid_Int_Oct.bsv │ │ ├── Invalid_UInt_Dec.bsv │ │ ├── Makefile │ │ ├── NegativeIntErr.bsv │ │ ├── NegativeIntOK.bsv │ │ ├── NegativeUInt.bsv │ │ ├── PositiveIntErr.bsv │ │ ├── PositiveIntOK.bsv │ │ ├── literal.exp │ │ ├── sysNegativeIntOK.out.expected │ │ └── sysPositiveIntOK.out.expected │ ├── messages │ │ ├── BasicMessage.bsv │ │ ├── LoopMessage.bsv │ │ ├── Makefile │ │ └── message.exp │ ├── mkMemUnit.out.expected │ ├── mkTest.atsexpand.expected │ ├── mkTest.atsexpand.nolift.expandif.expected │ ├── opt │ │ ├── BigRangeUpdate.bsv │ │ ├── BigReplaceBitOpt.bsv │ │ ├── BigXor.bsv │ │ ├── BigXor2.bsv │ │ ├── CompareSameExpr.bsv │ │ ├── ConcatOpt.bsv │ │ ├── ConcatOpt2.bsv │ │ ├── ConcatOpt3.bsv │ │ ├── EnumCompareWithConstant.bsv │ │ ├── ImproveIf_ConUndet_OneCon.bsv │ │ ├── LTEMinusOne.bsv │ │ ├── Makefile │ │ ├── NegInvOpts.bsv │ │ ├── ReplaceBitOpt.bsv │ │ ├── SLTZero.bsv │ │ ├── ShiftBit1.bsv │ │ ├── mkBigRangeUpdate.v.expected │ │ ├── mkBigReplaceBitOpt.v.expected │ │ ├── mkBigXor.v.expected │ │ ├── mkBigXor2.v.expected │ │ ├── mkLTEMinusOne.v.expected │ │ ├── mkReplaceBitOpt.v.expected │ │ ├── mkSLTZero.v.expected │ │ ├── mkShiftBit1.v.expected │ │ ├── opt.exp │ │ ├── sysConcatOpt.out.expected │ │ ├── sysConcatOpt2.out.expected │ │ ├── sysConcatOpt3-to0.out.expected │ │ ├── sysConcatOpt3-toA.out.expected │ │ └── sysNegInvOpts.out.expected │ ├── performance │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── TestPIf.bsv │ │ ├── broken.bsv │ │ └── performance.exp │ ├── prims │ │ ├── Makefile │ │ ├── build_module │ │ │ ├── FShowFIFO.bsv │ │ │ ├── Makefile │ │ │ ├── RoseTest.bsv │ │ │ ├── build_module.exp │ │ │ ├── sysFShowFIFO.out.expected │ │ │ └── sysRoseTest.out.expected │ │ ├── impcondof │ │ │ ├── AVCond.bsv │ │ │ ├── ActionForeignFuncArgs.bsv │ │ │ ├── ActionForeignTaskArgs.bsv │ │ │ ├── ActionMethodAction.bsv │ │ │ ├── ActionMethodActionArgs.bsv │ │ │ ├── ActionMethodActionValue.bsv │ │ │ ├── ActionMethodValue.bsv │ │ │ ├── AndMethodValue.bsv │ │ │ ├── AndStaticArraySelectMethodValue.bsv │ │ │ ├── ApplyMethodAction.bsv │ │ │ ├── ArrayCond.bsv │ │ │ ├── DynArraySelect2DMethodAction.bsv │ │ │ ├── DynArraySelectMethodAction.bsv │ │ │ ├── ImpCondOf.bsv │ │ │ ├── ImpCondOf2.bsv │ │ │ ├── ListCond.bsv │ │ │ ├── Makefile │ │ │ ├── MaybeCond.bsv │ │ │ ├── MethodAction.bsv │ │ │ ├── MethodActionValue.bsv │ │ │ ├── MethodValue.bsv │ │ │ ├── NoInlineCond.bsv │ │ │ ├── PolyFieldCond.bsv │ │ │ ├── PolyFunctionFieldCond.bsv │ │ │ ├── SimpleCond.bsv │ │ │ ├── TupleCond.bsv │ │ │ ├── WireCond.bsv │ │ │ ├── impcondof.exp │ │ │ ├── sysAVCond.out.expected │ │ │ ├── sysArrayCond.out.expected │ │ │ ├── sysImpCondOf.out.expected │ │ │ ├── sysImpCondOf.v.out.expected │ │ │ ├── sysImpCondOf2.out.expected │ │ │ ├── sysListCond.out.expected │ │ │ ├── sysMaybeCond.out.expected │ │ │ ├── sysNoInlineCond.out.expected │ │ │ ├── sysPolyFieldCond.out.expected │ │ │ ├── sysPolyFunctionFieldCond.out.expected │ │ │ ├── sysSimpleCond.out.expected │ │ │ ├── sysTupleCond.out.expected │ │ │ └── sysWireCond.out.expected │ │ ├── isancestor │ │ │ ├── IsAncestor.bsv │ │ │ ├── Makefile │ │ │ ├── isancestor.exp │ │ │ └── sysIsAncestor.out.expected │ │ ├── module_fix │ │ │ ├── IncDecFix.bsv │ │ │ ├── IncDecFixContext.bsv │ │ │ ├── IncDecFixMC.bsv │ │ │ ├── Makefile │ │ │ ├── ModLoop.bsv │ │ │ ├── module_fix.exp │ │ │ ├── sysIncDecFix.out.expected │ │ │ ├── sysIncDecFixContext.out.expected │ │ │ └── sysIncDecFixMC.out.expected │ │ ├── name │ │ │ ├── MakeName.bsv │ │ │ ├── MakeNameBadName.bsv │ │ │ ├── MakeNameDynamicString.bsv │ │ │ ├── Makefile │ │ │ ├── ReaderModule.bs │ │ │ ├── ReaderModuleTest.bs │ │ │ └── name.exp │ │ ├── static_eval │ │ │ ├── Makefile │ │ │ ├── SignedCompare_Int0.bsv │ │ │ └── static_eval.exp │ │ ├── type_of │ │ │ ├── IsIfcType.bsv │ │ │ ├── Makefile │ │ │ ├── PrintType.bsv │ │ │ ├── PrintType.bsv.bsc-ccomp-out.expected │ │ │ ├── PrintType2.bsv │ │ │ ├── PrintType2.bsv.bsc-ccomp-out.expected │ │ │ ├── PrintType3.bsv │ │ │ ├── PrintType3.bsv.bsc-ccomp-out.expected │ │ │ ├── PrintType4.bsv │ │ │ ├── PrintType4.bsv.bsc-ccomp-out.expected │ │ │ ├── PrintType5.bsv │ │ │ ├── PrintType5.bsv.bsc-ccomp-out.expected │ │ │ ├── PrintType6.bsv │ │ │ ├── PrintType6.bsv.bsc-ccomp-out.expected │ │ │ ├── PrintTypeClassic.bs │ │ │ ├── PrintTypeClassic.bs.bsc-ccomp-out.expected │ │ │ ├── sysIsIfcType.out.expected │ │ │ └── type_of.exp │ │ ├── valueof │ │ │ ├── Makefile │ │ │ ├── Position1.bsv │ │ │ ├── Position2.bsv │ │ │ ├── Position3Sub.bsv │ │ │ ├── Position3Top.bsv │ │ │ └── valueof.exp │ │ └── when │ │ │ ├── Makefile │ │ │ ├── When.bsv │ │ │ ├── WhenActionValue.bsv │ │ │ ├── WhenMethodArg.bsv │ │ │ ├── sysWhen.out.expected │ │ │ └── when.exp │ ├── reginit │ │ ├── Init1024Bit.bs │ │ ├── Init128Bit.bs │ │ ├── Init16384Bit.bs │ │ ├── Init2048Bit.bs │ │ ├── Init64Bit.bs │ │ ├── Init65536Bit.bs │ │ ├── InitExp.bs │ │ ├── InitUndet.bs │ │ ├── Makefile │ │ ├── RegInitReg.bs │ │ ├── reginit.exp │ │ ├── sysInit1024Bit.out.expected │ │ ├── sysInit128Bit.out.expected │ │ ├── sysInit16384Bit.out.expected │ │ ├── sysInit2048Bit.out.expected │ │ ├── sysInit64Bit.out.expected │ │ ├── sysInit65536Bit.out.expected │ │ ├── sysInitExp.out.expected │ │ └── sysInitUndet.out.expected │ ├── show-progress │ │ ├── BuildModule.bsv │ │ ├── BuildModule.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── StaticAssert.bsv │ │ ├── StaticAssert.bsv.bsc-vcomp-out.expected │ │ ├── Test1-hide.bsv.bsc-vcomp-out.expected │ │ ├── Test1-show.bsv.bsc-vcomp-out.expected │ │ ├── Test1.bsv │ │ └── show-progress.exp │ ├── sysBug45aTest.out.expected │ ├── sysFullMult.out.expected │ ├── sysITransformConcatIf.v.expected │ ├── sysITransformConstantAcrossEquals.atsexpand.expected │ ├── sysNormalMult.out.expected │ ├── sysShiftMult.ats.expected │ ├── sysStaticIndex.out.expected │ ├── sysStaticInteger.out.expected │ ├── undefined │ │ ├── AbstractDeriveAttrib.bs │ │ ├── AbstractDeriveAttrib.bs.bsc-ccomp-out.expected │ │ ├── AbstractDerivePosition.bsv │ │ ├── AbstractDerivePosition.bsv.bsc-ccomp-out.expected │ │ ├── AbstractDeriveType.bsv │ │ ├── AbstractDeriveType.bsv.bsc-ccomp-out.expected │ │ ├── ArrayReg3D.bsv │ │ ├── DataAction2.bs │ │ ├── FIFOSelect.bsv │ │ ├── HiddenType.bo.dumpbi-out.expected │ │ ├── HiddenType.bsv │ │ ├── ListN3D.bsv │ │ ├── ListNReg3D.bsv │ │ ├── ListReg3D.bsv │ │ ├── Makefile │ │ ├── NewtypeAction.bsv │ │ ├── PackageTest.bsv │ │ ├── PrimUndefined.bsv │ │ ├── RegSelect.bsv │ │ ├── RegSelect2.bsv │ │ ├── TaggedUnionDynamicAction.bsv │ │ ├── UndefinedInteger.bsv │ │ ├── UndefinedString.bsv │ │ ├── UndefinedStringErr.bsv │ │ ├── UndefinedStringLength.bsv │ │ ├── UndetModule.bsv │ │ ├── UndetRules.bsv │ │ ├── UndetRules2.bsv │ │ ├── Vector3D.bsv │ │ ├── VectorReg3D.bsv │ │ ├── sysArrayReg3D.out.expected │ │ ├── sysArrayReg3D.v.expected │ │ ├── sysDataAction2.out.expected │ │ ├── sysFIFOSelect.out.expected │ │ ├── sysListN3D.out.expected │ │ ├── sysListNReg3D.out.expected │ │ ├── sysListNReg3D.v.expected │ │ ├── sysListReg3D.out.expected │ │ ├── sysListReg3D.v.expected │ │ ├── sysNewtypeAction.out.expected │ │ ├── sysPackageTest.out.expected │ │ ├── sysPrimUndefined.out.expected │ │ ├── sysRegSelect.out.expected │ │ ├── sysRegSelect2.out.expected │ │ ├── sysRegSelect2.v.expected │ │ ├── sysTaggedUnionDynamicAction.out.expected │ │ ├── sysUndefinedString.out.expected │ │ ├── sysVector3D.out.expected │ │ ├── sysVectorReg3D.out.expected │ │ ├── sysVectorReg3D.v.expected │ │ └── undefined.exp │ └── uninit │ │ ├── BitUninitLoop.bsv │ │ ├── BitUninitLoop.bsv.bsc-ccomp-out.expected │ │ ├── CaseInitOfIfc.bsv │ │ ├── EvaluatorUninit1.bsv │ │ ├── EvaluatorUninit2.bsv │ │ ├── IfUninit.bsv │ │ ├── IfUninit2.bsv │ │ ├── IntUninit.bsv │ │ ├── IntUninit.bsv.bsc-ccomp-out.expected │ │ ├── Makefile │ │ ├── MultiUninitErr1.bsv │ │ ├── MultiUninitErr1.bsv.bsc-ccomp-out.expected │ │ ├── MultiUninitErr2.bsv │ │ ├── MultiUninitErr2.bsv.bsc-ccomp-out.expected │ │ ├── ParserUninit.bsv │ │ ├── SingleFieldStructUninitErr.bsv │ │ ├── SingleFieldStructUninitErr.bsv.bsc-ccomp-out.expected │ │ ├── StructListNUninitErr.bsv │ │ ├── StructListNUninitErr.bsv.bsc-ccomp-out.expected │ │ ├── StructUninitErr1.bsv │ │ ├── StructUninitErr1.bsv.bsc-ccomp-out.expected │ │ ├── StructUninitErr2.bsv │ │ ├── StructUninitErr2.bsv.bsc-ccomp-out.expected │ │ ├── StructUninitErr3.bsv │ │ ├── StructUninitErr3.bsv.bsc-ccomp-out.expected │ │ ├── StructUninitErr4.bsv │ │ ├── StructUninitErr4.bsv.bsc-ccomp-out.expected │ │ ├── StructUninitErr5.bsv │ │ ├── StructUninitErr5.bsv.bsc-ccomp-out.expected │ │ ├── StructUninitOK1.bsv │ │ ├── StructUninitOK2.bsv │ │ ├── UninitBit0Err1.bsv │ │ ├── UninitBit1Err1.bsv │ │ ├── UninitBit1Err1.bsv.bsc-ccomp-out.expected │ │ ├── UninitBit1OK1.bsv │ │ ├── UninitBit1OK1.bsv.bsc-ccomp-out.expected │ │ ├── UninitBit1OK2.bsv │ │ ├── UninitBitErr1.bsv │ │ ├── UninitBitErr1.bsv.bsc-ccomp-out.expected │ │ ├── UninitBitErr2.bsv │ │ ├── UninitBitErr2.bsv.bsc-ccomp-out.expected │ │ ├── UninitBitErr3.bsv │ │ ├── UninitBitErr3.bsv.bsc-ccomp-out.expected │ │ ├── UninitBitErr4.bsv │ │ ├── UninitBitErr4.bsv.bsc-ccomp-out.expected │ │ ├── UninitBitErr5.bsv │ │ ├── UninitBitErr5.bsv.bsc-ccomp-out.expected │ │ ├── UninitBitErr6.bsv │ │ ├── UninitBitErr6.bsv.bsc-ccomp-out.expected │ │ ├── UninitBitErr7.bsv │ │ ├── UninitBitErr7.bsv.bsc-ccomp-out.expected │ │ ├── UninitBitOK1.bsv │ │ ├── UninitBitOK2.bsv │ │ ├── UninitBitOK2.bsv.bsc-vcomp-out.expected │ │ ├── UninitBitOK3.bsv │ │ ├── UninitBitOK3.bsv.bsc-vcomp-out.expected │ │ ├── UninitBitRangeUpdErr.bsv │ │ ├── UninitBitRangeUpdOK.bsv │ │ ├── UninitLoop.bsv │ │ ├── UninitLoopErr.bsv │ │ ├── UninitLoopErr2.bsv │ │ ├── UninitStructBitErr.bsv │ │ ├── UninitStructBitErr.bsv.bsc-ccomp-out.expected │ │ ├── UninitStructBitOK.bsv │ │ ├── VectorBitErr.bsv │ │ ├── VectorBitErr.bsv.bsc-ccomp-out.expected │ │ ├── VectorBitOK.bsv │ │ ├── VectorStructUninitErr.bsv │ │ ├── VectorStructUninitErr.bsv.bsc-ccomp-out.expected │ │ ├── VectorUninitErr1.bsv │ │ ├── VectorUninitErr1.bsv.bsc-ccomp-out.expected │ │ ├── VectorUninitErr2.bsv │ │ ├── VectorUninitErr2.bsv.bsc-ccomp-out.expected │ │ ├── VectorUninitErr3.bsv │ │ ├── VectorUninitErr3.bsv.bsc-ccomp-out.expected │ │ ├── VectorUninitErr4.bsv │ │ ├── VectorUninitErr4.bsv.bsc-ccomp-out.expected │ │ ├── VectorUninitErr5.bsv │ │ ├── VectorUninitErr5.bsv.bsc-ccomp-out.expected │ │ ├── VectorUninitErr6.bsv │ │ ├── VectorUninitErr6.bsv.bsc-ccomp-out.expected │ │ ├── VectorUninitErr7.bsv │ │ ├── VectorUninitErr7.bsv.bsc-ccomp-out.expected │ │ ├── VectorUninitOK1.bsv │ │ ├── VectorUninitOK2.bsv │ │ ├── sysIfUninit.out.expected │ │ ├── sysStructUninitOK1.out.expected │ │ ├── sysStructUninitOK2.out.expected │ │ ├── sysUninitBit1OK2.out.expected │ │ ├── sysUninitBitOK1.out.expected │ │ ├── sysUninitBitOK2.out.expected │ │ ├── sysUninitBitRangeUpdOK.out.expected │ │ ├── sysUninitStructBitOK.out.expected │ │ ├── sysVectorBitOK.out.expected │ │ ├── sysVectorUninitOK1.out.expected │ │ ├── sysVectorUninitOK2.out.expected │ │ └── uninit.exp ├── bsc.if │ ├── ActionValueModule.bs │ ├── BasicIf.bs │ ├── BasicIfME.bs │ ├── IfLifted.bs │ ├── IfLifted2.bs │ ├── IfLifted3.bs │ ├── IfLifted4.bs │ ├── IfLiftedTwoArg.bs │ ├── IfLifting.bs │ ├── IfLifting2.bs │ ├── IfLifting3.bs │ ├── IfLifting4.bs │ ├── IfLiftingTwoArg.bs │ ├── IfMultiAction.bs │ ├── IfMultiActionTest.bs │ ├── IfNested.bs │ ├── IfNestedME.bs │ ├── IfNestedTest.bs │ ├── IfNestedTestME.bs │ ├── IfReadBug.bs │ ├── LiftOrder.bsv │ ├── Makefile │ ├── NoNosplitDeep.bs │ ├── OrderDisplayExit.bs │ ├── config │ ├── if.exp │ ├── split-execution │ │ ├── 2x2-switch-split │ │ │ ├── Makefile │ │ │ ├── Switch.bsv │ │ │ ├── TestSwitch.bsv │ │ │ ├── fast.expected │ │ │ ├── switch.exp │ │ │ └── sysTestSwitch.out.expected │ │ ├── 2x2-switch │ │ │ ├── Makefile │ │ │ ├── Switch.bsv │ │ │ ├── TestSwitch.bsv │ │ │ ├── slow.expected │ │ │ ├── switch.exp │ │ │ └── sysTestSwitch.out.expected │ │ ├── Makefile │ │ └── TurboFIFO │ │ │ ├── Makefile │ │ │ ├── attribute │ │ │ ├── Makefile │ │ │ ├── TurboFIFO.bsv │ │ │ ├── TurboFIFOTest.bsv │ │ │ ├── execute.exp │ │ │ └── sysTurboFIFOTest.out.expected │ │ │ └── original │ │ │ ├── Makefile │ │ │ ├── TurboFIFO.bsv │ │ │ ├── TurboFIFOTest.bsv │ │ │ ├── execute.exp │ │ │ └── sysTurboFIFOTest.out.expected │ ├── split │ │ ├── .gitignore │ │ ├── ArrayExactIndex.bsv │ │ ├── ArrayLongIndex.bsv │ │ ├── ArrayShortIndex.bsv │ │ ├── Cond_AV_NoSplit.bsv │ │ ├── Cond_AV_Split.bsv │ │ ├── Cond_MethodArg_NoSplit.bsv │ │ ├── Cond_MethodArg_Split.bsv │ │ ├── Makefile │ │ ├── NoUpdatedVariablesMonadicBind.bsv │ │ ├── NoUpdatedVariablesNosplit.bsv │ │ ├── NoUpdatedVariablesSplit.bsv │ │ ├── Nosplit.bsv │ │ ├── Plain.bsv │ │ ├── Split.bsv │ │ ├── SplitActionValue.bsv │ │ ├── SplitEmpty.bsv │ │ ├── SplitFalse.bsv │ │ ├── SplitNonAction.bsv │ │ ├── SplitReturn.bsv │ │ ├── bad-action.bsv │ │ ├── bad-actionvalue.bsv │ │ ├── bad-decl.bsv │ │ ├── bad-equals.bsv │ │ ├── bad-function.bsv │ │ ├── bad-function2.bsv │ │ ├── bad-function3.bsv │ │ ├── bad-import.bsv │ │ ├── bad-inst.bsv │ │ ├── bad-interface.bsv │ │ ├── bad-method.bsv │ │ ├── bad-module.bsv │ │ ├── bad-monadic-bind.bsv │ │ ├── bad-pateq.bsv │ │ ├── bad-rule.bsv │ │ ├── bad-typdef.bsv │ │ ├── bad-update-bind.bsv │ │ ├── bad-update.bsv │ │ ├── badAttribute.bsv │ │ ├── boundvariable.bsv │ │ ├── boundvariableok.bsv │ │ ├── canonicalize.pl │ │ ├── case.bsv │ │ ├── good-actions.bsv │ │ ├── good-actionvalue.bsv │ │ ├── good-case.bsv │ │ ├── good-for.bsv │ │ ├── good-naked-expr.bsv │ │ ├── good-while.bsv │ │ ├── manyVariations.bs │ │ ├── manyVariations.bs.canon.dump.expected │ │ ├── methodactionsplitin1.bsv │ │ ├── methodactionsplitin2.bsv │ │ ├── methodactionsplitout.bsv │ │ ├── methodactionvalue.bsv │ │ ├── methodactionvalue2.bsv │ │ ├── methodactionvalueout1.bsv │ │ ├── nonaction.bsv │ │ ├── nosplit-split.bsv │ │ ├── ok-action.bsv │ │ ├── plain-assign-hack.bsv │ │ ├── plain-assign.bsv │ │ ├── reg-update.bsv │ │ ├── split-nosplit.bsv │ │ ├── splitIf.exp │ │ ├── splitconflict.bsv │ │ ├── splitdeep.bsv │ │ ├── splitdeepaction.bsv │ │ ├── splitnoconflict.bsv │ │ ├── splitnoconflict1.bsv │ │ └── splitzerobad.bsv │ ├── sysBasicIf.out.expected │ ├── sysIfMultiActionTest.out.expected │ ├── sysIfNestedTest.out.expected │ ├── sysLiftOrder.out.expected │ ├── sysNoNosplitDeep.out.expected │ └── sysOrderDisplayExit.out.expected ├── bsc.interra │ ├── Library_latency │ │ ├── BGetPut │ │ │ ├── BGetPut.exp │ │ │ ├── Makefile │ │ │ ├── MkBClientServer.bsv │ │ │ ├── MkBGetPut.bsv │ │ │ ├── mkTestbench_MkBClientServer.final-state.expected │ │ │ ├── mkTestbench_MkBClientServer.out.expected │ │ │ ├── mkTestbench_MkBGetPut.final-state.expected │ │ │ ├── mkTestbench_MkBGetPut.out.expected │ │ │ └── testbench.v │ │ ├── CGetPut │ │ │ ├── CGetPut.exp │ │ │ ├── Makefile │ │ │ ├── MkCClientServer.bsv │ │ │ ├── MkCGetCPut.bsv │ │ │ ├── MkCGetCPut_extra_buffer.bsv │ │ │ ├── MkCGetPut.bsv │ │ │ ├── mkTestbench_MkCClientServer.out.expected │ │ │ ├── mkTestbench_MkCGetCPut.out.expected │ │ │ ├── mkTestbench_MkCGetPut.out.expected │ │ │ └── mkTestbench_Mk_extrabuffer.out.expected │ │ ├── ClientServer │ │ │ ├── ClientServer.exp │ │ │ ├── JoinServers1.bsv │ │ │ ├── JoinServers_twoserver.bsv │ │ │ ├── Makefile │ │ │ ├── MkRequestBuffer.bsv │ │ │ ├── MkRequestResponseBuffer1.bsv │ │ │ ├── MkRequestResponseBuffer_1.bsv │ │ │ ├── MkResponseBuffer.bsv │ │ │ ├── MkSizedRequestResponseBuffer.bsv │ │ │ ├── SplitServer1.bsv │ │ │ ├── mkTestbench_JoinServers.final-state.expected │ │ │ ├── mkTestbench_JoinServers.out.expected │ │ │ ├── mkTestbench_MkRequestBuffer.final-state.expected │ │ │ ├── mkTestbench_MkRequestBuffer.out.expected │ │ │ ├── mkTestbench_MkRequestResponseBuffer1.final-state.expected │ │ │ ├── mkTestbench_MkRequestResponseBuffer1.out.expected │ │ │ ├── mkTestbench_MkRequestResponseBuffer_1.final-state.expected │ │ │ ├── mkTestbench_MkRequestResponseBuffer_1.out.expected │ │ │ ├── mkTestbench_MkResponseBuffer.final-state.expected │ │ │ ├── mkTestbench_MkResponseBuffer.out.expected │ │ │ ├── mkTestbench_MkSizedRequestResponseBuffer.final-state.expected │ │ │ ├── mkTestbench_MkSizedRequestResponseBuffer.out.expected │ │ │ ├── mkTestbench_SplitServer.final-state.expected │ │ │ ├── mkTestbench_TwoJoinServers.final-state.expected │ │ │ └── mkTestbench_TwoJoinServers.out.expected │ │ ├── GetPut │ │ │ ├── GetPut.exp │ │ │ ├── Makefile │ │ │ ├── MkGPFIFO.bsv │ │ │ ├── MkGPFIFO_alt_rw.bsv │ │ │ ├── MkGPFIFO_non_alt_rw.bsv │ │ │ ├── MkGPSizedFIFO.bsv │ │ │ ├── MkGetPut.bsv │ │ │ ├── MkGetPut_non_alt_rw.bsv │ │ │ ├── mkTestbench_MkGPFIFO.final-state.expected │ │ │ ├── mkTestbench_MkGPFIFO.out.expected │ │ │ ├── mkTestbench_MkGPFIFO_alt_rw.final-state.expected │ │ │ ├── mkTestbench_MkGPFIFO_alt_rw.out.expected │ │ │ ├── mkTestbench_MkGPFIFO_non_alt_rw.final-state.expected │ │ │ ├── mkTestbench_MkGPFIFO_non_alt_rw.out.expected │ │ │ ├── mkTestbench_MkGPSizedFIFO.final-state.expected │ │ │ ├── mkTestbench_MkGPSizedFIFO.out.expected │ │ │ ├── mkTestbench_MkGetPut.final-state.expected │ │ │ └── mkTestbench_MkGetPut.out.expected │ │ ├── Makefile │ │ ├── RAM │ │ │ ├── Any.bsv │ │ │ ├── Makefile │ │ │ ├── RAM.exp │ │ │ ├── TagRam.bsv │ │ │ ├── TestRAM.bsv │ │ │ ├── mkTestbench_Ram.final-state.expected │ │ │ ├── mkTestbench_Ram.out.expected │ │ │ ├── mkTestbench_TagRam.final-state.expected │ │ │ └── mkTestbench_TagRam.out.expected │ │ ├── SRAM │ │ │ ├── Makefile │ │ │ ├── MkWrapSRAM.bsv │ │ │ ├── MkWrapSTRAM.bsv │ │ │ ├── Precedence.bs │ │ │ ├── RRSPSRAM_65536_16_bussed.v │ │ │ ├── SRAM.exp │ │ │ ├── mkTestbench_MkWrapSRAM.final-state.expected │ │ │ ├── mkTestbench_MkWrapSRAM.out.expected │ │ │ ├── mkTestbench_MkWrapSTRAM.final-state.expected │ │ │ └── mkTestbench_MkWrapSTRAM.out.expected │ │ └── SyncRAM │ │ │ ├── Makefile │ │ │ ├── Precedence.bs │ │ │ ├── RRSPSRAM_65536_16_bussed.v │ │ │ ├── SyncRAM.exp │ │ │ ├── TestSPSRAM.bsv │ │ │ ├── mkTestbench_SPSRam.final-state.expected │ │ │ ├── mkTestbench_SPSRam.out.expected │ │ │ └── testbench.v │ ├── MCD_library │ │ ├── AsyncRAM │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Testbench_same_with_phase_diff.bsv │ │ │ ├── Testbench_write_fast_read_slow.bsv │ │ │ ├── Testbench_write_slow_read_fast.bsv │ │ │ ├── asyncRAM.exp │ │ │ ├── mkTestbench_same_with_phase_diff.c.out.expected │ │ │ ├── mkTestbench_same_with_phase_diff.out.expected │ │ │ ├── mkTestbench_write_fast_read_slow.c.out.expected │ │ │ ├── mkTestbench_write_fast_read_slow.out.expected │ │ │ ├── mkTestbench_write_slow_read_fast.c.out.expected │ │ │ └── mkTestbench_write_slow_read_fast.out.expected │ │ ├── BitSync │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Negative_testcase.bsv │ │ │ ├── Testbench_fast_to_slow.bsv │ │ │ ├── Testbench_same_with_phase_diff.bsv │ │ │ ├── Testbench_slow_to_fast.bsv │ │ │ ├── bitsync.exp │ │ │ ├── mkTestbench_fast_to_slow.c.out.expected │ │ │ ├── mkTestbench_fast_to_slow.out.expected │ │ │ ├── mkTestbench_same_with_phase_diff.c.out.expected │ │ │ ├── mkTestbench_same_with_phase_diff.out.expected │ │ │ ├── mkTestbench_slow_to_fast.c.out.expected │ │ │ └── mkTestbench_slow_to_fast.out.expected │ │ ├── BitSync1 │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Negative_testcase.bsv │ │ │ ├── Testbench_fast_to_slow.bsv │ │ │ ├── Testbench_same_with_phase_diff.bsv │ │ │ ├── Testbench_slow_to_fast.bsv │ │ │ ├── bitsync1.exp │ │ │ ├── mkTestbench_fast_to_slow.c.out.expected │ │ │ ├── mkTestbench_fast_to_slow.out.expected │ │ │ ├── mkTestbench_same_with_phase_diff.c.out.expected │ │ │ ├── mkTestbench_same_with_phase_diff.out.expected │ │ │ ├── mkTestbench_slow_to_fast.c.out.expected │ │ │ └── mkTestbench_slow_to_fast.out.expected │ │ ├── FIFOSync │ │ │ ├── Design.bsv │ │ │ ├── Disp.bsv │ │ │ ├── Makefile │ │ │ ├── SyncFIFO.exp │ │ │ ├── Testbench_same_with_phase_diff.bsv │ │ │ ├── Testbench_write_fast_read_slow.bsv │ │ │ ├── Testbench_write_slow_read_fast.bsv │ │ │ ├── mkTestbench_same_with_phase_diff.out.expected │ │ │ ├── mkTestbench_write_fast_read_slow.out.expected │ │ │ └── mkTestbench_write_slow_read_fast.out.expected │ │ ├── Makefile │ │ ├── NullCrossing │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Negative.bsv │ │ │ ├── Nullcross.exp │ │ │ ├── Testbench_fast_to_slow.bsv │ │ │ ├── Testbench_same_with_phase_diff.bsv │ │ │ ├── Testbench_slow_to_fast.bsv │ │ │ ├── mkTestbench_fast_to_slow.out.expected │ │ │ ├── mkTestbench_same_with_phase_diff.out.expected │ │ │ └── mkTestbench_slow_to_fast.out.expected │ │ ├── PulseHandShakeSync │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Negative_testcase.bsv │ │ │ ├── PulseHandShake.exp │ │ │ ├── Testbench_fast_to_slow.bsv │ │ │ ├── Testbench_same_with_phase_diff.bsv │ │ │ ├── Testbench_slow_to_fast.bsv │ │ │ ├── mkTestbench_fast_to_slow.c.out.expected │ │ │ ├── mkTestbench_fast_to_slow.out.expected │ │ │ ├── mkTestbench_same_with_phase_diff.c.out.expected │ │ │ ├── mkTestbench_same_with_phase_diff.out.expected │ │ │ ├── mkTestbench_slow_to_fast.c.out.expected │ │ │ └── mkTestbench_slow_to_fast.out.expected │ │ ├── RegSync │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Negative_testcase.bsv │ │ │ ├── SyncReg.exp │ │ │ ├── Testbench_fast_to_slow.bsv │ │ │ ├── Testbench_same_with_phase_diff.bsv │ │ │ ├── Testbench_slow_to_fast.bsv │ │ │ ├── mkTestbench_fast_to_slow.c.out.expected │ │ │ ├── mkTestbench_fast_to_slow.out.expected │ │ │ ├── mkTestbench_same_with_phase_diff.c.out.expected │ │ │ ├── mkTestbench_same_with_phase_diff.out.expected │ │ │ ├── mkTestbench_slow_to_fast.c.out.expected │ │ │ └── mkTestbench_slow_to_fast.out.expected │ │ ├── SpecialSyncFIFO │ │ │ ├── Makefile │ │ │ ├── SpecialSyncFIFO.exp │ │ │ ├── SyncFIFOSlow.bsv │ │ │ ├── Testbench_write_slow_read_fast.bsv │ │ │ └── mkTestbench_write_slow_read_fast.out.expected │ │ └── SpecialSyncReg │ │ │ ├── Makefile │ │ │ ├── Negative.bsv │ │ │ ├── SpecialSyncReg.exp │ │ │ ├── SyncRegToFast.bsv │ │ │ ├── SyncRegToSlow.bsv │ │ │ ├── Testbench_fast_to_slow.bsv │ │ │ ├── Testbench_slow_to_fast.bsv │ │ │ ├── mkTestbench_fast_to_slow.out.expected │ │ │ └── mkTestbench_slow_to_fast.out.expected │ ├── Makefile │ ├── OVL │ │ ├── .copy │ │ ├── Makefile │ │ ├── assertAlways1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertAlways1.bsv │ │ │ ├── assertAlways1.exp │ │ │ └── assertAlways1.out.expected │ │ ├── assertAlways2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertAlways2.bsv │ │ │ ├── assertAlways2.exp │ │ │ └── assertAlways2.out.expected │ │ ├── assertAlwaysOnEdge1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertAlwaysOnEdge1.bsv │ │ │ ├── assertAlwaysOnEdge1.exp │ │ │ └── assertAlwaysOnEdge1.out.expected │ │ ├── assertAlwaysOnEdge2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertAlwaysOnEdge2.bsv │ │ │ ├── assertAlwaysOnEdge2.exp │ │ │ └── assertAlwaysOnEdge2.out.expected │ │ ├── assertAlwaysOnEdge3 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertAlwaysOnEdge3.bsv │ │ │ ├── assertAlwaysOnEdge3.exp │ │ │ └── assertAlwaysOnEdge3.out.expected │ │ ├── assertAlwaysOnEdge4 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertAlwaysOnEdge4.bsv │ │ │ ├── assertAlwaysOnEdge4.exp │ │ │ └── assertAlwaysOnEdge4.out.expected │ │ ├── assertAlwaysOnEdge5 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertAlwaysOnEdge5.bsv │ │ │ ├── assertAlwaysOnEdge5.exp │ │ │ └── assertAlwaysOnEdge5.out.expected │ │ ├── assertAlwaysOnEdge6 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertAlwaysOnEdge6.bsv │ │ │ ├── assertAlwaysOnEdge6.exp │ │ │ └── assertAlwaysOnEdge6.out.expected │ │ ├── assertChange1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertChange1.bsv │ │ │ ├── assertChange1.exp │ │ │ └── assertChange1.out.expected │ │ ├── assertChange2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertChange2.bsv │ │ │ ├── assertChange2.exp │ │ │ └── assertChange2.out.expected │ │ ├── assertCycleSequence1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertCycleSequence1.bsv │ │ │ ├── assertCycleSequence1.exp │ │ │ └── assertCycleSequence1.out.expected │ │ ├── assertCycleSequence2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertCycleSequence2.bsv │ │ │ ├── assertCycleSequence2.exp │ │ │ └── assertCycleSequence2.out.expected │ │ ├── assertDecrement1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertDecrement1.bsv │ │ │ ├── assertDecrement1.exp │ │ │ └── assertDecrement1.out.expected │ │ ├── assertDecrement2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertDecrement2.bsv │ │ │ ├── assertDecrement2.exp │ │ │ └── assertDecrement2.out.expected │ │ ├── assertDelta1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertDelta1.bsv │ │ │ ├── assertDelta1.exp │ │ │ └── assertDelta1.out.expected │ │ ├── assertDelta2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertDelta2.bsv │ │ │ ├── assertDelta2.exp │ │ │ └── assertDelta2.out.expected │ │ ├── assertEvenParity1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertEvenParity1.bsv │ │ │ ├── assertEvenParity1.exp │ │ │ └── assertEvenParity1.out.expected │ │ ├── assertEvenParity2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertEvenParity2.bsv │ │ │ ├── assertEvenParity2.exp │ │ │ └── assertEvenParity2.out.expected │ │ ├── assertFifoIndex1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertFifoIndex1.bsv │ │ │ ├── assertFifoIndex1.exp │ │ │ └── assertFifoIndex1.out.expected │ │ ├── assertFifoIndex2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertFifoIndex2.bsv │ │ │ ├── assertFifoIndex2.exp │ │ │ └── assertFifoIndex2.out.expected │ │ ├── assertFifoIndex3 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertFifoIndex3.bsv │ │ │ ├── assertFifoIndex3.exp │ │ │ └── assertFifoIndex3.out.expected │ │ ├── assertFifoIndex4 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertFifoIndex4.bsv │ │ │ ├── assertFifoIndex4.exp │ │ │ └── assertFifoIndex4.out.expected │ │ ├── assertFrame1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertFrame1.bsv │ │ │ ├── assertFrame1.exp │ │ │ └── assertFrame1.out.expected │ │ ├── assertFrame2 │ │ │ ├── .gitignore │ │ │ ├── .v │ │ │ ├── Makefile │ │ │ ├── assertFrame2.bsv │ │ │ ├── assertFrame2.exp │ │ │ └── assertFrame2.out.expected │ │ ├── assertFrame3 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertFrame3.bsv │ │ │ ├── assertFrame3.exp │ │ │ └── assertFrame3.out.expected │ │ ├── assertHandshake1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertHandshake1.bsv │ │ │ ├── assertHandshake1.exp │ │ │ └── assertHandshake1.out.expected │ │ ├── assertHandshake2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertHandshake2.bsv │ │ │ ├── assertHandshake2.exp │ │ │ └── assertHandshake2.out.expected │ │ ├── assertImplication1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertImplication1.bsv │ │ │ ├── assertImplication1.exp │ │ │ └── assertImplication1.out.expected │ │ ├── assertImplication2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertImplication2.bsv │ │ │ ├── assertImplication2.exp │ │ │ └── assertImplication2.out.expected │ │ ├── assertIncrement1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertIncrement1.bsv │ │ │ ├── assertIncrement1.exp │ │ │ └── assertIncrement1.out.expected │ │ ├── assertIncrement2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertIncrement2.bsv │ │ │ ├── assertIncrement2.exp │ │ │ └── assertIncrement2.out.expected │ │ ├── assertNever1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNever1.bsv │ │ │ ├── assertNever1.exp │ │ │ └── assertNever1.out.expected │ │ ├── assertNever2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNever2.bsv │ │ │ ├── assertNever2.exp │ │ │ └── assertNever2.out.expected │ │ ├── assertNeverUnknown1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNeverUnknown1.bsv │ │ │ ├── assertNeverUnknown1.exp │ │ │ └── assertNeverUnknown1.out.expected │ │ ├── assertNeverUnknown2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNeverUnknown2.bsv │ │ │ ├── assertNeverUnknown2.exp │ │ │ └── assertNeverUnknown2.out.expected │ │ ├── assertNeverUnknownAsync1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNeverUnknownAsync1.bsv │ │ │ ├── assertNeverUnknownAsync1.exp │ │ │ └── assertNeverUnknownAsync1.out.expected │ │ ├── assertNeverUnknownAsync2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNeverUnknownAsync2.bsv │ │ │ ├── assertNeverUnknownAsync2.exp │ │ │ └── assertNeverUnknownAsync2.out.expected │ │ ├── assertNext1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNext1.bsv │ │ │ ├── assertNext1.exp │ │ │ └── assertNext1.out.expected │ │ ├── assertNext2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNext2.bsv │ │ │ ├── assertNext2.exp │ │ │ └── assertNext2.out.expected │ │ ├── assertNoOverflow1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNoOverflow1.bsv │ │ │ ├── assertNoOverflow1.exp │ │ │ └── assertNoOverflow1.out.expected │ │ ├── assertNoOverflow2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNoOverflow2.bsv │ │ │ ├── assertNoOverflow2.exp │ │ │ └── assertNoOverflow2.out.expected │ │ ├── assertNoTransition1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNoTransition1.bsv │ │ │ ├── assertNoTransition1.exp │ │ │ └── assertNoTransition1.out.expected │ │ ├── assertNoTransition2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNoTransition2.bsv │ │ │ ├── assertNoTransition2.exp │ │ │ └── assertNoTransition2.out.expected │ │ ├── assertNoUnderflow1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNoUnderflow1.bsv │ │ │ ├── assertNoUnderflow1.exp │ │ │ └── assertNoUnderflow1.out.expected │ │ ├── assertNoUnderflow2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertNoUnderflow2.bsv │ │ │ ├── assertNoUnderflow2.exp │ │ │ └── assertNoUnderflow2.out.expected │ │ ├── assertOddParity1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertOddParity1.bsv │ │ │ ├── assertOddParity1.exp │ │ │ └── assertOddParity1.out.expected │ │ ├── assertOddParity2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertOddParity2.bsv │ │ │ ├── assertOddParity2.exp │ │ │ └── assertOddParity2.out.expected │ │ ├── assertOneCold1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertOneCold1.bsv │ │ │ ├── assertOneCold1.exp │ │ │ └── assertOneCold1.out.expected │ │ ├── assertOneCold2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertOneCold2.bsv │ │ │ ├── assertOneCold2.exp │ │ │ └── assertOneCold2.out.expected │ │ ├── assertOneHot1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertOneHot1.bsv │ │ │ ├── assertOneHot1.exp │ │ │ └── assertOneHot1.out.expected │ │ ├── assertOneHot2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertOneHot2.bsv │ │ │ ├── assertOneHot2.exp │ │ │ └── assertOneHot2.out.expected │ │ ├── assertProposition1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertProposition1.bsv │ │ │ ├── assertProposition1.exp │ │ │ └── assertProposition1.out.expected │ │ ├── assertProposition2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertProposition2.bsv │ │ │ ├── assertProposition2.exp │ │ │ └── assertProposition2.out.expected │ │ ├── assertQuiescent1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertQuiescent1.bsv │ │ │ ├── assertQuiescent1.exp │ │ │ └── assertQuiescent1.out.expected │ │ ├── assertQuiescent2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertQuiescent2.bsv │ │ │ ├── assertQuiescent2.exp │ │ │ └── assertQuiescent2.out.expected │ │ ├── assertRange1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertRange1.bsv │ │ │ ├── assertRange1.exp │ │ │ └── assertRange1.out.expected │ │ ├── assertRange2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertRange2.bsv │ │ │ ├── assertRange2.exp │ │ │ └── assertRange2.out.expected │ │ ├── assertRange3 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertRange3.bsv │ │ │ ├── assertRange3.exp │ │ │ └── assertRange3.out.expected │ │ ├── assertTime1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertTime1.bsv │ │ │ ├── assertTime1.exp │ │ │ └── assertTime1.out.expected │ │ ├── assertTime2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertTime2.bsv │ │ │ ├── assertTime2.exp │ │ │ └── assertTime2.out.expected │ │ ├── assertTransition1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertTransition1.bsv │ │ │ ├── assertTransition1.exp │ │ │ └── assertTransition1.out.expected │ │ ├── assertTransition2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertTransition2.bsv │ │ │ ├── assertTransition2.exp │ │ │ └── assertTransition2.out.expected │ │ ├── assertUnchange1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertUnchange1.bsv │ │ │ ├── assertUnchange1.exp │ │ │ └── assertUnchange1.out.expected │ │ ├── assertUnchange2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertUnchange2.bsv │ │ │ ├── assertUnchange2.exp │ │ │ └── assertUnchange2.out.expected │ │ ├── assertWidth1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertWidth1.bsv │ │ │ ├── assertWidth1.exp │ │ │ └── assertWidth1.out.expected │ │ ├── assertWidth2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertWidth2.bsv │ │ │ ├── assertWidth2.exp │ │ │ └── assertWidth2.out.expected │ │ ├── assertWidth3 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertWidth3.bsv │ │ │ ├── assertWidth3.exp │ │ │ └── assertWidth3.out.expected │ │ ├── assertWinChange1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertWinChange1.bsv │ │ │ ├── assertWinChange1.exp │ │ │ └── assertWinChange1.out.expected │ │ ├── assertWinChange2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertWinChange2.bsv │ │ │ ├── assertWinChange2.exp │ │ │ └── assertWinChange2.out.expected │ │ ├── assertWinUnchange1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertWinUnchange1.bsv │ │ │ ├── assertWinUnchange1.exp │ │ │ └── assertWinUnchange1.out.expected │ │ ├── assertWinUnchange2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertWinUnchange2.bsv │ │ │ ├── assertWinUnchange2.exp │ │ │ └── assertWinUnchange2.out.expected │ │ ├── assertWindow1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertWindow1.bsv │ │ │ ├── assertWindow1.exp │ │ │ └── assertWindow1.out.expected │ │ ├── assertWindow2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertWindow2.bsv │ │ │ ├── assertWindow2.exp │ │ │ └── assertWindow2.out.expected │ │ ├── assertZeroOneHot1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertZeroOneHot1.bsv │ │ │ ├── assertZeroOneHot1.exp │ │ │ └── assertZeroOneHot1.out.expected │ │ ├── assertZeroOneHot2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── assertZeroOneHot2.bsv │ │ │ ├── assertZeroOneHot2.exp │ │ │ └── assertZeroOneHot2.out.expected │ │ ├── common.tcl │ │ └── std_ovl │ │ │ ├── assert_always.vlib │ │ │ ├── assert_always_on_edge.vlib │ │ │ ├── assert_change.vlib │ │ │ ├── assert_cycle_sequence.vlib │ │ │ ├── assert_decrement.vlib │ │ │ ├── assert_delta.vlib │ │ │ ├── assert_even_parity.vlib │ │ │ ├── assert_fifo_index.vlib │ │ │ ├── assert_frame.vlib │ │ │ ├── assert_handshake.vlib │ │ │ ├── assert_implication.vlib │ │ │ ├── assert_increment.vlib │ │ │ ├── assert_never.vlib │ │ │ ├── assert_never_unknown.vlib │ │ │ ├── assert_never_unknown_async.vlib │ │ │ ├── assert_next.vlib │ │ │ ├── assert_no_overflow.vlib │ │ │ ├── assert_no_transition.vlib │ │ │ ├── assert_no_underflow.vlib │ │ │ ├── assert_odd_parity.vlib │ │ │ ├── assert_one_cold.vlib │ │ │ ├── assert_one_hot.vlib │ │ │ ├── assert_proposition.vlib │ │ │ ├── assert_quiescent_state.vlib │ │ │ ├── assert_range.vlib │ │ │ ├── assert_time.vlib │ │ │ ├── assert_transition.vlib │ │ │ ├── assert_unchange.vlib │ │ │ ├── assert_width.vlib │ │ │ ├── assert_win_change.vlib │ │ │ ├── assert_win_unchange.vlib │ │ │ ├── assert_window.vlib │ │ │ ├── assert_zero_one_hot.vlib │ │ │ ├── docs │ │ │ ├── assert_quick_ref.pdf │ │ │ ├── assert_timing_diagrams.pdf │ │ │ ├── ovl_lrm.pdf │ │ │ ├── ovl_quick_ref.pdf │ │ │ ├── ovl_timing_diagrams.pdf │ │ │ ├── readme_vhdl.txt │ │ │ └── release_notes.txt │ │ │ ├── ovl_always.v │ │ │ ├── ovl_always.vhd │ │ │ ├── ovl_always_on_edge.v │ │ │ ├── ovl_always_on_edge.vhd │ │ │ ├── ovl_arbiter.v │ │ │ ├── ovl_bits.v │ │ │ ├── ovl_change.v │ │ │ ├── ovl_change.vhd │ │ │ ├── ovl_code_distance.v │ │ │ ├── ovl_coverage.v │ │ │ ├── ovl_crc.v │ │ │ ├── ovl_cycle_sequence.v │ │ │ ├── ovl_cycle_sequence.vhd │ │ │ ├── ovl_decrement.v │ │ │ ├── ovl_decrement.vhd │ │ │ ├── ovl_delta.v │ │ │ ├── ovl_delta.vhd │ │ │ ├── ovl_even_parity.v │ │ │ ├── ovl_even_parity.vhd │ │ │ ├── ovl_fifo.v │ │ │ ├── ovl_fifo_index.v │ │ │ ├── ovl_fifo_index.vhd │ │ │ ├── ovl_frame.v │ │ │ ├── ovl_frame.vhd │ │ │ ├── ovl_handshake.v │ │ │ ├── ovl_handshake.vhd │ │ │ ├── ovl_hold_value.v │ │ │ ├── ovl_implication.v │ │ │ ├── ovl_implication.vhd │ │ │ ├── ovl_increment.v │ │ │ ├── ovl_increment.vhd │ │ │ ├── ovl_memory_async.v │ │ │ ├── ovl_memory_sync.v │ │ │ ├── ovl_multiport_fifo.v │ │ │ ├── ovl_mutex.v │ │ │ ├── ovl_never.v │ │ │ ├── ovl_never.vhd │ │ │ ├── ovl_never_unknown.v │ │ │ ├── ovl_never_unknown.vhd │ │ │ ├── ovl_never_unknown_async.v │ │ │ ├── ovl_never_unknown_async.vhd │ │ │ ├── ovl_next.v │ │ │ ├── ovl_next.vhd │ │ │ ├── ovl_next_state.v │ │ │ ├── ovl_no_contention.v │ │ │ ├── ovl_no_overflow.v │ │ │ ├── ovl_no_overflow.vhd │ │ │ ├── ovl_no_transition.v │ │ │ ├── ovl_no_transition.vhd │ │ │ ├── ovl_no_underflow.v │ │ │ ├── ovl_no_underflow.vhd │ │ │ ├── ovl_odd_parity.v │ │ │ ├── ovl_odd_parity.vhd │ │ │ ├── ovl_one_cold.v │ │ │ ├── ovl_one_cold.vhd │ │ │ ├── ovl_one_hot.v │ │ │ ├── ovl_one_hot.vhd │ │ │ ├── ovl_proposition.v │ │ │ ├── ovl_proposition.vhd │ │ │ ├── ovl_quiescent_state.v │ │ │ ├── ovl_quiescent_state.vhd │ │ │ ├── ovl_range.v │ │ │ ├── ovl_range.vhd │ │ │ ├── ovl_reg_loaded.v │ │ │ ├── ovl_req_ack_unique.v │ │ │ ├── ovl_req_requires.v │ │ │ ├── ovl_stack.v │ │ │ ├── ovl_time.v │ │ │ ├── ovl_time.vhd │ │ │ ├── ovl_transition.v │ │ │ ├── ovl_transition.vhd │ │ │ ├── ovl_unchange.v │ │ │ ├── ovl_unchange.vhd │ │ │ ├── ovl_valid_id.v │ │ │ ├── ovl_value.v │ │ │ ├── ovl_value_coverage.v │ │ │ ├── ovl_width.v │ │ │ ├── ovl_width.vhd │ │ │ ├── ovl_win_change.v │ │ │ ├── ovl_win_change.vhd │ │ │ ├── ovl_win_unchange.v │ │ │ ├── ovl_win_unchange.vhd │ │ │ ├── ovl_window.v │ │ │ ├── ovl_window.vhd │ │ │ ├── ovl_xproduct_bit_coverage.v │ │ │ ├── ovl_xproduct_value_coverage.v │ │ │ ├── ovl_zero_one_hot.v │ │ │ ├── ovl_zero_one_hot.vhd │ │ │ ├── psl05 │ │ │ ├── assert_always_on_edge_psl_logic.v │ │ │ ├── assert_always_on_edge_psl_logic.vhd │ │ │ ├── assert_always_psl_logic.v │ │ │ ├── assert_always_psl_logic.vhd │ │ │ ├── assert_change_psl_logic.v │ │ │ ├── assert_change_psl_logic.vhd │ │ │ ├── assert_cycle_sequence_psl_logic.v │ │ │ ├── assert_cycle_sequence_psl_logic.vhd │ │ │ ├── assert_decrement_psl_logic.v │ │ │ ├── assert_decrement_psl_logic.vhd │ │ │ ├── assert_delta_psl_logic.v │ │ │ ├── assert_delta_psl_logic.vhd │ │ │ ├── assert_even_parity_psl_logic.v │ │ │ ├── assert_even_parity_psl_logic.vhd │ │ │ ├── assert_fifo_index_psl_logic.v │ │ │ ├── assert_fifo_index_psl_logic.vhd │ │ │ ├── assert_frame_psl_logic.v │ │ │ ├── assert_frame_psl_logic.vhd │ │ │ ├── assert_handshake_psl_logic.v │ │ │ ├── assert_handshake_psl_logic.vhd │ │ │ ├── assert_implication_psl_logic.v │ │ │ ├── assert_implication_psl_logic.vhd │ │ │ ├── assert_increment_psl_logic.v │ │ │ ├── assert_increment_psl_logic.vhd │ │ │ ├── assert_never_psl_logic.v │ │ │ ├── assert_never_psl_logic.vhd │ │ │ ├── assert_never_unknown_async_psl_logic.v │ │ │ ├── assert_never_unknown_async_psl_logic.vhd │ │ │ ├── assert_never_unknown_psl_logic.v │ │ │ ├── assert_never_unknown_psl_logic.vhd │ │ │ ├── assert_next_psl_logic.v │ │ │ ├── assert_next_psl_logic.vhd │ │ │ ├── assert_no_overflow_psl_logic.v │ │ │ ├── assert_no_overflow_psl_logic.vhd │ │ │ ├── assert_no_transition_psl_logic.v │ │ │ ├── assert_no_transition_psl_logic.vhd │ │ │ ├── assert_no_underflow_psl_logic.v │ │ │ ├── assert_no_underflow_psl_logic.vhd │ │ │ ├── assert_odd_parity_psl_logic.v │ │ │ ├── assert_odd_parity_psl_logic.vhd │ │ │ ├── assert_one_cold_psl_logic.v │ │ │ ├── assert_one_cold_psl_logic.vhd │ │ │ ├── assert_one_hot_psl_logic.v │ │ │ ├── assert_one_hot_psl_logic.vhd │ │ │ ├── assert_proposition_psl_logic.v │ │ │ ├── assert_proposition_psl_logic.vhd │ │ │ ├── assert_quiescent_state_psl_logic.v │ │ │ ├── assert_quiescent_state_psl_logic.vhd │ │ │ ├── assert_range_psl_logic.v │ │ │ ├── assert_range_psl_logic.vhd │ │ │ ├── assert_time_psl_logic.v │ │ │ ├── assert_time_psl_logic.vhd │ │ │ ├── assert_transition_psl_logic.v │ │ │ ├── assert_transition_psl_logic.vhd │ │ │ ├── assert_unchange_psl_logic.v │ │ │ ├── assert_unchange_psl_logic.vhd │ │ │ ├── assert_width_psl_logic.v │ │ │ ├── assert_width_psl_logic.vhd │ │ │ ├── assert_win_change_psl_logic.v │ │ │ ├── assert_win_change_psl_logic.vhd │ │ │ ├── assert_win_unchange_psl_logic.v │ │ │ ├── assert_win_unchange_psl_logic.vhd │ │ │ ├── assert_window_psl_logic.v │ │ │ ├── assert_window_psl_logic.vhd │ │ │ ├── assert_zero_one_hot_psl_logic.v │ │ │ ├── assert_zero_one_hot_psl_logic.vhd │ │ │ ├── vunits │ │ │ │ ├── assert_always.psl │ │ │ │ ├── assert_always_on_edge.psl │ │ │ │ ├── assert_change.psl │ │ │ │ ├── assert_cycle_sequence.psl │ │ │ │ ├── assert_decrement.psl │ │ │ │ ├── assert_delta.psl │ │ │ │ ├── assert_even_parity.psl │ │ │ │ ├── assert_fifo_index.psl │ │ │ │ ├── assert_frame.psl │ │ │ │ ├── assert_handshake.psl │ │ │ │ ├── assert_implication.psl │ │ │ │ ├── assert_increment.psl │ │ │ │ ├── assert_never.psl │ │ │ │ ├── assert_never_unknown.psl │ │ │ │ ├── assert_never_unknown_async.psl │ │ │ │ ├── assert_next.psl │ │ │ │ ├── assert_no_overflow.psl │ │ │ │ ├── assert_no_transition.psl │ │ │ │ ├── assert_no_underflow.psl │ │ │ │ ├── assert_odd_parity.psl │ │ │ │ ├── assert_one_cold.psl │ │ │ │ ├── assert_one_hot.psl │ │ │ │ ├── assert_proposition.psl │ │ │ │ ├── assert_quiescent_state.psl │ │ │ │ ├── assert_range.psl │ │ │ │ ├── assert_time.psl │ │ │ │ ├── assert_transition.psl │ │ │ │ ├── assert_unchange.psl │ │ │ │ ├── assert_width.psl │ │ │ │ ├── assert_win_change.psl │ │ │ │ ├── assert_win_unchange.psl │ │ │ │ ├── assert_window.psl │ │ │ │ └── assert_zero_one_hot.psl │ │ │ └── vunits_vhdl │ │ │ │ ├── assert_always.psl │ │ │ │ ├── assert_always_on_edge.psl │ │ │ │ ├── assert_change.psl │ │ │ │ ├── assert_cycle_sequence.psl │ │ │ │ ├── assert_decrement.psl │ │ │ │ ├── assert_delta.psl │ │ │ │ ├── assert_even_parity.psl │ │ │ │ ├── assert_fifo_index.psl │ │ │ │ ├── assert_frame.psl │ │ │ │ ├── assert_handshake.psl │ │ │ │ ├── assert_implication.psl │ │ │ │ ├── assert_increment.psl │ │ │ │ ├── assert_never.psl │ │ │ │ ├── assert_never_unknown.psl │ │ │ │ ├── assert_never_unknown_async.psl │ │ │ │ ├── assert_next.psl │ │ │ │ ├── assert_no_overflow.psl │ │ │ │ ├── assert_no_transition.psl │ │ │ │ ├── assert_no_underflow.psl │ │ │ │ ├── assert_odd_parity.psl │ │ │ │ ├── assert_one_cold.psl │ │ │ │ ├── assert_one_hot.psl │ │ │ │ ├── assert_proposition.psl │ │ │ │ ├── assert_quiescent_state.psl │ │ │ │ ├── assert_range.psl │ │ │ │ ├── assert_time.psl │ │ │ │ ├── assert_transition.psl │ │ │ │ ├── assert_unchange.psl │ │ │ │ ├── assert_width.psl │ │ │ │ ├── assert_win_change.psl │ │ │ │ ├── assert_win_unchange.psl │ │ │ │ ├── assert_window.psl │ │ │ │ └── assert_zero_one_hot.psl │ │ │ ├── psl11 │ │ │ ├── std_ovl.vhd │ │ │ ├── std_ovl_clock.h │ │ │ ├── std_ovl_clock_gating.vhd │ │ │ ├── std_ovl_components.vhd │ │ │ ├── std_ovl_components_vlog.vhd │ │ │ ├── std_ovl_count.h │ │ │ ├── std_ovl_cover.h │ │ │ ├── std_ovl_defines.h │ │ │ ├── std_ovl_init.h │ │ │ ├── std_ovl_procs.vhd │ │ │ ├── std_ovl_reset.h │ │ │ ├── std_ovl_reset_gating.vhd │ │ │ ├── std_ovl_task.h │ │ │ ├── std_ovl_u_components.vhd │ │ │ ├── std_ovl_u_components_vlog.vhd │ │ │ ├── std_ovl_vhdl_components.vhd │ │ │ ├── sva05 │ │ │ ├── assert_always_logic.sv │ │ │ ├── assert_always_on_edge_logic.sv │ │ │ ├── assert_change_logic.sv │ │ │ ├── assert_cycle_sequence_logic.sv │ │ │ ├── assert_decrement_logic.sv │ │ │ ├── assert_delta_logic.sv │ │ │ ├── assert_even_parity_logic.sv │ │ │ ├── assert_fifo_index_logic.sv │ │ │ ├── assert_frame_logic.sv │ │ │ ├── assert_handshake_logic.sv │ │ │ ├── assert_implication_logic.sv │ │ │ ├── assert_increment_logic.sv │ │ │ ├── assert_never_logic.sv │ │ │ ├── assert_never_unknown_async_logic.sv │ │ │ ├── assert_never_unknown_logic.sv │ │ │ ├── assert_next_logic.sv │ │ │ ├── assert_no_overflow_logic.sv │ │ │ ├── assert_no_transition_logic.sv │ │ │ ├── assert_no_underflow_logic.sv │ │ │ ├── assert_odd_parity_logic.sv │ │ │ ├── assert_one_cold_logic.sv │ │ │ ├── assert_one_hot_logic.sv │ │ │ ├── assert_proposition_logic.sv │ │ │ ├── assert_quiescent_state_logic.sv │ │ │ ├── assert_range_logic.sv │ │ │ ├── assert_time_logic.sv │ │ │ ├── assert_transition_logic.sv │ │ │ ├── assert_unchange_logic.sv │ │ │ ├── assert_width_logic.sv │ │ │ ├── assert_win_change_logic.sv │ │ │ ├── assert_win_unchange_logic.sv │ │ │ ├── assert_window_logic.sv │ │ │ ├── assert_zero_one_hot_logic.sv │ │ │ ├── ovl_always_logic.sv │ │ │ ├── ovl_arbiter_logic.sv │ │ │ ├── ovl_bits_logic.sv │ │ │ ├── ovl_code_distance_logic.sv │ │ │ ├── ovl_coverage_logic.sv │ │ │ ├── ovl_crc_logic.sv │ │ │ ├── ovl_cycle_sequence_logic.sv │ │ │ ├── ovl_fifo_logic.sv │ │ │ ├── ovl_hold_value_logic.sv │ │ │ ├── ovl_implication_logic.sv │ │ │ ├── ovl_memory_async_logic.sv │ │ │ ├── ovl_memory_sync_logic.sv │ │ │ ├── ovl_multiport_fifo_logic.sv │ │ │ ├── ovl_mutex_logic.sv │ │ │ ├── ovl_never_logic.sv │ │ │ ├── ovl_never_unknown_logic.sv │ │ │ ├── ovl_next_logic.sv │ │ │ ├── ovl_next_state_logic.sv │ │ │ ├── ovl_no_contention_logic.sv │ │ │ ├── ovl_one_hot_logic.sv │ │ │ ├── ovl_range_logic.sv │ │ │ ├── ovl_reg_loaded_logic.sv │ │ │ ├── ovl_req_ack_unique_logic.sv │ │ │ ├── ovl_req_requires_logic.sv │ │ │ ├── ovl_stack_logic.sv │ │ │ ├── ovl_valid_id_logic.sv │ │ │ ├── ovl_value_coverage_logic.sv │ │ │ ├── ovl_value_logic.sv │ │ │ ├── ovl_win_unchange_logic.sv │ │ │ ├── ovl_xproduct_bit_coverage_logic.sv │ │ │ ├── ovl_xproduct_value_coverage_logic.sv │ │ │ └── ovl_zero_one_hot_logic.sv │ │ │ ├── sva31a │ │ │ ├── vhdl93 │ │ │ ├── legacy │ │ │ │ └── std_ovl.vhd │ │ │ ├── ovl_always_rtl.vhd │ │ │ ├── ovl_cycle_sequence_rtl.vhd │ │ │ ├── ovl_implication_rtl.vhd │ │ │ ├── ovl_never_rtl.vhd │ │ │ ├── ovl_never_unknown_async_rtl.vhd │ │ │ ├── ovl_never_unknown_rtl.vhd │ │ │ ├── ovl_next_rtl.vhd │ │ │ ├── ovl_one_hot_rtl.vhd │ │ │ ├── ovl_range_rtl.vhd │ │ │ ├── ovl_zero_one_hot_rtl.vhd │ │ │ └── syn_src │ │ │ │ ├── ovl_always_rtl.vhd │ │ │ │ ├── ovl_cycle_sequence_rtl.vhd │ │ │ │ ├── ovl_implication_rtl.vhd │ │ │ │ ├── ovl_never_rtl.vhd │ │ │ │ ├── ovl_never_unknown_async_rtl.vhd │ │ │ │ ├── ovl_never_unknown_rtl.vhd │ │ │ │ ├── ovl_next_rtl.vhd │ │ │ │ ├── ovl_one_hot_rtl.vhd │ │ │ │ ├── ovl_range_rtl.vhd │ │ │ │ ├── ovl_zero_one_hot_rtl.vhd │ │ │ │ └── std_ovl_procs_syn.vhd │ │ │ └── vlog95 │ │ │ ├── assert_always_logic.v │ │ │ ├── assert_always_on_edge_logic.v │ │ │ ├── assert_change_logic.v │ │ │ ├── assert_cycle_sequence_logic.v │ │ │ ├── assert_decrement_logic.v │ │ │ ├── assert_delta_logic.v │ │ │ ├── assert_even_parity_logic.v │ │ │ ├── assert_fifo_index_logic.v │ │ │ ├── assert_frame_logic.v │ │ │ ├── assert_handshake_logic.v │ │ │ ├── assert_implication_logic.v │ │ │ ├── assert_increment_logic.v │ │ │ ├── assert_never_logic.v │ │ │ ├── assert_never_unknown_async_logic.v │ │ │ ├── assert_never_unknown_logic.v │ │ │ ├── assert_next_logic.v │ │ │ ├── assert_no_overflow_logic.v │ │ │ ├── assert_no_transition_logic.v │ │ │ ├── assert_no_underflow_logic.v │ │ │ ├── assert_odd_parity_logic.v │ │ │ ├── assert_one_cold_logic.v │ │ │ ├── assert_one_hot_logic.v │ │ │ ├── assert_proposition_logic.v │ │ │ ├── assert_quiescent_state_logic.v │ │ │ ├── assert_range_logic.v │ │ │ ├── assert_time_logic.v │ │ │ ├── assert_transition_logic.v │ │ │ ├── assert_unchange_logic.v │ │ │ ├── assert_width_logic.v │ │ │ ├── assert_win_change_logic.v │ │ │ ├── assert_win_unchange_logic.v │ │ │ ├── assert_window_logic.v │ │ │ ├── assert_zero_one_hot_logic.v │ │ │ ├── ovl_always_logic.v │ │ │ ├── ovl_cycle_sequence_logic.v │ │ │ ├── ovl_implication_logic.v │ │ │ ├── ovl_never_logic.v │ │ │ ├── ovl_never_unknown_logic.v │ │ │ ├── ovl_next_logic.v │ │ │ ├── ovl_one_hot_logic.v │ │ │ ├── ovl_range_logic.v │ │ │ ├── ovl_win_unchange_logic.v │ │ │ └── ovl_zero_one_hot_logic.v │ ├── Path_Analysis │ │ ├── Extended_Input_Output_Path │ │ │ ├── Argument2Rdy.bsv │ │ │ ├── Argument2ReturnValue.bsv │ │ │ ├── Argument2ReturnValue2.bsv │ │ │ ├── Argument2ReturnValue3.bsv │ │ │ ├── En2Rdy.bsv │ │ │ ├── En2Rdy2.bsv │ │ │ ├── En2ReturnValue.bsv │ │ │ ├── En2ReturnValue2.bsv │ │ │ ├── Extended_Input_Output_Path.exp │ │ │ ├── Makefile │ │ │ └── Ten_Inverters.bsv │ │ ├── Imported_Modules │ │ │ ├── Argument2Rdy.bsv │ │ │ ├── Argument2Rdy2.bsv │ │ │ ├── Argument2ReturnValue.bsv │ │ │ ├── Argument2ReturnValue2.bsv │ │ │ ├── En2Rdy.bsv │ │ │ ├── En2Rdy2.bsv │ │ │ ├── En2Rdy3.bsv │ │ │ ├── En2ReturnValue.bsv │ │ │ ├── En2ReturnValue2.bsv │ │ │ ├── Imported_Modules.exp │ │ │ ├── Makefile │ │ │ ├── Parameter2Rdy.bsv │ │ │ ├── Parameter2ReturnValue.bsv │ │ │ ├── Ten_Inverters.bsv │ │ │ └── Ten_Inverters2.bsv │ │ ├── Input_Output_Path │ │ │ ├── Argument2Rdy.bsv │ │ │ ├── Argument2Rdy.bsv.bsc-vcomp-out.expected │ │ │ ├── Argument2Rdy2.bsv │ │ │ ├── Argument2ReturnValue.bsv │ │ │ ├── Argument2ReturnValue.bsv.bsc-vcomp-out.expected │ │ │ ├── Argument2ReturnValue2.bsv │ │ │ ├── Argument2ReturnValue2.bsv.bsc-vcomp-out.expected │ │ │ ├── Argument2ReturnValue3.bsv │ │ │ ├── Argument2ReturnValue3.bsv.bsc-vcomp-out.expected │ │ │ ├── En2Rdy.bsv │ │ │ ├── En2Rdy2.bsv │ │ │ ├── En2Rdy3.bsv │ │ │ ├── En2Rdy4.bsv │ │ │ ├── En2Rdy5.bsv │ │ │ ├── En2Rdy5.bsv.bsc-vcomp-out.expected │ │ │ ├── En2ReturnValue.bsv │ │ │ ├── En2ReturnValue.bsv.bsc-vcomp-out.expected │ │ │ ├── En2ReturnValue2.bsv │ │ │ ├── En2ReturnValue2.bsv.bsc-vcomp-out.expected │ │ │ ├── Input_Output_Path.exp │ │ │ ├── Makefile │ │ │ ├── MuxMethods1.bsv │ │ │ ├── MuxMethods1.bsv.bsc-vcomp-out.expected │ │ │ ├── MuxMethods2.bsv │ │ │ ├── Parameter2Rdy.bsv │ │ │ ├── Parameter2Rdy.bsv.bsc-vcomp-out.expected │ │ │ ├── Parameter2ReturnValue.bsv │ │ │ └── Parameter2ReturnValue.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ └── Single_Module │ │ │ ├── ArgMethod2ReturnValue.bsv │ │ │ ├── ArgMethod2ReturnValue2.bsv │ │ │ ├── ArgMethod2ReturnValue3.bsv │ │ │ ├── Argument2Rdy.bsv │ │ │ ├── Argument2Rdy2.bsv │ │ │ ├── Argument2ReturnValue.bsv │ │ │ ├── Argument2ReturnValue2.bsv │ │ │ ├── Argument2ReturnValue3.bsv │ │ │ ├── Combo_Loop.bsv │ │ │ ├── En2Rdy.bsv │ │ │ ├── En2Rdy2.bsv │ │ │ ├── En2Rdy4.bsv │ │ │ ├── En2ReturnValue.bsv │ │ │ ├── En2ReturnValue2.bsv │ │ │ ├── EnableSignal2ReturnValue.bsv │ │ │ ├── Makefile │ │ │ ├── MuxLogic.bsv │ │ │ ├── Parameter2Rdy.bsv │ │ │ ├── Parameter2ReturnValue.bsv │ │ │ ├── RWireReadB4Write.bsv │ │ │ ├── Single_Module.exp │ │ │ └── WillFire2CanFire.bsv │ ├── StmtFSM │ │ ├── CycleTest │ │ │ ├── CycleTest.bsv │ │ │ ├── Makefile │ │ │ ├── cycletest.exp │ │ │ └── sysCycleTest.out.expected │ │ ├── Makefile │ │ ├── ServerInServer │ │ │ ├── Makefile │ │ │ ├── ServerInServer.bsv │ │ │ ├── serverinserver.exp │ │ │ └── sysServerInServer.out.expected │ │ ├── Square1 │ │ │ ├── Makefile │ │ │ ├── square1.bsv │ │ │ ├── square1.exp │ │ │ └── square1.out.expected │ │ ├── Square2 │ │ │ ├── Makefile │ │ │ ├── square2.bsv │ │ │ ├── square2.exp │ │ │ └── square2.out.expected │ │ ├── Square3 │ │ │ ├── Makefile │ │ │ ├── square3.bsv │ │ │ ├── square3.exp │ │ │ └── square3.out.expected │ │ ├── Square4 │ │ │ ├── Makefile │ │ │ ├── square4.bsv │ │ │ ├── square4.exp │ │ │ └── square4.out.expected │ │ ├── Square5 │ │ │ ├── Makefile │ │ │ ├── square5.bsv │ │ │ ├── square5.exp │ │ │ └── square5.out.expected │ │ ├── Square6 │ │ │ ├── Makefile │ │ │ ├── square6.bsv │ │ │ ├── square6.exp │ │ │ └── square6.out.expected │ │ ├── breakOutsideFSM │ │ │ ├── Makefile │ │ │ ├── breakOutsideFSM.bsv │ │ │ └── breakOutsideFSM.exp │ │ ├── clearOfOnce │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── clearOfOnce.bsv │ │ │ ├── clearOfOnce.exp │ │ │ └── clearOfOnce.out.expected │ │ ├── continueOutsideFSM │ │ │ ├── Makefile │ │ │ ├── continueOutsideFSM.bsv │ │ │ └── continueOutsideFSM.exp │ │ ├── continueOutsideLoop │ │ │ ├── Makefile │ │ │ ├── continueOutsideLoop.bsv │ │ │ └── continueOutsideLoop.exp │ │ ├── cycleUsage1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── cycleUsage1.bsv │ │ │ ├── cycleUsage1.exp │ │ │ └── cycleUsage1.out.expected │ │ ├── cycleUsage2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── cycleUsage2.bsv │ │ │ ├── cycleUsage2.exp │ │ │ └── cycleUsage2.out.expected │ │ ├── fifoTest │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── fifoTest.bsv │ │ │ ├── fifoTest.exp │ │ │ └── fifoTest.out.expected │ │ ├── forInRepeat │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── forInRepeat.bsv │ │ │ ├── forInRepeat.exp │ │ │ └── forInRepeat.out.expected │ │ ├── forInWhile │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── forInWhile.bsv │ │ │ ├── forInWhile.exp │ │ │ └── forInWhile.out.expected │ │ ├── nestedForLoop1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── nestedForLoop1.bsv │ │ │ ├── nestedForLoop1.exp │ │ │ └── nestedForLoop1.out.expected │ │ ├── nestedRepeatLoop1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── nestedRepeatLoop1.bsv │ │ │ ├── nestedRepeatLoop1.exp │ │ │ └── nestedRepeatLoop1.out.expected │ │ ├── nestedWhileLoop1 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── nestedWhileLoop1.bsv │ │ │ ├── nestedWhileLoop1.exp │ │ │ └── nestedWhileLoop1.out.expected │ │ ├── nestedWhileLoop2 │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── nestedWhileLoop2.bsv │ │ │ ├── nestedWhileLoop2.exp │ │ │ └── nestedWhileLoop2.out.expected │ │ ├── parAuto │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── parAuto.bsv │ │ │ ├── parAuto.exp │ │ │ └── parAuto.out.expected │ │ ├── repeatInFor │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── repeatInFor.bsv │ │ │ ├── repeatInFor.exp │ │ │ └── repeatInFor.out.expected │ │ ├── repeatInWhile │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── repeatInWhile.bsv │ │ │ ├── repeatInWhile.exp │ │ │ └── repeatInWhile.out.expected │ │ ├── repeatTest │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── repeatTest.bsv │ │ │ ├── repeatTest.exp │ │ │ └── repeatTest.out.expected │ │ ├── whileInFor │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── whileInFor.bsv │ │ │ ├── whileInFor.exp │ │ │ └── whileInFor.out.expected │ │ ├── whileInRepeat │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── whileInRepeat.bsv │ │ │ ├── whileInRepeat.exp │ │ │ └── whileInRepeat.out.expected │ │ ├── whilePar │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── whilePar.bsv │ │ │ ├── whilePar.exp │ │ │ └── whilePar.out.expected │ │ └── whileWithinForLoop │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── whileWithinForLoop.bsv │ │ │ ├── whileWithinForLoop.exp │ │ │ └── whileWithinForLoop.out.expected │ ├── Urgency_Annotation │ │ ├── Makefile │ │ ├── Negative_Testing │ │ │ ├── Cyclic_Urgency_Five_Rules.bsv │ │ │ ├── Cyclic_Urgency_Five_Rules.bsv.bsc-ccomp-out.expected │ │ │ ├── Cyclic_Urgency_Two_Rules.bsv │ │ │ ├── Cyclic_Urgency_Two_Rules.bsv.bsc-ccomp-out.expected │ │ │ ├── Incorrect_Attribute_List1.bsv │ │ │ ├── Incorrect_Attribute_List1.bsv.bsc-ccomp-out.expected │ │ │ ├── Incorrect_Attribute_List2.bsv │ │ │ ├── Incorrect_Attribute_List2.bsv.bsc-ccomp-out.expected │ │ │ ├── Incorrect_Attribute_List3.bsv │ │ │ ├── Incorrect_Attribute_List3.bsv.bsc-ccomp-out.expected │ │ │ ├── Makefile │ │ │ ├── Method_Name_in_Attribute.bsv │ │ │ ├── Method_Name_in_Attribute.bsv.bsc-ccomp-out.expected │ │ │ ├── Negative_Testing.exp │ │ │ ├── Synthesized_Submodule_With_Conflicting_Rules.bsv │ │ │ ├── Synthesized_Submodule_With_Conflicting_Rules.bsv.bsc-ccomp-out.expected │ │ │ ├── Two_Attributes_On_Single_Rule.bsv │ │ │ └── Two_Attributes_On_Single_Rule.bsv.bsc-ccomp-out.expected │ │ ├── Semantics │ │ │ ├── Hierarchical_Rule_a.bsv │ │ │ ├── Hierarchical_Rule_b.bsv │ │ │ ├── Makefile │ │ │ ├── Method_Rule_Conflict.bsv │ │ │ ├── Method_Rules_Conflict_a.bsv │ │ │ ├── Method_Rules_Conflict_b.bsv │ │ │ ├── Remove_Rules_a.bsv │ │ │ ├── Remove_Rules_a.bsv.bsc-ccomp-out.expected │ │ │ ├── Remove_Rules_b.bsv │ │ │ ├── Remove_Rules_b.bsv.bsc-ccomp-out.expected │ │ │ ├── Rule_Splitting_a.bsv │ │ │ ├── Rule_Splitting_a.bsv.bsc-ccomp-out.expected │ │ │ ├── Rule_Splitting_b.bsv │ │ │ ├── Rule_Splitting_b.bsv.bsc-ccomp-out.expected │ │ │ ├── Semantics.exp │ │ │ ├── Six_Conflicting_Rules_ListAttribute_a.bsv │ │ │ ├── Six_Conflicting_Rules_ListAttribute_b.bsv │ │ │ ├── Six_Conflicting_Rules_PairsAttribute_a.bsv │ │ │ ├── Six_Conflicting_Rules_PairsAttribute_b.bsv │ │ │ ├── Three_Conflicting_Rules_ListAttribute_a.bsv │ │ │ ├── Three_Conflicting_Rules_ListAttribute_b.bsv │ │ │ ├── Three_Conflicting_Rules_PairsAttribute_a.bsv │ │ │ └── Three_Conflicting_Rules_PairsAttribute_b.bsv │ │ └── Syntax │ │ │ ├── Dot_Notation_Rule_Name.bsv │ │ │ ├── Elaborated_Rule_Name.bsv │ │ │ ├── Five_Hundred_Rules.bsv │ │ │ ├── Hierarchy4_Dot_Notation_Rule_Name.bsv │ │ │ ├── Hierarchy4_Elaborated_Rule_Name.bsv │ │ │ ├── Makefile │ │ │ ├── Nested_Rules.bsv │ │ │ ├── Rules_Block.bsv │ │ │ ├── Same_Rule_Name.bsv │ │ │ ├── Syntax.exp │ │ │ └── Two_Conflicting_Rules.bsv │ ├── bluesim │ │ ├── Makefile │ │ ├── commandline_options │ │ │ ├── Makefile │ │ │ ├── array │ │ │ │ ├── Makefile │ │ │ │ ├── Testbench.bsv │ │ │ │ ├── array.exp │ │ │ │ ├── command_M.out.expected │ │ │ │ ├── command_u.out.expected │ │ │ │ ├── dump_T_1.out.expected │ │ │ │ ├── dump_T_2.out.expected │ │ │ │ ├── dump_V.out.expected │ │ │ │ └── dump_V_m.out.expected │ │ │ ├── handshake_protocol │ │ │ │ ├── Design.bsv │ │ │ │ ├── Makefile │ │ │ │ ├── Testbench.bsv │ │ │ │ ├── bsv_c_drvfile │ │ │ │ ├── command_C.out.expected │ │ │ │ ├── command_CC.out.expected │ │ │ │ ├── command_S_R.out.expected │ │ │ │ ├── command_S_R_re1.out.expected │ │ │ │ ├── command_S_R_re2.out.expected │ │ │ │ ├── command_S_R_re3.out.expected │ │ │ │ ├── command_d.out.expected │ │ │ │ ├── command_r.out.expected │ │ │ │ ├── command_r_m.out.expected │ │ │ │ ├── command_s.out.expected │ │ │ │ ├── command_ss.out.expected │ │ │ │ ├── dump_w_1.out.expected │ │ │ │ ├── dump_w_2.out.expected │ │ │ │ └── handshake_protocol_cl.exp │ │ │ └── traffic_light_controller_separate │ │ │ │ ├── Design.bsv │ │ │ │ ├── Makefile │ │ │ │ ├── Testbench.bsv │ │ │ │ ├── command_I.out.expected │ │ │ │ ├── command_c.out.expected │ │ │ │ ├── command_cc.out.expected │ │ │ │ ├── command_m_1.out.expected │ │ │ │ ├── command_m_2.out.expected │ │ │ │ ├── command_s.out.expected │ │ │ │ ├── command_s_m.out.expected │ │ │ │ ├── command_ss.out.expected │ │ │ │ ├── command_ss_m.out.expected │ │ │ │ ├── command_v.out.expected │ │ │ │ ├── command_x_S.out.expected │ │ │ │ ├── command_x_s2.out.expected │ │ │ │ ├── dump_F_re1.out.expected │ │ │ │ ├── dump_F_re2.out.expected │ │ │ │ ├── dump_p_100.out.expected │ │ │ │ ├── dump_p_50.out.expected │ │ │ │ ├── reFile1 │ │ │ │ ├── reFile2 │ │ │ │ └── traffic_light_controller_separate.exp │ │ └── interactive │ │ │ ├── Makefile │ │ │ ├── handshake_protocol │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Testbench.bsv │ │ │ ├── bsv_c_drvfile │ │ │ ├── handshake_protocol.exp │ │ │ └── mkTestbench.out.expected │ │ │ ├── parity_checker │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Testbench.bsv │ │ │ ├── bsv_c_drvfile │ │ │ ├── mkTestbench.out.expected │ │ │ └── parity_checker.exp │ │ │ ├── traffic_light_controller_hierar │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Testbench.bsv │ │ │ ├── bsv_c_drvfile │ │ │ ├── mkTestbench.out.expected │ │ │ └── traffic_light_controller_hier.exp │ │ │ └── traffic_light_controller_separate │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Testbench.bsv │ │ │ ├── bsv_c_drvfile │ │ │ ├── mkTestbench.out.expected │ │ │ └── traffic_light_controller.exp │ ├── bugs │ │ ├── Makefile │ │ ├── bugID133 │ │ │ ├── Ambg.bs │ │ │ ├── Ambg.bs.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── bugID133.exp │ │ ├── bugID142 │ │ │ ├── GCD.bs │ │ │ ├── GCD.bs.bsc-vcomp-out.expected │ │ │ ├── Makefile │ │ │ └── bugID142.exp │ │ ├── bugID149 │ │ │ ├── EDupField2.bs │ │ │ ├── EDupField2.bs.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── bugID149.exp │ │ ├── bugID153 │ │ │ ├── BsTop.bs │ │ │ ├── BsTop.bs.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── bugID153.exp │ │ ├── bugID154 │ │ │ ├── BsTop.bs │ │ │ ├── BsTop.bs.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── bugID154.exp │ │ ├── bugID156 │ │ │ ├── Makefile │ │ │ ├── Sizebug.bs │ │ │ ├── Sizebug.bs.bsc-vcomp-out.expected │ │ │ └── bugID156.exp │ │ ├── bugID159 │ │ │ ├── FindFields.bs │ │ │ ├── FindFields.bs.bsc-out.expected │ │ │ ├── Makefile │ │ │ ├── TupleChk1.bs │ │ │ ├── TupleChk1.bs.bsc-out.expected │ │ │ └── bugID159.exp │ │ ├── bugID161 │ │ │ ├── Makefile │ │ │ ├── Test.bs │ │ │ ├── Test.bs.bsc-out.expected │ │ │ └── bugID161.exp │ │ ├── bugID169 │ │ │ ├── Makefile │ │ │ ├── Test.bs │ │ │ ├── Test.bs.bsc-out.expected │ │ │ └── bugID169.exp │ │ ├── bugID198 │ │ │ ├── Makefile │ │ │ ├── bug.bsv │ │ │ ├── bug.bsv.bsc-out.expected │ │ │ └── bugID198.exp │ │ ├── bugID231 │ │ │ ├── Makefile │ │ │ ├── TestSyn.bs │ │ │ ├── TestSyn.bs.bsc-vcomp-out.expected │ │ │ └── bugID231.exp │ │ ├── bugID235 │ │ │ ├── Makefile │ │ │ ├── TcheckFail.bsv │ │ │ ├── TcheckFail.bsv.bsc-out.expected │ │ │ └── bugID235.exp │ │ ├── bugID238 │ │ │ ├── IfElseIf.bsv │ │ │ ├── IfElseIf.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── bugID238.exp │ │ ├── bugID239 │ │ │ ├── Makefile │ │ │ ├── MulticlockFIFO.bs │ │ │ ├── MulticlockFIFO.bs.bsc-vcomp-out.expected │ │ │ └── bugID239.exp │ │ ├── bugID263 │ │ │ ├── Makefile │ │ │ ├── Testbench.bsv │ │ │ ├── Testbench.bsv.bsc-out.expected │ │ │ └── bugID263.exp │ │ ├── bugID265 │ │ │ ├── Design_0.bsv │ │ │ ├── Design_0.bsv.bsc-vcomp-out.expected │ │ │ ├── Design_1.bsv │ │ │ ├── Design_1.bsv.bsc-vcomp-out.expected │ │ │ ├── Makefile │ │ │ └── bugID265.exp │ │ ├── bugID277 │ │ │ ├── Makefile │ │ │ ├── bug.bsv │ │ │ ├── bug.bsv.bsc-out.expected │ │ │ └── bugID277.exp │ │ ├── bugID278 │ │ │ ├── Makefile │ │ │ ├── bug.bsv │ │ │ ├── bug.bsv.bsc-out.expected │ │ │ └── bugID278.exp │ │ ├── bugID279 │ │ │ ├── Makefile │ │ │ ├── bug.bsv │ │ │ ├── bug.bsv.bsc-out.expected │ │ │ └── bugID279.exp │ │ ├── bugID298 │ │ │ ├── Makefile │ │ │ ├── bug.bsv │ │ │ ├── bug.bsv.bsc-out.expected │ │ │ ├── bug1.bsv │ │ │ ├── bug1.bsv.bsc-out.expected │ │ │ └── bugID298.exp │ │ ├── bugID299 │ │ │ ├── Makefile │ │ │ ├── bug.bsv │ │ │ ├── bug.bsv.bsc-out.expected │ │ │ └── bugID299.exp │ │ ├── bugID313 │ │ │ ├── Makefile │ │ │ ├── bug.bsv │ │ │ ├── bug.bsv.bsc-out.expected │ │ │ └── bugID313.exp │ │ ├── bugID334 │ │ │ ├── Design_case.bsv │ │ │ ├── Design_case.bsv.bsc-out.expected │ │ │ ├── Design_seq.bsv │ │ │ ├── Design_seq.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── bugID334.exp │ │ ├── bugID336 │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ ├── Test.bsv.bsc-vcomp-out.expected │ │ │ └── bugID336.exp │ │ ├── bugID340 │ │ │ ├── Design_return.bsv │ │ │ ├── Design_return.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── bugID340.exp │ │ ├── bugID355 │ │ │ ├── Design.bsv │ │ │ ├── Makefile │ │ │ ├── Test.bsv │ │ │ └── bugID355.exp │ │ ├── bugID363 │ │ │ ├── Design.bsv │ │ │ ├── Design.bsv.bsc-vcomp-out.expected │ │ │ ├── Makefile │ │ │ └── bugID363.exp │ │ ├── bugID364 │ │ │ ├── Makefile │ │ │ ├── Try.bsv │ │ │ ├── Try.bsv.bsc-vcomp-out.expected │ │ │ └── bugID364.exp │ │ ├── bugID383 │ │ │ ├── Makefile │ │ │ ├── MkCompletionBuffer.bsv │ │ │ ├── MkCompletionBuffer.bsv.bsc-vcomp-out.expected │ │ │ └── bugID383.exp │ │ ├── bugID403 │ │ │ ├── Design.bsv │ │ │ ├── Design.bsv.bsc-vcomp-out.expected │ │ │ ├── Makefile │ │ │ └── bugID403.exp │ │ ├── bugID413 │ │ │ ├── Design.bsv │ │ │ ├── Design.bsv.bsc-vcomp-out.expected │ │ │ ├── Makefile │ │ │ └── bugID413.exp │ │ └── bugID415 │ │ │ ├── Alternate.bsv │ │ │ ├── Alternate.bsv.bsc-vcomp-out.expected │ │ │ ├── Design.bsv │ │ │ ├── Design.bsv.bsc-vcomp-out.expected │ │ │ ├── Makefile │ │ │ └── bugID415.exp │ ├── config │ ├── libraries │ │ ├── Array │ │ │ ├── Array.exp │ │ │ ├── Makefile │ │ │ ├── MkArray.bsv │ │ │ ├── MkArrayFull.bsv │ │ │ ├── MkArrayWCF.bsv │ │ │ ├── mkTestbench_MkArray.final-state.expected │ │ │ ├── mkTestbench_MkArray.v.out.expected │ │ │ ├── mkTestbench_MkArrayFull.final-state.expected │ │ │ ├── mkTestbench_MkArrayFull.v.out.expected │ │ │ ├── mkTestbench_MkArrayWCF.final-state.expected │ │ │ └── mkTestbench_MkArrayWCF.v.out.expected │ │ ├── ArrayFile │ │ │ ├── ArrayFile.exp │ │ │ ├── Makefile │ │ │ ├── MkArrayFile.bsv │ │ │ ├── MkArrayFullFile.bsv │ │ │ ├── MkArrayWCFFile.bsv │ │ │ ├── input_file │ │ │ ├── mkTestbench_MkArrayFile.final-state.expected │ │ │ ├── mkTestbench_MkArrayFile.v.out.expected │ │ │ ├── mkTestbench_MkArrayFullFile.final-state.expected │ │ │ ├── mkTestbench_MkArrayFullFile.v.out.expected │ │ │ ├── mkTestbench_MkArrayWCFFile.final-state.expected │ │ │ └── mkTestbench_MkArrayWCFFile.v.out.expected │ │ ├── Assert │ │ │ ├── Assert.exp │ │ │ ├── ContinuousAssert.bsv │ │ │ ├── DynamicAssert.bsv │ │ │ ├── Makefile │ │ │ ├── StaticAssert.bsv │ │ │ ├── StaticAssert.bsv.bsc-ccomp-out.expected │ │ │ ├── mkTestbench_ContinuousAssert.final-state.expected │ │ │ ├── mkTestbench_ContinuousAssert.out.expected │ │ │ ├── mkTestbench_DynamicAssert.final-state.expected │ │ │ └── mkTestbench_DynamicAssert.out.expected │ │ ├── BGetPut │ │ │ ├── BGetPut.exp │ │ │ ├── Makefile │ │ │ ├── MkBClientServer.bsv │ │ │ ├── MkBGetPut.bsv │ │ │ ├── mkTestbench_MkBClientServer.final-state.expected │ │ │ ├── mkTestbench_MkBClientServer.v.out.expected │ │ │ ├── mkTestbench_MkBGetPut.final-state.expected │ │ │ └── mkTestbench_MkBGetPut.v.out.expected │ │ ├── BitonicSort │ │ │ ├── BitonicSort.exp │ │ │ ├── Makefile │ │ │ ├── Sortle.bsv │ │ │ ├── mkTestbench_Sortle.final-state.expected │ │ │ └── mkTestbench_Sortle.v.out.expected │ │ ├── Boolify │ │ │ ├── Boolify.exp │ │ │ ├── Boolify1.bsv │ │ │ ├── Boolify2.bsv │ │ │ ├── Boolify3.bsv │ │ │ ├── Boolify4.bsv │ │ │ ├── Makefile │ │ │ ├── mkTestbench_Boolify1.final-state.expected │ │ │ ├── mkTestbench_Boolify1.v.out.expected │ │ │ ├── mkTestbench_Boolify2.final-state.expected │ │ │ ├── mkTestbench_Boolify2.v.out.expected │ │ │ ├── mkTestbench_Boolify3.final-state.expected │ │ │ ├── mkTestbench_Boolify3.v.out.expected │ │ │ ├── mkTestbench_Boolify4.final-state.expected │ │ │ └── mkTestbench_Boolify4.v.out.expected │ │ ├── CGetPut │ │ │ ├── CGetPut.exp │ │ │ ├── Makefile │ │ │ ├── MkCClientServer.bsv │ │ │ ├── MkCGetCPut.bsv │ │ │ ├── MkCGetPut.bsv │ │ │ ├── mkTestbench_MkCClientServer.out.expected │ │ │ ├── mkTestbench_MkCClientServer.v.out.expected │ │ │ ├── mkTestbench_MkCGetCPut.out.expected │ │ │ ├── mkTestbench_MkCGetCPut.v.out.expected │ │ │ ├── mkTestbench_MkCGetPut.out.expected │ │ │ └── mkTestbench_MkCGetPut.v.out.expected │ │ ├── ClientServer │ │ │ ├── ClientServer.exp │ │ │ ├── JoinServers.bsv │ │ │ ├── JoinServersBC.bsv │ │ │ ├── Makefile │ │ │ ├── MkRequestBuffer.bsv │ │ │ ├── MkRequestResponseBuffer.bsv │ │ │ ├── MkRequestResponseBuffer1.bsv │ │ │ ├── MkRequestResponseBuffer_1.bsv │ │ │ ├── MkResponseBuffer.bsv │ │ │ ├── MkSizedRequestResponseBuffer.bsv │ │ │ ├── SplitServer.bsv │ │ │ ├── mkTestbench_JoinServers.final-state.expected │ │ │ ├── mkTestbench_JoinServers.v.out.expected │ │ │ ├── mkTestbench_JoinServersBC.final-state.expected │ │ │ ├── mkTestbench_JoinServersBC.v.out.expected │ │ │ ├── mkTestbench_MkRequestBuffer.final-state.expected │ │ │ ├── mkTestbench_MkRequestBuffer.v.out.expected │ │ │ ├── mkTestbench_MkRequestResponseBuffer.final-state.expected │ │ │ ├── mkTestbench_MkRequestResponseBuffer.v.out.expected │ │ │ ├── mkTestbench_MkRequestResponseBuffer1.final-state.expected │ │ │ ├── mkTestbench_MkRequestResponseBuffer1.v.out.expected │ │ │ ├── mkTestbench_MkRequestResponseBuffer_1.final-state.expected │ │ │ ├── mkTestbench_MkResponseBuffer.final-state.expected │ │ │ ├── mkTestbench_MkResponseBuffer.v.out.expected │ │ │ ├── mkTestbench_MkSizedRequestResponseBuffer.final-state.expected │ │ │ ├── mkTestbench_MkSizedRequestResponseBuffer.v.out.expected │ │ │ ├── mkTestbench_SplitServer.final-state.expected │ │ │ └── mkTestbench_SplitServer.v.out.expected │ │ ├── CompletionBuffer │ │ │ ├── CompletionBuffer.exp │ │ │ ├── Makefile │ │ │ ├── MkCompletionBuffer.bsv │ │ │ ├── input_file │ │ │ ├── mkTestbench_MkCompletionBuffer.final-state.expected │ │ │ └── mkTestbench_MkCompletionBuffer.v.out.expected │ │ ├── ConfigReg │ │ │ ├── ConfigReg.exp │ │ │ ├── Makefile │ │ │ ├── MkConfigReg.bsv │ │ │ ├── MkConfigRegU.bsv │ │ │ ├── mkTestbench_MkConfigReg.out.expected │ │ │ └── mkTestbench_MkConfigRegU.out.expected │ │ ├── Connectable │ │ │ ├── Connectable.exp │ │ │ ├── Makefile │ │ │ ├── MkConnection.bsv │ │ │ ├── mkTestbench_MkConnection.final-state.expected │ │ │ └── mkTestbench_MkConnection.v.out.expected │ │ ├── Enum │ │ │ ├── Enum.exp │ │ │ ├── EnumAll.bsv │ │ │ ├── EnumFromTo.bsv │ │ │ ├── Makefile │ │ │ ├── mkTestbench_EnumAll.final-state.expected │ │ │ ├── mkTestbench_EnumAll.v.out.expected │ │ │ ├── mkTestbench_EnumFromTo.final-state.expected │ │ │ └── mkTestbench_EnumFromTo.v.out.expected │ │ ├── Environment │ │ │ ├── Env.bsv │ │ │ ├── Env2.bsv │ │ │ ├── Env3.bsv │ │ │ ├── Env4.bsv │ │ │ ├── Environment.exp │ │ │ ├── Makefile │ │ │ ├── mkTestbench_Env.final-state.expected │ │ │ ├── mkTestbench_Env.v.out.expected │ │ │ ├── mkTestbench_Env2.c.out.expected │ │ │ ├── mkTestbench_Env2.final-state.expected │ │ │ └── mkTestbench_Env2.v.out.expected │ │ ├── EqFunction │ │ │ ├── EqFunction.exp │ │ │ ├── EqTest.bsv │ │ │ ├── Makefile │ │ │ ├── mkTestbench_EqTest.final-state.expected │ │ │ └── mkTestbench_EqTest.v.out.expected │ │ ├── FiFo │ │ │ ├── FiFo.exp │ │ │ ├── Makefile │ │ │ ├── MkFifo.bsv │ │ │ ├── MkFifo1.bsv │ │ │ ├── MkLFifo.bsv │ │ │ ├── MkSizedFifo.bsv │ │ │ ├── mkTestbench_MkFifo.final-state.expected │ │ │ ├── mkTestbench_MkFifo.v.out.expected │ │ │ ├── mkTestbench_MkFifo1.final-state.expected │ │ │ ├── mkTestbench_MkFifo1.v.out.expected │ │ │ ├── mkTestbench_MkLFifo.out.expected │ │ │ ├── mkTestbench_MkLFifo.v.out.expected │ │ │ ├── mkTestbench_MkSizedFifo.final-state.expected │ │ │ └── mkTestbench_MkSizedFifo.v.out.expected │ │ ├── FiFoF │ │ │ ├── FiFoF.exp │ │ │ ├── Makefile │ │ │ ├── MkFifof.bsv │ │ │ ├── MkFifof1.bsv │ │ │ ├── MkLFifof.bsv │ │ │ ├── MkSizedFifof.bsv │ │ │ ├── mkTestbench_MkFifof.final-state.expected │ │ │ ├── mkTestbench_MkFifof.v.out.expected │ │ │ ├── mkTestbench_MkFifof1.final-state.expected │ │ │ ├── mkTestbench_MkFifof1.v.out.expected │ │ │ ├── mkTestbench_MkLFifof.out.expected │ │ │ ├── mkTestbench_MkLFifof.v.out.expected │ │ │ ├── mkTestbench_MkSizedFifof.final-state.expected │ │ │ └── mkTestbench_MkSizedFifof.v.out.expected │ │ ├── GetPut │ │ │ ├── FifoToGet.bsv │ │ │ ├── FifoToPut.bsv │ │ │ ├── GetPut.exp │ │ │ ├── Makefile │ │ │ ├── MkGPFIFO.bsv │ │ │ ├── MkGPFIFO1.bsv │ │ │ ├── MkGPSizedFIFO.bsv │ │ │ ├── MkGetPut.bsv │ │ │ ├── PeekGet.bsv │ │ │ ├── mkTestbench_FifoToGet.final-state.expected │ │ │ ├── mkTestbench_FifoToGet.v.out.expected │ │ │ ├── mkTestbench_FifoToPut.final-state.expected │ │ │ ├── mkTestbench_FifoToPut.v.out.expected │ │ │ ├── mkTestbench_MkGPFIFO.final-state.expected │ │ │ ├── mkTestbench_MkGPFIFO.v.out.expected │ │ │ ├── mkTestbench_MkGPFIFO1.final-state.expected │ │ │ ├── mkTestbench_MkGPFIFO1.v.out.expected │ │ │ ├── mkTestbench_MkGPSizedFIFO.final-state.expected │ │ │ ├── mkTestbench_MkGPSizedFIFO.v.out.expected │ │ │ ├── mkTestbench_MkGetPut.final-state.expected │ │ │ ├── mkTestbench_MkGetPut.v.out.expected │ │ │ ├── mkTestbench_PeekGet.final-state.expected │ │ │ └── mkTestbench_PeekGet.v.out.expected │ │ ├── LFSR │ │ │ ├── LFSR.exp │ │ │ ├── Makefile │ │ │ ├── MkFeedLFSR.bsv │ │ │ ├── MkLFSR_16.bsv │ │ │ ├── MkLFSR_32.bsv │ │ │ ├── MkLFSR_4.bsv │ │ │ ├── MkLFSR_8.bsv │ │ │ ├── MkPolyLFSR.bsv │ │ │ ├── mkTestbench_MkFeedLFSR.final-state.expected │ │ │ ├── mkTestbench_MkFeedLFSR.v.out.expected │ │ │ ├── mkTestbench_MkLFSR_16.final-state.expected │ │ │ ├── mkTestbench_MkLFSR_16.v.out.expected │ │ │ ├── mkTestbench_MkLFSR_32.final-state.expected │ │ │ ├── mkTestbench_MkLFSR_32.v.out.expected │ │ │ ├── mkTestbench_MkLFSR_4.final-state.expected │ │ │ ├── mkTestbench_MkLFSR_4.v.out.expected │ │ │ ├── mkTestbench_MkLFSR_8.final-state.expected │ │ │ ├── mkTestbench_MkLFSR_8.v.out.expected │ │ │ ├── mkTestbench_MkPolyLFSR.final-state.expected │ │ │ └── mkTestbench_MkPolyLFSR.v.out.expected │ │ ├── List │ │ │ ├── All.bsv │ │ │ ├── And.bsv │ │ │ ├── And.bsv.bsc-ccomp-out.expected │ │ │ ├── Any.bsv │ │ │ ├── Append.bsv │ │ │ ├── Concat.bsv │ │ │ ├── Drop.bsv │ │ │ ├── DropWhile.bsv │ │ │ ├── DropWhileRev.bsv │ │ │ ├── Elem.bsv │ │ │ ├── Equality.bsv │ │ │ ├── Filter.bsv │ │ │ ├── Fold.bsv │ │ │ ├── Foldl.bsv │ │ │ ├── Foldl1.bsv │ │ │ ├── Foldn.bsv │ │ │ ├── Foldr.bsv │ │ │ ├── Foldr1.bsv │ │ │ ├── Head.bsv │ │ │ ├── Init.bsv │ │ │ ├── JoinActions.bsv │ │ │ ├── JoinRules.bsv │ │ │ ├── Last.bsv │ │ │ ├── Length.bsv │ │ │ ├── List.exp │ │ │ ├── Makefile │ │ │ ├── Map.bsv │ │ │ ├── MapAccumL.bsv │ │ │ ├── MapAccumR.bsv │ │ │ ├── MapPairs.bsv │ │ │ ├── Mapn.bsv │ │ │ ├── Null.bsv │ │ │ ├── Null.bsv.bsc-ccomp-out.expected │ │ │ ├── OneHotSelect.bsv │ │ │ ├── Or.bsv │ │ │ ├── Or.bsv.bsc-ccomp-out.expected │ │ │ ├── PrimSelectability.bsv │ │ │ ├── Replicate.bsv │ │ │ ├── Reverse.bsv │ │ │ ├── Rotate.bsv │ │ │ ├── RotateR.bsv │ │ │ ├── Scanl.bsv │ │ │ ├── Scanr.bsv │ │ │ ├── Select.bsv │ │ │ ├── Sscanl.bsv │ │ │ ├── Sscanr.bsv │ │ │ ├── Tail.bsv │ │ │ ├── Take.bsv │ │ │ ├── TakeWhile.bsv │ │ │ ├── TakeWhileRev.bsv │ │ │ ├── Transpose.bsv │ │ │ ├── Unzip.bsv │ │ │ ├── Update.bsv │ │ │ ├── Upto.bsv │ │ │ ├── Zip1.bsv │ │ │ ├── Zip2.bsv │ │ │ ├── Zip3.bsv │ │ │ ├── Zip4.bsv │ │ │ ├── ZipWith.bsv │ │ │ ├── ZipWith3.bsv │ │ │ ├── ZipWith4.bsv │ │ │ ├── mkTestbench_All.out.expected │ │ │ ├── mkTestbench_Any.out.expected │ │ │ ├── mkTestbench_Append.out.expected │ │ │ ├── mkTestbench_Concat.out.expected │ │ │ ├── mkTestbench_Drop.out.expected │ │ │ ├── mkTestbench_DropWhile.out.expected │ │ │ ├── mkTestbench_DropWhileRev.out.expected │ │ │ ├── mkTestbench_Elem.out.expected │ │ │ ├── mkTestbench_Equality.out.expected │ │ │ ├── mkTestbench_Filter.out.expected │ │ │ ├── mkTestbench_Fold.out.expected │ │ │ ├── mkTestbench_Foldl.out.expected │ │ │ ├── mkTestbench_Foldl1.out.expected │ │ │ ├── mkTestbench_Foldn.out.expected │ │ │ ├── mkTestbench_Foldr.out.expected │ │ │ ├── mkTestbench_Foldr1.out.expected │ │ │ ├── mkTestbench_Head.out.expected │ │ │ ├── mkTestbench_Init.out.expected │ │ │ ├── mkTestbench_JoinActions.out.expected │ │ │ ├── mkTestbench_JoinRules.out.expected │ │ │ ├── mkTestbench_Last.out.expected │ │ │ ├── mkTestbench_Length.out.expected │ │ │ ├── mkTestbench_Map.out.expected │ │ │ ├── mkTestbench_MapAccumL.out.expected │ │ │ ├── mkTestbench_MapAccumR.out.expected │ │ │ ├── mkTestbench_MapPairs.out.expected │ │ │ ├── mkTestbench_Mapn.out.expected │ │ │ ├── mkTestbench_PrimSelectability.out.expected │ │ │ ├── mkTestbench_Replicate.out.expected │ │ │ ├── mkTestbench_Reverse.out.expected │ │ │ ├── mkTestbench_Rotate.out.expected │ │ │ ├── mkTestbench_RotateR.out.expected │ │ │ ├── mkTestbench_Scanl.out.expected │ │ │ ├── mkTestbench_Scanr.out.expected │ │ │ ├── mkTestbench_Select.out.expected │ │ │ ├── mkTestbench_Sscanl.out.expected │ │ │ ├── mkTestbench_Sscanr.out.expected │ │ │ ├── mkTestbench_Tail.out.expected │ │ │ ├── mkTestbench_Take.out.expected │ │ │ ├── mkTestbench_TakeWhile.out.expected │ │ │ ├── mkTestbench_TakeWhileRev.out.expected │ │ │ ├── mkTestbench_Transpose.out.expected │ │ │ ├── mkTestbench_Unzip.out.expected │ │ │ ├── mkTestbench_Update.out.expected │ │ │ ├── mkTestbench_Upto.out.expected │ │ │ ├── mkTestbench_Zip1.out.expected │ │ │ ├── mkTestbench_Zip2.out.expected │ │ │ ├── mkTestbench_Zip3.out.expected │ │ │ ├── mkTestbench_Zip4.out.expected │ │ │ ├── mkTestbench_ZipWith.out.expected │ │ │ ├── mkTestbench_ZipWith3.out.expected │ │ │ └── mkTestbench_ZipWith4.out.expected │ │ ├── ListFIFO │ │ │ ├── ListFIFO.exp │ │ │ ├── Makefile │ │ │ ├── MkListFifo.bsv │ │ │ ├── mkTestbench_MkListFifo.final-state.expected │ │ │ └── mkTestbench_MkListFifo.v.out.expected │ │ ├── ListN │ │ │ ├── All.bsv │ │ │ ├── Any.bsv │ │ │ ├── Append.bsv │ │ │ ├── Concat.bsv │ │ │ ├── Elem.bsv │ │ │ ├── Equality.bsv │ │ │ ├── Fold.bsv │ │ │ ├── FoldM.bsv │ │ │ ├── Foldl.bsv │ │ │ ├── Foldl1.bsv │ │ │ ├── FoldlM.bsv │ │ │ ├── Foldr.bsv │ │ │ ├── Foldr1.bsv │ │ │ ├── FoldrM.bsv │ │ │ ├── GenList.bsv │ │ │ ├── GenWith.bsv │ │ │ ├── GenWithM.bsv │ │ │ ├── Head.bsv │ │ │ ├── Init.bsv │ │ │ ├── Last.bsv │ │ │ ├── ListN.exp │ │ │ ├── Makefile │ │ │ ├── Map.bsv │ │ │ ├── MapAccumL.bsv │ │ │ ├── MapAccumR.bsv │ │ │ ├── MapM.bsv │ │ │ ├── MapM_.bsv │ │ │ ├── MapPairs.bsv │ │ │ ├── Replicate.bsv │ │ │ ├── ReplicateM.bsv │ │ │ ├── Reverse.bsv │ │ │ ├── Rotate.bsv │ │ │ ├── RotateR.bsv │ │ │ ├── Scanl.bsv │ │ │ ├── Scanr.bsv │ │ │ ├── Select.bsv │ │ │ ├── Sequence.bsv │ │ │ ├── Sequence.bsv.bsc-ccomp-out.expected │ │ │ ├── Sscanl.bsv │ │ │ ├── Sscanr.bsv │ │ │ ├── Tail.bsv │ │ │ ├── Take.bsv │ │ │ ├── ToList.bsv │ │ │ ├── ToListN.bsv │ │ │ ├── Transpose.bsv │ │ │ ├── TransposeLN.bsv │ │ │ ├── UnZip.bsv │ │ │ ├── Update.bsv │ │ │ ├── Zip.bsv │ │ │ ├── Zip3.bsv │ │ │ ├── Zip4.bsv │ │ │ ├── ZipAny.bsv │ │ │ ├── ZipWith.bsv │ │ │ ├── ZipWith3.bsv │ │ │ ├── ZipWith3M.bsv │ │ │ ├── ZipWithAny.bsv │ │ │ ├── ZipWithAny3.bsv │ │ │ ├── ZipWithM.bsv │ │ │ ├── ZipWithM_.bsv │ │ │ ├── mkTestbench_All.out.expected │ │ │ ├── mkTestbench_Any.out.expected │ │ │ ├── mkTestbench_Append.out.expected │ │ │ ├── mkTestbench_Concat.out.expected │ │ │ ├── mkTestbench_Elem.out.expected │ │ │ ├── mkTestbench_Equality.out.expected │ │ │ ├── mkTestbench_Fold.out.expected │ │ │ ├── mkTestbench_FoldM.out.expected │ │ │ ├── mkTestbench_Foldl.out.expected │ │ │ ├── mkTestbench_Foldl1.out.expected │ │ │ ├── mkTestbench_FoldlM.out.expected │ │ │ ├── mkTestbench_Foldr.out.expected │ │ │ ├── mkTestbench_Foldr1.out.expected │ │ │ ├── mkTestbench_FoldrM.out.expected │ │ │ ├── mkTestbench_GenList.out.expected │ │ │ ├── mkTestbench_GenWith.out.expected │ │ │ ├── mkTestbench_GenWithM.out.expected │ │ │ ├── mkTestbench_Head.out.expected │ │ │ ├── mkTestbench_Init.out.expected │ │ │ ├── mkTestbench_Last.out.expected │ │ │ ├── mkTestbench_Map.out.expected │ │ │ ├── mkTestbench_MapAccumL.out.expected │ │ │ ├── mkTestbench_MapAccumR.out.expected │ │ │ ├── mkTestbench_MapM.out.expected │ │ │ ├── mkTestbench_MapM_.out.expected │ │ │ ├── mkTestbench_MapPairs.out.expected │ │ │ ├── mkTestbench_Replicate.out.expected │ │ │ ├── mkTestbench_ReplicateM.out.expected │ │ │ ├── mkTestbench_Reverse.out.expected │ │ │ ├── mkTestbench_Rotate.out.expected │ │ │ ├── mkTestbench_RotateR.out.expected │ │ │ ├── mkTestbench_Scanl.out.expected │ │ │ ├── mkTestbench_Scanr.out.expected │ │ │ ├── mkTestbench_Select.out.expected │ │ │ ├── mkTestbench_Sscanl.out.expected │ │ │ ├── mkTestbench_Sscanr.out.expected │ │ │ ├── mkTestbench_Tail.out.expected │ │ │ ├── mkTestbench_Take.out.expected │ │ │ ├── mkTestbench_ToList.out.expected │ │ │ ├── mkTestbench_ToListN.out.expected │ │ │ ├── mkTestbench_Transpose.out.expected │ │ │ ├── mkTestbench_TransposeLN.out.expected │ │ │ ├── mkTestbench_UnZip.out.expected │ │ │ ├── mkTestbench_Update.out.expected │ │ │ ├── mkTestbench_Zip.out.expected │ │ │ ├── mkTestbench_Zip3.out.expected │ │ │ ├── mkTestbench_Zip4.out.expected │ │ │ ├── mkTestbench_ZipAny.out.expected │ │ │ ├── mkTestbench_ZipWith.out.expected │ │ │ ├── mkTestbench_ZipWith3.out.expected │ │ │ ├── mkTestbench_ZipWith3M.out.expected │ │ │ ├── mkTestbench_ZipWithAny.out.expected │ │ │ ├── mkTestbench_ZipWithAny3.out.expected │ │ │ ├── mkTestbench_ZipWithM.out.expected │ │ │ └── mkTestbench_ZipWithM_.out.expected │ │ ├── ListReg │ │ │ ├── ListReg.exp │ │ │ ├── Makefile │ │ │ ├── MkListReg.bsv │ │ │ ├── mkTestbench_MkListReg.final-state.expected │ │ │ └── mkTestbench_MkListReg.v.out.expected │ │ ├── Makefile │ │ ├── Oint │ │ │ ├── Makefile │ │ │ ├── MkOInt.bsv │ │ │ ├── MkOInt1.bsv │ │ │ ├── Oint.exp │ │ │ ├── mkTestbench_MkOInt.final-state.expected │ │ │ ├── mkTestbench_MkOInt.v.out.expected │ │ │ ├── mkTestbench_MkOInt1.final-state.expected │ │ │ └── mkTestbench_MkOInt1.v.out.expected │ │ ├── PopCount │ │ │ ├── Makefile │ │ │ ├── PopCount.exp │ │ │ ├── PopCountNaive.bsv │ │ │ ├── PopCountTable.bsv │ │ │ ├── PopCountTableTree.bsv │ │ │ ├── PopCountTableWallace.bsv │ │ │ ├── PopCountTree.bsv │ │ │ ├── PopCountWallace.bsv │ │ │ ├── mkTestbench_PopCountNaive.final-state.expected │ │ │ ├── mkTestbench_PopCountNaive.v.out.expected │ │ │ ├── mkTestbench_PopCountTable.final-state.expected │ │ │ ├── mkTestbench_PopCountTable.v.out.expected │ │ │ ├── mkTestbench_PopCountTableTree.final-state.expected │ │ │ ├── mkTestbench_PopCountTableTree.v.out.expected │ │ │ ├── mkTestbench_PopCountTableWallace.final-state.expected │ │ │ ├── mkTestbench_PopCountTableWallace.v.out.expected │ │ │ ├── mkTestbench_PopCountTree.final-state.expected │ │ │ ├── mkTestbench_PopCountTree.v.out.expected │ │ │ ├── mkTestbench_PopCountWallace.final-state.expected │ │ │ └── mkTestbench_PopCountWallace.v.out.expected │ │ ├── Pull │ │ │ ├── Apply.bsv │ │ │ ├── Bind.bsv │ │ │ ├── Bind.bsv.bsc-ccomp-out.expected │ │ │ ├── Buffer.bsv │ │ │ ├── Buffered.bsv │ │ │ ├── Makefile │ │ │ ├── Pass.bsv │ │ │ ├── Passed.bsv │ │ │ ├── Pipe.bsv │ │ │ ├── Pull.exp │ │ │ ├── Sink.bsv │ │ │ ├── Spew.bsv │ │ │ ├── Tee.bsv │ │ │ ├── mkTestbench_Apply.final-state.expected │ │ │ ├── mkTestbench_Apply.v.out.expected │ │ │ ├── mkTestbench_Buffer.final-state.expected │ │ │ ├── mkTestbench_Buffer.v.out.expected │ │ │ ├── mkTestbench_Buffered.final-state.expected │ │ │ ├── mkTestbench_Buffered.v.out.expected │ │ │ ├── mkTestbench_Pass.final-state.expected │ │ │ ├── mkTestbench_Pass.v.out.expected │ │ │ ├── mkTestbench_Passed.final-state.expected │ │ │ ├── mkTestbench_Passed.v.out.expected │ │ │ ├── mkTestbench_Pipe.final-state.expected │ │ │ ├── mkTestbench_Pipe.v.out.expected │ │ │ ├── mkTestbench_Tee.final-state.expected │ │ │ └── mkTestbench_Tee.v.out.expected │ │ ├── Push │ │ │ ├── Apply.bsv │ │ │ ├── Buffer.bsv │ │ │ ├── Buffered.bsv │ │ │ ├── FifoToPush.bsv │ │ │ ├── Makefile │ │ │ ├── Pass.bsv │ │ │ ├── Passed.bsv │ │ │ ├── Pipe.bsv │ │ │ ├── Push.exp │ │ │ ├── Qbuffer.bsv │ │ │ ├── Qbuffered.bsv │ │ │ ├── RegToPush.bsv │ │ │ ├── Sink.bsv │ │ │ ├── Spew.bsv │ │ │ ├── Tee.bsv │ │ │ ├── mkTestbench_Apply.out.expected │ │ │ ├── mkTestbench_Buffer.out.expected │ │ │ ├── mkTestbench_Buffered.out.expected │ │ │ ├── mkTestbench_FifoToPush.out.expected │ │ │ ├── mkTestbench_Pass.out.expected │ │ │ ├── mkTestbench_Passed.out.expected │ │ │ ├── mkTestbench_Pipe.out.expected │ │ │ ├── mkTestbench_Qbuffer.out.expected │ │ │ ├── mkTestbench_Qbuffered.out.expected │ │ │ ├── mkTestbench_RegToPush.out.expected │ │ │ ├── mkTestbench_Sink.out.expected │ │ │ ├── mkTestbench_Spew.out.expected │ │ │ └── mkTestbench_Tee.out.expected │ │ ├── RAM │ │ │ ├── Makefile │ │ │ ├── RAM.exp │ │ │ ├── TagRam.bsv │ │ │ ├── TestRAM.bsv │ │ │ ├── mkTestbench_Ram.final-state.expected │ │ │ ├── mkTestbench_Ram.v.out.expected │ │ │ ├── mkTestbench_TagRam.final-state.expected │ │ │ └── mkTestbench_TagRam.v.out.expected │ │ ├── RPush │ │ │ ├── Apply.bsv │ │ │ ├── Buffer.bsv │ │ │ ├── Buffered.bsv │ │ │ ├── FifoToRPush.bsv │ │ │ ├── Makefile │ │ │ ├── Pass.bsv │ │ │ ├── Passed.bsv │ │ │ ├── Pipe.bsv │ │ │ ├── RPush.exp │ │ │ ├── Sink.bsv │ │ │ ├── Spew.bsv │ │ │ ├── Tee.bsv │ │ │ ├── mkTestbench_Apply.final-state.expected │ │ │ ├── mkTestbench_Apply.v.out.expected │ │ │ ├── mkTestbench_Buffer.final-state.expected │ │ │ ├── mkTestbench_Buffer.v.out.expected │ │ │ ├── mkTestbench_Buffered.final-state.expected │ │ │ ├── mkTestbench_Buffered.v.out.expected │ │ │ ├── mkTestbench_FifoToRPush.final-state.expected │ │ │ ├── mkTestbench_FifoToRPush.v.out.expected │ │ │ ├── mkTestbench_Pass.final-state.expected │ │ │ ├── mkTestbench_Pass.v.out.expected │ │ │ ├── mkTestbench_Passed.final-state.expected │ │ │ ├── mkTestbench_Passed.v.out.expected │ │ │ ├── mkTestbench_Pipe.final-state.expected │ │ │ ├── mkTestbench_Pipe.v.out.expected │ │ │ ├── mkTestbench_Tee.final-state.expected │ │ │ └── mkTestbench_Tee.v.out.expected │ │ ├── Reserved │ │ │ ├── Makefile │ │ │ ├── Reserved.exp │ │ │ ├── Reserved_test.bsv │ │ │ ├── mkTestbench_Reserved_test.final-state.expected │ │ │ └── mkTestbench_Reserved_test.v.out.expected │ │ ├── SRAM │ │ │ ├── Makefile │ │ │ ├── MkWrapSRAM.bsv │ │ │ ├── MkWrapSTRAM.bsv │ │ │ ├── Precedence.bs │ │ │ ├── RRSPSRAM_65536_16_bussed.v │ │ │ ├── SRAM.exp │ │ │ ├── mkTestbench_MkWrapSRAM.final-state.expected │ │ │ ├── mkTestbench_MkWrapSRAM.v.out.expected │ │ │ ├── mkTestbench_MkWrapSTRAM.final-state.expected │ │ │ └── mkTestbench_MkWrapSTRAM.v.out.expected │ │ ├── SRAMFile │ │ │ ├── EqPrecedence.bs │ │ │ ├── Makefile │ │ │ ├── MkSRAMFile.bsv │ │ │ ├── Precedence.bs │ │ │ ├── SRAMFile.exp │ │ │ ├── input_file │ │ │ ├── input_file1 │ │ │ ├── mkTestbench_MkSRAMFile.final-state.expected │ │ │ └── mkTestbench_MkSRAMFile.v.out.expected │ │ ├── SyncRAM │ │ │ ├── Makefile │ │ │ ├── Precedence.bs │ │ │ ├── RRSPSRAM_65536_16_bussed.v │ │ │ ├── SyncRAM.exp │ │ │ ├── TestSPSRAM.bsv │ │ │ ├── mkTestbench_SPSRam.final-state.expected │ │ │ └── mkTestbench_SPSRam.v.out.expected │ │ ├── Tabulate │ │ │ ├── Makefile │ │ │ ├── Tabulate.exp │ │ │ ├── Tabulate1.bsv │ │ │ ├── Tabulate2.bsv │ │ │ ├── Tabulate3.bsv │ │ │ ├── mkTestbench_Tabulate1.final-state.expected │ │ │ ├── mkTestbench_Tabulate1.v.out.expected │ │ │ ├── mkTestbench_Tabulate2.final-state.expected │ │ │ ├── mkTestbench_Tabulate2.v.out.expected │ │ │ ├── mkTestbench_Tabulate3.final-state.expected │ │ │ └── mkTestbench_Tabulate3.v.out.expected │ │ ├── UIntRange │ │ │ ├── Decr.bsv │ │ │ ├── Incr.bsv │ │ │ ├── Makefile │ │ │ ├── UIntRange.exp │ │ │ ├── mkTestbench_Decr.final-state.expected │ │ │ ├── mkTestbench_Decr.v.out.expected │ │ │ ├── mkTestbench_Incr.final-state.expected │ │ │ └── mkTestbench_Incr.v.out.expected │ │ ├── Vector │ │ │ ├── All.bsv │ │ │ ├── Any.bsv │ │ │ ├── Append.bsv │ │ │ ├── Concat.bsv │ │ │ ├── Elem.bsv │ │ │ ├── Equality.bsv │ │ │ ├── Fold.bsv │ │ │ ├── FoldM.bsv │ │ │ ├── FoldOrder.bsv │ │ │ ├── Foldl.bsv │ │ │ ├── Foldl1.bsv │ │ │ ├── FoldlM.bsv │ │ │ ├── Foldr.bsv │ │ │ ├── Foldr1.bsv │ │ │ ├── FoldrM.bsv │ │ │ ├── GenList.bsv │ │ │ ├── GenWith.bsv │ │ │ ├── GenWithM.bsv │ │ │ ├── Head.bsv │ │ │ ├── Init.bsv │ │ │ ├── Last.bsv │ │ │ ├── Makefile │ │ │ ├── Map.bsv │ │ │ ├── MapAccumL.bsv │ │ │ ├── MapAccumR.bsv │ │ │ ├── MapM.bsv │ │ │ ├── MapM_.bsv │ │ │ ├── MapPairs.bsv │ │ │ ├── Replicate.bsv │ │ │ ├── ReplicateM.bsv │ │ │ ├── Reverse.bsv │ │ │ ├── Rotate.bsv │ │ │ ├── RotateR.bsv │ │ │ ├── Scanl.bsv │ │ │ ├── Scanr.bsv │ │ │ ├── Select.bsv │ │ │ ├── Sequence.bsv │ │ │ ├── Sequence.bsv.bsc-ccomp-out.expected │ │ │ ├── Sscanl.bsv │ │ │ ├── Sscanr.bsv │ │ │ ├── Tail.bsv │ │ │ ├── Take.bsv │ │ │ ├── ToList.bsv │ │ │ ├── ToListN.bsv │ │ │ ├── Transpose.bsv │ │ │ ├── TransposeLN.bsv │ │ │ ├── UnZip.bsv │ │ │ ├── Update.bsv │ │ │ ├── Vector.exp │ │ │ ├── Zip.bsv │ │ │ ├── Zip3.bsv │ │ │ ├── Zip4.bsv │ │ │ ├── ZipAny.bsv │ │ │ ├── ZipWith.bsv │ │ │ ├── ZipWith3.bsv │ │ │ ├── ZipWith3M.bsv │ │ │ ├── ZipWithAny.bsv │ │ │ ├── ZipWithAny3.bsv │ │ │ ├── ZipWithM.bsv │ │ │ ├── ZipWithM_.bsv │ │ │ ├── mkTestbench_All.out.expected │ │ │ ├── mkTestbench_Any.out.expected │ │ │ ├── mkTestbench_Append.out.expected │ │ │ ├── mkTestbench_Concat.out.expected │ │ │ ├── mkTestbench_Elem.out.expected │ │ │ ├── mkTestbench_Equality.out.expected │ │ │ ├── mkTestbench_Fold.out.expected │ │ │ ├── mkTestbench_FoldM.out.expected │ │ │ ├── mkTestbench_FoldOrder.out.expected │ │ │ ├── mkTestbench_Foldl.out.expected │ │ │ ├── mkTestbench_Foldl1.out.expected │ │ │ ├── mkTestbench_FoldlM.out.expected │ │ │ ├── mkTestbench_Foldr.out.expected │ │ │ ├── mkTestbench_Foldr1.out.expected │ │ │ ├── mkTestbench_FoldrM.out.expected │ │ │ ├── mkTestbench_GenList.out.expected │ │ │ ├── mkTestbench_GenWith.out.expected │ │ │ ├── mkTestbench_GenWithM.out.expected │ │ │ ├── mkTestbench_Head.out.expected │ │ │ ├── mkTestbench_Init.out.expected │ │ │ ├── mkTestbench_Last.out.expected │ │ │ ├── mkTestbench_Map.out.expected │ │ │ ├── mkTestbench_MapAccumL.out.expected │ │ │ ├── mkTestbench_MapAccumR.out.expected │ │ │ ├── mkTestbench_MapM.out.expected │ │ │ ├── mkTestbench_MapM_.out.expected │ │ │ ├── mkTestbench_MapPairs.out.expected │ │ │ ├── mkTestbench_Replicate.out.expected │ │ │ ├── mkTestbench_ReplicateM.out.expected │ │ │ ├── mkTestbench_Reverse.out.expected │ │ │ ├── mkTestbench_Rotate.out.expected │ │ │ ├── mkTestbench_RotateR.out.expected │ │ │ ├── mkTestbench_Scanl.out.expected │ │ │ ├── mkTestbench_Scanr.out.expected │ │ │ ├── mkTestbench_Select.out.expected │ │ │ ├── mkTestbench_Sscanl.out.expected │ │ │ ├── mkTestbench_Sscanr.out.expected │ │ │ ├── mkTestbench_Tail.out.expected │ │ │ ├── mkTestbench_Take.out.expected │ │ │ ├── mkTestbench_ToList.out.expected │ │ │ ├── mkTestbench_ToListN.out.expected │ │ │ ├── mkTestbench_Transpose.out.expected │ │ │ ├── mkTestbench_TransposeLN.out.expected │ │ │ ├── mkTestbench_UnZip.out.expected │ │ │ ├── mkTestbench_Update.out.expected │ │ │ ├── mkTestbench_Zip.out.expected │ │ │ ├── mkTestbench_Zip3.out.expected │ │ │ ├── mkTestbench_Zip4.out.expected │ │ │ ├── mkTestbench_ZipAny.out.expected │ │ │ ├── mkTestbench_ZipWith.out.expected │ │ │ ├── mkTestbench_ZipWith3.out.expected │ │ │ ├── mkTestbench_ZipWith3M.out.expected │ │ │ ├── mkTestbench_ZipWithAny.out.expected │ │ │ ├── mkTestbench_ZipWithAny3.out.expected │ │ │ ├── mkTestbench_ZipWithM.out.expected │ │ │ └── mkTestbench_ZipWithM_.out.expected │ │ └── Wallace │ │ │ ├── Makefile │ │ │ ├── Wallace.exp │ │ │ ├── WallaceAdd.bsv │ │ │ ├── WallaceAddBags.bsv │ │ │ ├── mkTestbench_WallaceAdd.final-state.expected │ │ │ ├── mkTestbench_WallaceAdd.v.out.expected │ │ │ ├── mkTestbench_WallaceAddBags.final-state.expected │ │ │ └── mkTestbench_WallaceAddBags.v.out.expected │ ├── messages │ │ ├── EAmbOper │ │ │ ├── EAmbOper.bs │ │ │ ├── EAmbOper.bs.bsc-out.expected │ │ │ ├── EAmbOper.exp │ │ │ └── Makefile │ │ ├── EArbitrate │ │ │ ├── EArbitrate.exp │ │ │ ├── Makefile │ │ │ ├── ResourceTwoRules.bs │ │ │ └── ResourceTwoRules.bs.bsc-vcomp-out.expected │ │ ├── EBadExport │ │ │ ├── EBadExport.exp │ │ │ ├── EBadExport1.bs │ │ │ ├── EBadExport1.bs.bsc-out.expected │ │ │ ├── EBadExport2.bs │ │ │ ├── EBadExport2.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EBadIfcType │ │ │ ├── EBadIfcType.exp │ │ │ ├── EBadIfcType_context.bs │ │ │ ├── EBadIfcType_context.bs.bsc-vcomp-out.expected │ │ │ ├── EBadIfcType_field.bs │ │ │ ├── EBadIfcType_field.bs.bsc-vcomp-out.expected │ │ │ ├── EBadIfcType_interface.bs │ │ │ ├── EBadIfcType_interface.bs.bsc-vcomp-out.expected │ │ │ ├── EBadIfcType_module.bs │ │ │ ├── EBadIfcType_module.bs.bsc-vcomp-out.expected │ │ │ ├── EBadIfcType_polymorphic.bs │ │ │ ├── EBadIfcType_polymorphic.bs.bsc-vcomp-out.expected │ │ │ └── Makefile │ │ ├── EBadLexChar │ │ │ ├── EBadLexChar.exp │ │ │ ├── EBadLexChar1.bs │ │ │ ├── EBadLexChar1.bs.bsc-out.expected │ │ │ ├── EBadLexChar2.bs │ │ │ ├── EBadLexChar2.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EBadMatch │ │ │ ├── EBadMatch.exp │ │ │ ├── EBadMatch1.bs │ │ │ ├── EBadMatch1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EBadModuleInterface │ │ │ ├── EBadModuleInterface.bs │ │ │ ├── EBadModuleInterface.bs.bsc-out.expected │ │ │ ├── EBadModuleInterface.exp │ │ │ ├── EBadModuleInterface1.bs │ │ │ ├── EBadModuleInterface1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EBadStringLit │ │ │ ├── EBadStringLit.bs │ │ │ ├── EBadStringLit.bs.bsc-out.expected │ │ │ ├── EBadStringLit.exp │ │ │ ├── EBadStringLit2.bs │ │ │ ├── EBadStringLit2.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EBadVeriType │ │ │ ├── EBadVeriType.exp │ │ │ ├── Fib.bs │ │ │ ├── Fib.bs.bsc-vcomp-out.expected │ │ │ └── Makefile │ │ ├── EBigLiteral │ │ │ ├── EBigLiteral.bs │ │ │ ├── EBigLiteral.bs.bsc-vcomp-out.expected │ │ │ ├── EBigLiteral.exp │ │ │ └── Makefile │ │ ├── EBitSel │ │ │ ├── EBitSel.bs │ │ │ ├── EBitSel.bs.bsc-vcomp-out.expected │ │ │ ├── EBitSel.exp │ │ │ └── Makefile │ │ ├── ECannotDerive │ │ │ ├── ECannotDerive.exp │ │ │ ├── ECannotDerive1.bs │ │ │ ├── ECannotDerive1.bs.bsc-out.expected │ │ │ ├── ECannotDerive2.bs │ │ │ ├── ECannotDerive2.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EConstrAmb │ │ │ ├── EConstrAmb.bs │ │ │ ├── EConstrAmb.bs.bsc-out.expected │ │ │ ├── EConstrAmb.exp │ │ │ └── Makefile │ │ ├── EContextReduction │ │ │ ├── EContextReduction.bs │ │ │ ├── EContextReduction.bs.bsc-out.expected │ │ │ ├── EContextReduction.exp │ │ │ ├── EContextReduction1.bs │ │ │ ├── EContextReduction1.bs.bsc-out.expected │ │ │ ├── EContextReduction2.bs │ │ │ ├── EContextReduction2.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EContextReductionVar │ │ │ ├── EContextReductionVar.bs │ │ │ ├── EContextReductionVar.bs.bsc-out.expected │ │ │ ├── EContextReductionVar.exp │ │ │ ├── EContextReductionVar1.bs │ │ │ ├── EContextReductionVar1.bs.bsc-out.expected │ │ │ ├── EContextReductionVar2.bs │ │ │ ├── EContextReductionVar2.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EDupField │ │ │ ├── EDupField.exp │ │ │ ├── EDupField1.bs │ │ │ ├── EDupField1.bs.bsc-out.expected │ │ │ ├── EDupField2.bs │ │ │ ├── EDupField2.bs.bsc-out.expected │ │ │ ├── EDupField3.bs │ │ │ ├── EDupField3.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EFieldAmb │ │ │ ├── EFieldAmb.bs │ │ │ ├── EFieldAmb.bs.bsc-out.expected │ │ │ ├── EFieldAmb.exp │ │ │ └── Makefile │ │ ├── EForeignNotBit │ │ │ ├── EForeignNotBit.bs │ │ │ ├── EForeignNotBit.bs.bsc-out.expected │ │ │ ├── EForeignNotBit.exp │ │ │ └── Makefile │ │ ├── EGeneric │ │ │ ├── EGeneric.bs │ │ │ ├── EGeneric.bs.bsc-vcomp-out.expected │ │ │ ├── EGeneric.exp │ │ │ └── Makefile │ │ ├── EHasImplicit │ │ │ ├── EHasImplicit.exp │ │ │ ├── EHasImplicit1.bs │ │ │ ├── EHasImplicit1.bs.bsc-vcomp-out.expected │ │ │ ├── EHasImplicit2.bs │ │ │ ├── EHasImplicit2.bs.bsc-vcomp-out.expected │ │ │ └── Makefile │ │ ├── EKindArg │ │ │ ├── EKindArg.bs │ │ │ ├── EKindArg.bs.bsc-out.expected │ │ │ ├── EKindArg.exp │ │ │ └── Makefile │ │ ├── ELocalRec │ │ │ ├── ELocalRec.bs │ │ │ ├── ELocalRec.bs.bsc-out.expected │ │ │ ├── ELocalRec.exp │ │ │ ├── ELocalRec1.bs │ │ │ ├── ELocalRec1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EMissingNL │ │ │ ├── EMissingNL.bs │ │ │ ├── EMissingNL.bs.bsc-out.expected │ │ │ ├── EMissingNL.exp │ │ │ └── Makefile │ │ ├── EModule_ │ │ │ ├── EModule_.bs │ │ │ ├── EModule_.bs.bsc-vcomp-out.expected │ │ │ ├── EModule_.exp │ │ │ ├── EModule_2.bs │ │ │ ├── EModule_2.bs.bsc-vcomp-out.expected │ │ │ └── Makefile │ │ ├── EMultipleDef │ │ │ ├── EMultipleDef.bs │ │ │ ├── EMultipleDef.bs.bsc-out.expected │ │ │ ├── EMultipleDef.exp │ │ │ ├── EMultipleDef1.bs │ │ │ ├── EMultipleDef1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── ENoNF │ │ │ ├── ENoNF.exp │ │ │ ├── ENoNF1.bs │ │ │ ├── ENoNF1.bs.bsc-vcomp-out.expected │ │ │ ├── ENoNF2.bs │ │ │ ├── ENoNF2.bs.bsc-vcomp-out.expected │ │ │ ├── ENoNF3.bs │ │ │ └── Makefile │ │ ├── ENoTypeSign │ │ │ ├── ENoTypeSign.bs │ │ │ ├── ENoTypeSign.bs.bsc-out.expected │ │ │ ├── ENoTypeSign.exp │ │ │ └── Makefile │ │ ├── ENotAlwaysReady │ │ │ ├── ENotAlwaysReady.bs │ │ │ ├── ENotAlwaysReady.bs.bsc-vcomp-out.expected │ │ │ ├── ENotAlwaysReady.exp │ │ │ └── Makefile │ │ ├── ENotAnInterface │ │ │ ├── ENotAnInterface.bs │ │ │ ├── ENotAnInterface.bs.bsc-out.expected │ │ │ ├── ENotAnInterface.exp │ │ │ └── Makefile │ │ ├── ENotExpr │ │ │ ├── ENotExpr.bs │ │ │ ├── ENotExpr.bs.bsc-out.expected │ │ │ ├── ENotExpr.exp │ │ │ └── Makefile │ │ ├── ENotField │ │ │ ├── ENotField.exp │ │ │ ├── ENotField1.bs │ │ │ ├── ENotField1.bs.bsc-out.expected │ │ │ ├── ENotField2.bs │ │ │ ├── ENotField2.bs.bsc-out.expected │ │ │ ├── ENotField3.bs │ │ │ ├── ENotField3.bs.bsc-out.expected │ │ │ ├── ENotField4.bs │ │ │ ├── ENotField4.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── ENotStruct │ │ │ ├── ENotStruct.exp │ │ │ ├── ENotStruct1.bs │ │ │ ├── ENotStruct1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── ENotStructId │ │ │ ├── ENotStructId.bs │ │ │ ├── ENotStructId.bs.bsc-out.expected │ │ │ ├── ENotStructId.exp │ │ │ └── Makefile │ │ ├── EPartialTypeApp │ │ │ ├── EPartialTypeApp.exp │ │ │ ├── EPartialTypeApp1.bs │ │ │ ├── EPartialTypeApp1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── ERTSHeapExhausted │ │ │ ├── ERTSHeapExhausted.exp │ │ │ ├── ERTSHeapExhausted1.bs │ │ │ ├── ERTSHeapExhausted1.bs.bsc-vcomp-out.expected │ │ │ └── Makefile │ │ ├── ERTSOutOfMemory │ │ │ ├── ERTSOutOfMemory.exp │ │ │ ├── ERTSOutOfMemory1.bs │ │ │ ├── ERTSOutOfMemory1.bs.bsc-vcomp-out.expected │ │ │ └── Makefile │ │ ├── ERTSStackOverflow │ │ │ ├── ERTSStackOverflow.exp │ │ │ ├── ERTSStackOverflow1.bs │ │ │ └── Makefile │ │ ├── EResources │ │ │ ├── .gitignore │ │ │ ├── EResources.bs │ │ │ ├── EResources.bs.bsc-vcomp-out.expected │ │ │ ├── EResources.exp │ │ │ └── Makefile │ │ ├── ERuleAssertion │ │ │ ├── ERuleAssertion.bs │ │ │ ├── ERuleAssertion.bs.bsc-vcomp-out.expected │ │ │ ├── ERuleAssertion.exp │ │ │ ├── ERuleAssertion2.bs │ │ │ ├── ERuleAssertion2.bs.bsc-vcomp-out.expected │ │ │ └── Makefile │ │ ├── EStmtContext │ │ │ ├── EStmtContext.exp │ │ │ ├── EStmtContext1.bs │ │ │ ├── EStmtContext1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EStringNF │ │ │ ├── EStringNF.bs │ │ │ ├── EStringNF.bs.bsc-vcomp-out.expected │ │ │ ├── EStringNF.exp │ │ │ └── Makefile │ │ ├── ESyntax │ │ │ ├── ESyntax.exp │ │ │ ├── ESyntax1.bs │ │ │ ├── ESyntax1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── ETooGeneral │ │ │ ├── ETooGeneral.bs │ │ │ ├── ETooGeneral.bs.bsc-out.expected │ │ │ ├── ETooGeneral.exp │ │ │ ├── ETooGeneral1.bs │ │ │ ├── ETooGeneral1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── ETooManySteps │ │ │ ├── ETooManySteps.bs │ │ │ ├── ETooManySteps.bs.bsc-vcomp-out.expected │ │ │ ├── ETooManySteps.exp │ │ │ └── Makefile │ │ ├── EUnboundClCon │ │ │ ├── EUnboundClCon.bs │ │ │ ├── EUnboundClCon.bs.bsc-out.expected │ │ │ ├── EUnboundClCon.exp │ │ │ └── Makefile │ │ ├── EUnboundCon │ │ │ ├── EUnboundCon.bs │ │ │ ├── EUnboundCon.bs.bsc-out.expected │ │ │ ├── EUnboundCon.exp │ │ │ ├── EUnboundCon1.bs │ │ │ ├── EUnboundCon1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EUnboundField │ │ │ ├── EUnboundField.bs │ │ │ ├── EUnboundField.bs.bsc-out.expected │ │ │ ├── EUnboundField.exp │ │ │ ├── EUnboundField1.bs │ │ │ ├── EUnboundField1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EUnboundTyCon │ │ │ ├── EUnboundTyCon.bs │ │ │ ├── EUnboundTyCon.bs.bsc-out.expected │ │ │ ├── EUnboundTyCon.exp │ │ │ ├── EUnboundTyCon1.bs │ │ │ ├── EUnboundTyCon1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EUnboundTyVar │ │ │ ├── EUnboundTyVar.exp │ │ │ ├── EUnboundTyVar1.bs │ │ │ ├── EUnboundTyVar1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EUnboundVar │ │ │ ├── EUnboundVar.bs │ │ │ ├── EUnboundVar.bs.bsc-out.expected │ │ │ ├── EUnboundVar.exp │ │ │ ├── EUnboundVar1.bs │ │ │ ├── EUnboundVar1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EUnify │ │ │ ├── EUnify.bs │ │ │ ├── EUnify.bs.bsc-out.expected │ │ │ ├── EUnify.exp │ │ │ ├── EUnify1.bs │ │ │ ├── EUnify1.bs.bsc-out.expected │ │ │ ├── EUnify2.bs │ │ │ └── Makefile │ │ ├── EUnifyKind │ │ │ ├── EUnifyKind.bs │ │ │ ├── EUnifyKind.bs.bsc-out.expected │ │ │ ├── EUnifyKind.exp │ │ │ ├── EUnifyKind1.bs │ │ │ ├── EUnifyKind1.bs.bsc-out.expected │ │ │ ├── EUnifyKind2.bs │ │ │ ├── EUnifyKind2.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EUnknownSize │ │ │ ├── EUnknownSize.bs │ │ │ ├── EUnknownSize.bs.bsc-out.expected │ │ │ ├── EUnknownSize.exp │ │ │ ├── EUnknownSize2.bs │ │ │ ├── EUnknownSize2.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EUntermComm │ │ │ ├── EUntermComm.exp │ │ │ ├── EUntermComm1.bs │ │ │ ├── EUntermComm1.bs.bsc-out.expected │ │ │ └── Makefile │ │ ├── EValueOf │ │ │ ├── EValueOf.bs │ │ │ ├── EValueOf.bs.bsc-out.expected │ │ │ ├── EValueOf.exp │ │ │ └── Makefile │ │ ├── EWeakContext │ │ │ ├── EWeakContext.bs │ │ │ ├── EWeakContext.bs.bsc-out.expected │ │ │ ├── EWeakContext.exp │ │ │ ├── EWeakContext2.bs │ │ │ ├── EWeakContext2.bsc-out.expected │ │ │ └── Makefile │ │ ├── EWrongArity │ │ │ ├── EWrongArity.bs │ │ │ ├── EWrongArity.bs.bsc-out.expected │ │ │ ├── EWrongArity.exp │ │ │ └── Makefile │ │ ├── Makefile │ │ ├── WCycleDrop │ │ │ ├── Makefile │ │ │ ├── WCycleDrop.exp │ │ │ ├── WCycleDrop1.bs │ │ │ └── WCycleDrop1.bs.bsc-vcomp-out.expected │ │ ├── WMissingField │ │ │ ├── Makefile │ │ │ ├── WMissingField.bs │ │ │ ├── WMissingField.bs.bsc-out.expected │ │ │ ├── WMissingField.exp │ │ │ ├── WMissingField1.bs │ │ │ └── WMissingField1.bs.bsc-out.expected │ │ ├── WMissingRule │ │ │ ├── Makefile │ │ │ ├── WMissingRule.exp │ │ │ ├── WMissingRule1.bs │ │ │ └── WMissingRule1.bs.bsc-vcomp-out.expected │ │ └── WUrgencyChoice │ │ │ ├── Makefile │ │ │ ├── WUrgencyChoice.exp │ │ │ ├── WUrgencyChoice1.bs │ │ │ ├── WUrgencyChoice1.bs.bsc-vcomp-out.expected │ │ │ ├── WUrgencyChoice2.bs │ │ │ └── WUrgencyChoice2.bs.bsc-vcomp-out.expected │ ├── operators │ │ ├── Arith │ │ │ ├── .gitignore │ │ │ ├── Dut.bsv │ │ │ ├── Input.bsv │ │ │ ├── Makefile │ │ │ ├── Testbench.bsv │ │ │ ├── arith.exp │ │ │ ├── arith.out.expected │ │ │ └── generate │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── bot_code │ │ │ │ ├── gen.pl │ │ │ │ ├── sort.pl │ │ │ │ └── top_code │ │ ├── BitSel │ │ │ ├── .gitignore │ │ │ ├── Dut.bsv │ │ │ ├── Input.bsv │ │ │ ├── Makefile │ │ │ ├── Testbench.bsv │ │ │ ├── bitsel.exp │ │ │ ├── bitsel.out.expected │ │ │ └── generate │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── bot_code │ │ │ │ ├── gen.pl │ │ │ │ ├── sort.pl │ │ │ │ └── top_code │ │ ├── Logic │ │ │ ├── .gitignore │ │ │ ├── Dut.bsv │ │ │ ├── Input.bsv │ │ │ ├── Makefile │ │ │ ├── Testbench.bsv │ │ │ ├── generate │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── bot_code │ │ │ │ ├── gen.pl │ │ │ │ ├── sort.pl │ │ │ │ └── top_code │ │ │ ├── logic.exp │ │ │ └── logic.out.expected │ │ ├── Makefile │ │ └── Operators.html │ ├── preprocessorTestcases │ │ ├── Makefile │ │ ├── Test_Plan_Preprocess_directives.txt │ │ ├── define │ │ │ ├── Define_BITVECTOR.bsv │ │ │ ├── Define_BITVECTOR.bsv.bsc-out.expected │ │ │ ├── Define_BOOLVALUE.bsv │ │ │ ├── Define_BOOLVALUE.bsv.bsc-out.expected │ │ │ ├── Define_CaseSen.bsv │ │ │ ├── Define_CaseSen.bsv.bsc-out.expected │ │ │ ├── Define_HavingMacroValueBool.bsv │ │ │ ├── Define_HavingMacroValueBool.bsv.bsc-out.expected │ │ │ ├── Define_IN_BITVECTOR_DECL.bsv │ │ │ ├── Define_IN_BITVECTOR_DECL.bsv.bsc-out.expected │ │ │ ├── Define_IN_FOR.bsv │ │ │ ├── Define_IN_FOR.bsv.bsc-out.expected │ │ │ ├── Define_IN_IF_STMT.bsv │ │ │ ├── Define_IN_IF_STMT.bsv.bsc-out.expected │ │ │ ├── Define_IN_TERNARY_STMT.bsv │ │ │ ├── Define_IN_TERNARY_STMT.bsv.bsc-out.expected │ │ │ ├── Define_IN_WHILE.bsv │ │ │ ├── Define_IN_WHILE.bsv.bsc-out.expected │ │ │ ├── Define_InsideFunction.bsv │ │ │ ├── Define_InsideFunction.bsv.bsc-out.expected │ │ │ ├── Define_InsideMethod.bsv │ │ │ ├── Define_InsideMethod.bsv.bsc-out.expected │ │ │ ├── Define_InsideModule.bsv │ │ │ ├── Define_InsideModule.bsv.bsc-out.expected │ │ │ ├── Define_InsideRule.bsv │ │ │ ├── Define_InsideRule.bsv.bsc-out.expected │ │ │ ├── Define_MACROTAKESPARAM.bsv │ │ │ ├── Define_MACROTAKESPARAM.bsv.bsc-out.expected │ │ │ ├── Define_MACROVALTAKESCOMMENT.bsv │ │ │ ├── Define_MACROVALTAKESCOMMENT.bsv.bsc-out.expected │ │ │ ├── Define_MORETHANONELINE.bsv │ │ │ ├── Define_MORETHANONELINE.bsv.bsc-out.expected │ │ │ ├── Define_MacroValueHavingBlockComment.bsv │ │ │ ├── Define_MacroValueHavingBlockComment.bsv.bsc-out.expected │ │ │ ├── Define_MacroValueHavingISingleLineComment.bsv │ │ │ ├── Define_MacroValueHavingISingleLineComment.bsv.bsc-out.expected │ │ │ ├── Define_PackageLevel.bsv │ │ │ ├── Define_PackageLevel.bsv.bsc-out.expected │ │ │ ├── Define_RecursiveDefine.bsv │ │ │ ├── Define_RecursiveDefine.bsv.bsc-out.expected │ │ │ ├── Define_USINDINTERFACEKEYWORD.bsv │ │ │ ├── Define_USINDINTERFACEKEYWORD.bsv.bsc-out.expected │ │ │ ├── Define_USINDMETHODKEYWORD.bsv │ │ │ ├── Define_USINDMETHODKEYWORD.bsv.bsc-out.expected │ │ │ ├── Define_USINGBITKEYWORD.bsv │ │ │ ├── Define_USINGBITKEYWORD.bsv.bsc-out.expected │ │ │ ├── Define_USINGFUNCTIONKEYWORD.bsv │ │ │ ├── Define_USINGFUNCTIONKEYWORD.bsv.bsc-out.expected │ │ │ ├── Define_USINGMODULEKEYWORD.bsv │ │ │ ├── Define_USINGMODULEKEYWORD.bsv.bsc-out.expected │ │ │ ├── Define_USINGOTHERDEFINE.bsv │ │ │ ├── Define_USINGOTHERDEFINE.bsv.bsc-out.expected │ │ │ ├── Define_USINGPACKAGEKEYWORD.bsv │ │ │ ├── Define_USINGPACKAGEKEYWORD.bsv.bsc-out.expected │ │ │ ├── Define_USINGRULEKEYWORD.bsv │ │ │ ├── Define_USINGRULEKEYWORD.bsv.bsc-out.expected │ │ │ ├── Define_WithBlackslashQuote.bsv │ │ │ ├── Define_WithBlackslashQuote.bsv.bsc-out.expected │ │ │ ├── Define_WithMacroValuewithSemiColonAtEnd.bsv │ │ │ ├── Define_WithMacroValuewithSemiColonAtEnd.bsv.bsc-out.expected │ │ │ ├── Define_WithSingleQuotesInMacroValue.bsv │ │ │ ├── Define_WithSingleQuotesInMacroValue.bsv.bsc-out.expected │ │ │ ├── Define_diffMacroNames.bsv │ │ │ ├── Define_diffMacroNames.bsv.bsc-out.expected │ │ │ ├── Define_redefiningMacroValue.bsv │ │ │ ├── Define_redefiningMacroValue.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── define.exp │ │ ├── ifdef │ │ │ ├── IFdef_InsideMethod.bsv │ │ │ ├── IFdef_InsideMethod.bsv.bsc-out.expected │ │ │ ├── Ifdef_ExpInIfdef.bsv │ │ │ ├── Ifdef_ExpInIfdef.bsv.bsc-out.expected │ │ │ ├── Ifdef_InsideModule.bsv │ │ │ ├── Ifdef_InsideModule.bsv.bsc-out.expected │ │ │ ├── Ifdef_InsideRule.bsv │ │ │ ├── Ifdef_InsideRule.bsv.bsc-out.expected │ │ │ ├── Ifdef_PackageLevel.bsv │ │ │ ├── Ifdef_PackageLevel.bsv.bsc-out.expected │ │ │ ├── Ifdef_endifWithoutPreceedingIfdef.bsv │ │ │ ├── Ifdef_endifWithoutPreceedingIfdef.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── ifdef.exp │ │ ├── include │ │ │ ├── Makefile │ │ │ ├── include.exp │ │ │ ├── include_Test1.bsv │ │ │ ├── include_Test1.bsv.bsc-out.expected │ │ │ ├── include_Test2.bsv │ │ │ ├── include_Test2.bsv.bsc-out.expected │ │ │ ├── include_Test3.bsv │ │ │ ├── include_Test3.bsv.bsc-out.expected │ │ │ └── myDef │ │ ├── resetall │ │ │ ├── Ifdef_NegPackageLevel.bsv │ │ │ ├── Ifdef_NegPackageLevel.bsv.bsc-out.expected │ │ │ ├── Ifdef_PackageLevel.bsv │ │ │ ├── Ifdef_PackageLevel.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ └── resetall.exp │ │ └── undef │ │ │ ├── Makefile │ │ │ ├── Undef_InsideMethod.bsv │ │ │ ├── Undef_InsideMethod.bsv.bsc-out.expected │ │ │ ├── Undef_InsideModule.bsv │ │ │ ├── Undef_InsideModule.bsv.bsc-out.expected │ │ │ ├── Undef_InsideRule.bsv │ │ │ ├── Undef_InsideRule.bsv.bsc-out.expected │ │ │ ├── Undef_MacroUndef.bsv │ │ │ ├── Undef_MacroUndef.bsv.bsc-out.expected │ │ │ ├── Undef_NoMacroName.bsv │ │ │ ├── Undef_NoMacroName.bsv.bsc-out.expected │ │ │ ├── Undef_PackageLevel.bsv │ │ │ ├── Undef_PackageLevel.bsv.bsc-out.expected │ │ │ └── undef.exp │ └── relax_method_urgency │ │ ├── BypassFIFO │ │ ├── BypassFIFO.exp │ │ ├── Design.bsv │ │ ├── Makefile │ │ ├── Testbench.bsv │ │ ├── Top.bsv │ │ ├── mkTestbench.c.out.expected │ │ └── mkTestbench.v.out.expected │ │ ├── LoopyFIFO │ │ ├── Loopy.bsv │ │ ├── LoopyFIFO.exp │ │ ├── Makefile │ │ ├── Testbench.bsv │ │ └── mkTestbench.out.expected │ │ ├── Makefile │ │ ├── RWire_mult │ │ ├── Design.bsv │ │ ├── Makefile │ │ ├── RWire_mult.exp │ │ ├── Testbench.bsv │ │ ├── mkTestbench.c.out.expected │ │ └── mkTestbench.v.out.expected │ │ ├── RegFile │ │ ├── Design.bsv │ │ ├── Makefile │ │ ├── RegFile.exp │ │ ├── Testbench.bsv │ │ ├── mkTestbench.c.out.expected │ │ └── mkTestbench.v.out.expected │ │ ├── byte_en │ │ ├── Design.bsv │ │ ├── Makefile │ │ ├── Testbench.bsv │ │ ├── byte_en.exp │ │ └── mkTestbench.out.expected │ │ ├── demux │ │ ├── Design.bsv │ │ ├── Makefile │ │ ├── Testbench.bsv │ │ ├── demux.exp │ │ ├── mkTestbench.c.out.expected │ │ └── mkTestbench.v.out.expected │ │ └── prod_con │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── Testbench.bsv │ │ ├── consumer.bsv │ │ ├── mkTestbench.c.out.expected │ │ ├── mkTestbench.v.out.expected │ │ ├── prod_con.exp │ │ └── producer.bsv ├── bsc.lib │ ├── BRAM │ │ ├── BRAM.exp │ │ ├── BRAM0Test │ │ │ ├── BRAM0Test.bsv │ │ │ ├── BRAM0Test.exp │ │ │ ├── Makefile │ │ │ ├── bram2.txt │ │ │ └── sysBRAM0Test.out.expected │ │ ├── BRAMBE1Test.bsv │ │ ├── BRAMBE1Test.bsv.bsc-ccomp-out.expected │ │ ├── BRAMBE2Test.bsv │ │ ├── BRAMBE2Test.bsv.bsc-ccomp-out.expected │ │ ├── BRAMBE3Test.bsv │ │ ├── BRAMBE3Test.bsv.bsc-ccomp-out.expected │ │ ├── BRAMBE4Test.bsv │ │ ├── BRAMBE4Test.bsv.bsc-ccomp-out.expected │ │ ├── BRAMLoadTest.bsv │ │ ├── BRAMTest │ │ │ ├── BRAM1Test.bsv │ │ │ ├── BRAMPipelined.bsv │ │ │ ├── BRAMTest.bsv │ │ │ ├── BRAMTest.exp │ │ │ ├── Makefile │ │ │ ├── bram2.txt │ │ │ ├── sysBRAM1Test.c.out.expected │ │ │ ├── sysBRAM1Test.v.out.expected │ │ │ ├── sysBRAMPipelined.c.out.expected │ │ │ ├── sysBRAMPipelined.v.out.expected │ │ │ ├── sysBRAMTest.c.out.expected │ │ │ └── sysBRAMTest.v.out.expected │ │ ├── BRAMWidthTest.bsv │ │ ├── GrayCounterTest.bsv │ │ ├── Lat │ │ │ ├── Lat.exp │ │ │ ├── Lat112.bsv │ │ │ ├── Lat122.bsv │ │ │ ├── Lat124.bsv │ │ │ ├── Latency1Port.bsv │ │ │ ├── Makefile │ │ │ ├── sysLat112.out.expected │ │ │ ├── sysLat122.out.expected │ │ │ └── sysLat124.out.expected │ │ ├── Makefile │ │ ├── SizedBRAMFIFOTest.bsv │ │ ├── SyncBRAM2PortBETest.bsv │ │ ├── SyncBRAMFIFO │ │ │ ├── Makefile │ │ │ ├── SyncBRAMFIFO.exp │ │ │ ├── SyncBRAMFIFOFromTest.bsv │ │ │ ├── SyncBRAMFIFOTest.bsv │ │ │ ├── SyncBRAMFIFOToTest.bsv │ │ │ ├── sysSyncBRAMFIFOFromTest.c.out.expected │ │ │ ├── sysSyncBRAMFIFOFromTest.v.out.expected │ │ │ ├── sysSyncBRAMFIFOTest.c.out.expected │ │ │ ├── sysSyncBRAMFIFOTest.v.out.expected │ │ │ ├── sysSyncBRAMFIFOToTest.c.out.expected │ │ │ └── sysSyncBRAMFIFOToTest.v.out.expected │ │ ├── bram.txt │ │ ├── bram2.txt │ │ ├── sysBRAM20Test.c.out.expected │ │ ├── sysBRAM20Test.v.out.expected │ │ ├── sysBRAMLoadTest.c.out.expected │ │ ├── sysBRAMLoadTest.v.out.expected │ │ ├── sysBRAMPipelined.out.expected │ │ ├── sysBRAMWidthTest.out.expected │ │ ├── sysGrayCounterTest.out.expected │ │ ├── sysSizedBRAMFIFOTest.out.expected │ │ └── sysSyncBRAM2PortBETest.out.expected │ ├── BuildList │ │ ├── BuildList.exp │ │ ├── Makefile │ │ ├── TestBuildList.bs │ │ ├── TestBuildListFail.bs │ │ ├── TestBuildListFail.bs.bsc-out.expected │ │ └── sysTestBuildList.out.expected │ ├── BuildVector │ │ ├── BuildVector.exp │ │ ├── Makefile │ │ ├── TestBuildVector.bsv │ │ ├── TestBuildVectorFail.bsv │ │ ├── TestBuildVectorFail.bsv.bsc-out.expected │ │ └── sysTestBuildVector.out.expected │ ├── CReg │ │ ├── CReg.exp │ │ ├── Makefile │ │ ├── TestCReg2.bsv │ │ ├── TestCReg3.bsv │ │ ├── TestCReg4.bsv │ │ ├── TestCReg5.bsv │ │ ├── TestCRegA2.bsv │ │ ├── TestCRegA3.bsv │ │ ├── TestCRegA4.bsv │ │ ├── TestCRegA5.bsv │ │ ├── TestCRegA_ZeroWidth.bsv │ │ ├── TestCRegU2.bsv │ │ ├── TestCRegU3.bsv │ │ ├── TestCRegU4.bsv │ │ ├── TestCRegU5.bsv │ │ ├── TestCRegU_ZeroWidth.bsv │ │ ├── TestCReg_TooBig.bsv │ │ ├── TestCReg_TooBig.bsv.bsc-vcomp-out.expected │ │ ├── TestCReg_TooSmall.bsv │ │ ├── TestCReg_TooSmall.bsv.bsc-vcomp-out.expected │ │ ├── TestCReg_ZeroWidth.bsv │ │ ├── sysTestCReg2.out.expected │ │ ├── sysTestCReg3.out.expected │ │ ├── sysTestCReg4.out.expected │ │ ├── sysTestCReg5.out.expected │ │ ├── sysTestCRegA2.out.expected │ │ ├── sysTestCRegA3.out.expected │ │ ├── sysTestCRegA4.out.expected │ │ ├── sysTestCRegA5.out.expected │ │ ├── sysTestCRegA_ZeroWidth.out.expected │ │ ├── sysTestCRegU2.out.expected │ │ ├── sysTestCRegU2.v.out.expected │ │ ├── sysTestCRegU3.out.expected │ │ ├── sysTestCRegU3.v.out.expected │ │ ├── sysTestCRegU4.out.expected │ │ ├── sysTestCRegU4.v.out.expected │ │ ├── sysTestCRegU5.out.expected │ │ ├── sysTestCRegU5.v.out.expected │ │ ├── sysTestCRegU_ZeroWidth.out.expected │ │ ├── sysTestCRegU_ZeroWidth.v.out.expected │ │ └── sysTestCReg_ZeroWidth.out.expected │ ├── CShow │ │ ├── CShow.exp │ │ ├── Makefile │ │ ├── TestCShow.bs │ │ └── sysTestCShow.out.expected │ ├── ClientServer │ │ ├── ClientServer.exp │ │ ├── Makefile │ │ ├── TestToGPClientServer.bsv │ │ └── sysTestToGPClientServer.out.expected │ ├── Cntrs │ │ ├── CntrSched.bsv │ │ ├── CntrTest.bsv │ │ ├── Cntrs.exp │ │ ├── Cntrs0.bsv │ │ ├── CntrsLimits.bsv │ │ ├── Makefile │ │ ├── UCntrTest.bsv │ │ ├── sysCntrSched.sched.expected │ │ ├── sysCntrSched.v.expected │ │ ├── sysCntrTest.out.expected │ │ ├── sysCntrs0.out.expected │ │ └── sysUCntrTest.out.expected │ ├── CompletionBuffer │ │ ├── CompletionBuffer.exp │ │ ├── Makefile │ │ ├── TestCompletionBuffer.bsv │ │ ├── TestCompletionBufferSchedule.bsv │ │ ├── mkCompletionBuffer_4_Bit32.sched.expected │ │ └── sysTestCompletionBuffer.out.expected │ ├── Complex │ │ ├── CmplxSatAdd.bsv │ │ ├── CmplxTest.bsv │ │ ├── Complex.exp │ │ ├── Makefile │ │ ├── sysCmplxSatAdd.out.expected │ │ └── sysCmplxTest.out.expected │ ├── DefaultValue │ │ ├── DefaultValue.exp │ │ ├── DefaultValueTest.bsv │ │ ├── DefaultValue_NoInstance.bsv │ │ ├── DefaultValue_NoInstance.bsv.bsc-out.expected │ │ ├── Makefile │ │ └── sysDefaultValueTest.out.expected │ ├── Divide │ │ ├── Makefile │ │ ├── Test_mkDivider.bsv │ │ ├── Test_mkNonPipelinedDivider.bsv │ │ ├── divide.exp │ │ ├── sysTest_mkDivider.out.expected │ │ └── sysTest_mkNonPipelinedDivider.out.expected │ ├── FShow │ │ ├── FShow.exp │ │ ├── FShowTest.bsv │ │ ├── Makefile │ │ └── sysFShowTest.out.expected │ ├── FixedPoint │ │ ├── ExtendedPrecision.bsv │ │ ├── FixedPoint.exp │ │ ├── Fptest.bsv │ │ ├── FromReal.bsv │ │ ├── FromReal_10_10.bsv │ │ ├── FromReal_1_5.bsv │ │ ├── FromReal_1_500.bsv │ │ ├── FromReal_500_1.bsv │ │ ├── FromReal_5_1.bsv │ │ ├── FromReal_5_5.bsv │ │ ├── FromReal_6_6.bsv │ │ ├── Makefile │ │ ├── Quots.bsv │ │ ├── Round.bsv │ │ ├── SaturateArith.bsv │ │ ├── sysExtendedPrecision.out.expected │ │ ├── sysFptest.out.expected │ │ ├── sysFromReal_10_10.out.expected │ │ ├── sysFromReal_1_5.out.expected │ │ ├── sysFromReal_1_500.out.expected │ │ ├── sysFromReal_500_1.out.expected │ │ ├── sysFromReal_5_1.out.expected │ │ ├── sysFromReal_5_5.out.expected │ │ ├── sysFromReal_6_6.out.expected │ │ ├── sysQuots.out.expected │ │ ├── sysRound.out.expected │ │ └── sysSaturateArith.out.expected │ ├── FloatingPoint │ │ ├── FloatTest.bsv │ │ ├── FloatTest.exp │ │ ├── Float_Divide_TestConds.bsv │ │ ├── Float_SquareRoot_TestConds.bsv │ │ ├── Makefile │ │ ├── sysFloatTest.out.expected │ │ ├── sysFloat_Divide_TestConds.out.expected │ │ └── sysFloat_SquareRoot_TestConds.out.expected │ ├── IsModule │ │ ├── FIFOContextTest.bsv │ │ ├── FIFOContextTest.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── ModuleCollectClock.bsv │ │ ├── ModuleCollectClock.bsv.bsc-vcomp-out.expected │ │ ├── ModuleContextClock.bsv │ │ ├── ModuleContextClock.bsv.bsc-vcomp-out.expected │ │ ├── is_module.exp │ │ └── mkFIFOContextTest.v.expected │ ├── Makefile │ ├── Memory │ │ ├── Makefile │ │ ├── Memory.exp │ │ ├── MemoryTest.bsv │ │ └── sysMemoryTest.out.expected │ ├── PAClib │ │ ├── Makefile │ │ ├── RadixSort │ │ │ ├── Makefile │ │ │ ├── rev1 │ │ │ │ ├── Makefile │ │ │ │ ├── RadixSort.bsv │ │ │ │ ├── Tb.bsv │ │ │ │ ├── Types.bsv │ │ │ │ ├── paclib_radix_rev1.exp │ │ │ │ └── sysTb.out.expected │ │ │ ├── rev2 │ │ │ │ ├── Makefile │ │ │ │ ├── RadixSort.bsv │ │ │ │ ├── Tb.bsv │ │ │ │ ├── Types.bsv │ │ │ │ ├── paclib_radix_rev2.exp │ │ │ │ └── sysTb.out.expected │ │ │ ├── rev3 │ │ │ │ ├── Makefile │ │ │ │ ├── RadixSort.bsv │ │ │ │ ├── Tb.bsv │ │ │ │ ├── Types.bsv │ │ │ │ ├── paclib_radix_rev3.exp │ │ │ │ └── sysTb.out.expected │ │ │ └── rev4 │ │ │ │ ├── Makefile │ │ │ │ ├── RadixSort.bsv │ │ │ │ ├── Tb.bsv │ │ │ │ ├── Types.bsv │ │ │ │ ├── paclib_radix_rev4.exp │ │ │ │ └── sysTb.out.expected │ │ ├── dft64 │ │ │ ├── Makefile │ │ │ └── bsv │ │ │ │ ├── DFT.bsv │ │ │ │ ├── DFTCoef.bsv │ │ │ │ ├── DFT_v0.bspec │ │ │ │ ├── DFT_v0.bsv │ │ │ │ ├── DFT_v1.bspec │ │ │ │ ├── DFT_v1.bsv │ │ │ │ ├── DFT_v2.bspec │ │ │ │ ├── DFT_v2.bsv │ │ │ │ ├── DFT_v5.bspec │ │ │ │ ├── DFT_v5.bsv │ │ │ │ ├── FixedPointIO.bsv │ │ │ │ ├── FixedPointIO.c │ │ │ │ ├── Makefile │ │ │ │ ├── Readme.txt │ │ │ │ ├── Round_Test.bsv │ │ │ │ ├── Tb_v0.bsv │ │ │ │ ├── Tb_v1.bsv │ │ │ │ ├── Tb_v2.bsv │ │ │ │ ├── Tb_v5.bsv │ │ │ │ ├── Test.dat │ │ │ │ ├── Test_out_v1.dat.out.expected │ │ │ │ ├── Test_out_v2.dat.out.expected │ │ │ │ ├── Test_out_v5.dat.out.expected │ │ │ │ ├── Utils.bsv │ │ │ │ ├── paclib_dft.exp │ │ │ │ ├── sysTb_v1.out.expected │ │ │ │ ├── sysTb_v2.out.expected │ │ │ │ └── sysTb_v5.out.expected │ │ ├── qsort │ │ │ ├── Makefile │ │ │ ├── bsv │ │ │ │ ├── Makefile │ │ │ │ ├── MyPAClib.bsv │ │ │ │ ├── QuickSort.bsv │ │ │ │ ├── Tb.bsv │ │ │ │ ├── Types.bsv │ │ │ │ ├── paclib_qsort.exp │ │ │ │ └── sysTb.out.expected │ │ │ └── c_code │ │ │ │ ├── Makefile │ │ │ │ ├── quick_sort.c │ │ │ │ └── quick_sort_labeled.c │ │ └── unit_tests │ │ │ ├── Common.bsv │ │ │ ├── ForFold_1.bsv │ │ │ ├── ForFold_2.bsv │ │ │ ├── ForLoop.bsv │ │ │ ├── IfThenElse.bsv │ │ │ ├── Makefile │ │ │ ├── Map.bsv │ │ │ ├── Map_with_funnel_indexed.bsv │ │ │ ├── Map_with_funnel_indexed_2.bsv │ │ │ ├── Reorder.bsv │ │ │ ├── SynchPipe.bsv │ │ │ ├── WhileFold_1.bsv │ │ │ ├── WhileFold_2.bsv │ │ │ ├── WhileLoop.bsv │ │ │ ├── fork_join.bsv │ │ │ ├── sysForFold_1.out.expected │ │ │ ├── sysForFold_2.out.expected │ │ │ ├── sysForLoop.out.expected │ │ │ ├── sysIfThenElse.out.expected │ │ │ ├── sysMap.out.expected │ │ │ ├── sysMap_with_funnel_indexed.out.expected │ │ │ ├── sysMap_with_funnel_indexed_2.out.expected │ │ │ ├── sysReorder.out.expected │ │ │ ├── sysSynchPipe.out.expected │ │ │ ├── sysWhileFold_1.out.expected │ │ │ ├── sysWhileFold_2.out.expected │ │ │ ├── sysWhileLoop.out.expected │ │ │ ├── sysfork_join.out.expected │ │ │ └── unit_test.exp │ ├── Prelude │ │ ├── Eq0.bsv │ │ ├── Eq1.bsv │ │ ├── Eq2.bsv │ │ ├── Eq3.bsv │ │ ├── Makefile │ │ ├── Prelude.exp │ │ ├── TuplePack.bs │ │ ├── sysEq0.out.expected │ │ ├── sysEq1.out.expected │ │ ├── sysEq2.out.expected │ │ └── sysTuplePack.out.expected │ ├── Printf │ │ ├── Makefile │ │ ├── Printf.exp │ │ ├── PrintfTest.bsv │ │ ├── sysPrintfTest.out.expected │ │ └── test.c │ ├── RegA │ │ ├── Makefile │ │ ├── TestRegA.bsv │ │ ├── rega.exp │ │ └── sysTestRegA.out.expected │ ├── Reserved │ │ ├── Makefile │ │ ├── Reserved.exp │ │ ├── ReservedTest.bsv │ │ └── sysReservedTest.out.expected │ ├── SShow │ │ ├── Makefile │ │ ├── SShow.exp │ │ ├── TestSShow.bs │ │ └── sysTestSShow.out.expected │ ├── SquareRoot │ │ ├── Makefile │ │ ├── Test_mkFixedPointSquareRooter.bsv │ │ ├── Test_mkSquareRooter.bsv │ │ ├── squareroot.exp │ │ ├── sysTest_mkFixedPointSquareRooter.c.out.expected │ │ ├── sysTest_mkFixedPointSquareRooter.v.out.expected │ │ ├── sysTest_mkSquareRooter.c.out.expected │ │ └── sysTest_mkSquareRooter.v.out.expected │ ├── Stmt │ │ ├── FacTest │ │ │ ├── FacTest.bsv │ │ │ ├── FacTest.exp │ │ │ ├── Makefile │ │ │ └── mkFacTest.out.expected │ │ ├── Makefile │ │ ├── Misc │ │ │ ├── CaseMatchesStmt.bsv │ │ │ ├── CaseStmt.bsv │ │ │ ├── Makefile │ │ │ └── Misc.exp │ │ ├── Modules │ │ │ ├── AlwaysFSM_OneAction.bsv │ │ │ ├── Makefile │ │ │ ├── Modules.exp │ │ │ └── sysAlwaysFSM_OneAction.out.expected │ │ ├── RepeatTest │ │ │ ├── Makefile │ │ │ ├── RepeatTest.bsv │ │ │ ├── RepeatTest.exp │ │ │ ├── mkRepeatTest.out.expected │ │ │ └── mkRepeatTest.v.out.expected │ │ └── Server │ │ │ ├── Makefile │ │ │ ├── SequenceBind_Fail.bsv │ │ │ ├── SequenceUpdateBind_Fail.bsv │ │ │ ├── Server.exp │ │ │ ├── ServerTest.bsv │ │ │ ├── ServerTestUpdate.bsv │ │ │ ├── sysServerTest.out.expected │ │ │ └── sysServerTestUpdate.out.expected │ ├── Tieoff │ │ ├── Makefile │ │ ├── TieOffTest.bsv │ │ ├── Tieoff.exp │ │ └── sysTieOffTest.out.expected │ ├── config │ ├── dreg │ │ ├── DRegTest0.bsv │ │ ├── DRegTest1.bsv │ │ ├── Makefile │ │ ├── dreg.exp │ │ ├── sysDRegTest0.out.expected │ │ ├── sysDRegTest0.v.out.expected │ │ ├── sysDRegTest1.out.expected │ │ └── sysDRegTest1.v.out.expected │ ├── fifo │ │ ├── .gitignore │ │ ├── FIFOErrors.bsv │ │ ├── LFIFO2Test.bsv │ │ ├── LSizedFIFOTest.bsv │ │ ├── Makefile │ │ ├── MoreFIFOTest.bsv │ │ ├── NegativeDepthFIFO.bsv │ │ ├── SizedFIFOTest.bsv │ │ ├── TbBypassFIFO.bsv │ │ ├── TestBypassFIFO.bsv │ │ ├── TestPipelineFIFO.bsv │ │ ├── ZeroDepthFIFO.bsv │ │ ├── depth_param │ │ │ ├── .gitignore │ │ │ ├── ErrorFIFO0.bsv │ │ │ ├── ErrorFIFO1.bsv │ │ │ ├── ErrorFIFO2.bsv │ │ │ ├── ErrorFIFONegative.bsv │ │ │ ├── ErrorLFIFO0.bsv │ │ │ ├── ErrorLFIFO1.bsv │ │ │ ├── ErrorLFIFO2.bsv │ │ │ ├── FIFOParam.bsv │ │ │ ├── FIFOParamTest.bsv │ │ │ ├── LFIFOParamTest.bsv │ │ │ ├── Makefile │ │ │ ├── ZeroFIFOParamTest.bsv │ │ │ ├── depth_param.exp │ │ │ ├── fifo_verilog_error0.expected │ │ │ ├── fifo_verilog_error1.expected │ │ │ ├── fifo_verilog_error2.expected │ │ │ ├── lfifo_verilog_error0.expected │ │ │ ├── lfifo_verilog_error1.expected │ │ │ ├── lfifo_verilog_error2.expected │ │ │ ├── sysFIFOParamTest.out.expected │ │ │ ├── sysLFIFOParamTest.out.expected │ │ │ └── sysZeroFIFOParamTest.out.expected │ │ ├── fifo.exp │ │ ├── mkBypassFIFOF_Bit32.sched.expected │ │ ├── mkBypassFIFOLevel_Bit32_8.sched.expected │ │ ├── mkBypassFIFO_Bit32.sched.expected │ │ ├── mkPipelineFIFOF_Bit32.sched.expected │ │ ├── mkPipelineFIFO_Bit32.sched.expected │ │ ├── mkSizedBypassFIFOF_Bit32_8.sched.expected │ │ ├── mkTbBypassFIFO.out.expected │ │ ├── sysFIFOErrors.out.expected │ │ ├── sysLFIFO2Test.out.expected │ │ ├── sysLSizedFIFOTest.out.expected │ │ ├── sysMoreFIFOTest.out.expected │ │ ├── sysMoreFIFOTest.v.out.expected │ │ └── sysSizedFIFOTest.out.expected │ ├── fork │ │ ├── ForkTest.bsv │ │ ├── Makefile │ │ ├── fork.exp │ │ └── sysForkTest.out.expected │ ├── getput │ │ ├── Err1.bsv │ │ ├── Err2.bsv │ │ ├── Makefile │ │ ├── TestFIFO.bsv │ │ ├── TestFIFOCount.bsv │ │ ├── TestFIFOCount0.bsv │ │ ├── TestFIFOF.bsv │ │ ├── TestFIFOF2.bsv │ │ ├── TestFIFOFToFIFO.bsv │ │ ├── TestFIFOLevel.bsv │ │ ├── TestFIFOLevel0.bsv │ │ ├── TestFIFOSync.bsv │ │ ├── TestFIFOSync0.bsv │ │ ├── TestFIFOSync1.bsv │ │ ├── TestFIFOSync10.bsv │ │ ├── TestFIFOSyncCount.bsv │ │ ├── TestFIFOSyncCount0.bsv │ │ ├── TestFIFOSyncLevel.bsv │ │ ├── TestFIFOSyncLevel0.bsv │ │ ├── TestToGPFunc.bsv │ │ ├── TestToGPRWire.bsv │ │ ├── TestToGPReg.bsv │ │ ├── TestToGPWire.bsv │ │ ├── TestUGFIFOF.bsv │ │ ├── getput.exp │ │ ├── sysFIFOSyncCount.out.expected │ │ ├── sysFIFOSyncLevel.out.expected │ │ ├── sysTestFIFO.out.expected │ │ ├── sysTestFIFOCount.out.expected │ │ ├── sysTestFIFOCount0.out.expected │ │ ├── sysTestFIFOF.out.expected │ │ ├── sysTestFIFOF2.out.expected │ │ ├── sysTestFIFOFToFIFO.out.expected │ │ ├── sysTestFIFOLevel.out.expected │ │ ├── sysTestFIFOLevel0.out.expected │ │ ├── sysTestFIFOSync.out.expected │ │ ├── sysTestFIFOSync0.out.expected │ │ ├── sysTestFIFOSync1.out.expected │ │ ├── sysTestFIFOSync10.out.expected │ │ ├── sysTestFIFOSyncCount.out.expected │ │ ├── sysTestFIFOSyncCount0.out.expected │ │ ├── sysTestFIFOSyncLevel.out.expected │ │ ├── sysTestFIFOSyncLevel0.out.expected │ │ ├── sysTestToGPFunc.out.expected │ │ ├── sysTestToGPRWire.out.expected │ │ ├── sysTestToGPReg.out.expected │ │ ├── sysTestToGPWire.out.expected │ │ ├── sysTestUGFIFOF.c.out.expected │ │ └── sysTestUGFIFOF.v.out.expected │ ├── list_ops │ │ ├── Makefile │ │ ├── SortGroupTest.bsv │ │ ├── list_ops.exp │ │ └── sysSortGroupTest.out.expected │ ├── oint │ │ ├── Makefile │ │ ├── OIntBroken.bsv │ │ ├── OIntDynamic.bsv │ │ ├── OIntDynamicHigh.bsv │ │ ├── OIntDynamicLow.bsv │ │ ├── OIntHigh.bsv │ │ ├── OIntLow.bsv │ │ ├── oint.exp │ │ └── sysOIntDynamic.out.expected │ ├── regfile │ │ ├── ArrayFileTest.bs │ │ ├── Makefile │ │ ├── Memory.bsv │ │ ├── ParseTest.bsv │ │ ├── ParseTest2.bsv │ │ ├── RegFileIndexError.bsv │ │ ├── RegFileLoadBinIndexError.bsv │ │ ├── RegFileLoadHexIndexError.bsv │ │ ├── RegFileWCFIndexError.bsv │ │ ├── RegFileWCFLoadBinIndexError.bsv │ │ ├── RegFileWCFLoadHexIndexError.bsv │ │ ├── RegFileZeroData.bsv │ │ ├── RegFileZeroIndex.bsv │ │ ├── TestRegFileLoad.bin.input │ │ ├── TestRegFileLoad.bsv │ │ ├── TestRegFileLoad.hex.input │ │ ├── WarningTest.bsv │ │ ├── WarningTest2.bsv │ │ ├── lib.exp │ │ ├── mem.data │ │ ├── parse-test.2.bin │ │ ├── parse-test.bin │ │ ├── sysArrayFileTest.out.expected │ │ ├── sysParseTest.out.expected │ │ ├── sysParseTest2.out.expected │ │ ├── sysTestRegFileLoad.out.expected │ │ ├── sysWarningTest.out.expected │ │ ├── sysWarningTest2.out.expected │ │ ├── warning-test.2.bin │ │ └── warning-test.bin │ ├── regtwo │ │ ├── Makefile │ │ ├── RegTwoTest.bsv │ │ ├── regtwo.exp │ │ └── sysRegTwoTest.out.expected │ ├── rwire │ │ ├── BigBypassWire.bsv │ │ ├── BypassReg.bsv │ │ ├── BypassReg.bsv.bsc-sched-out.expected │ │ ├── ConfigReg2.bsv │ │ ├── ConfigReg2.bsv.bsc-sched-out.expected │ │ ├── Makefile │ │ ├── MethodPulse.bsv │ │ ├── PulseTest.bsv │ │ ├── PulseWireC.bsv │ │ ├── PulseWireSBR.bsv │ │ ├── RWireBoundary.bsv │ │ ├── RWireNothing.bsv │ │ ├── RWireUrgency1.bsv │ │ ├── RWireUrgency1b.bsv │ │ ├── RWireUrgency2.bsv │ │ ├── TestBypassWire.bsv │ │ ├── TestENBypassWire.bsv │ │ ├── TestENBypassWire2.bsv │ │ ├── TestRWire.bs │ │ ├── ValidValue.bsv │ │ ├── Wire.bsv │ │ ├── mkTestENBypassWire.v.no-inline-rwire.expected │ │ ├── mkTestENBypassWire.v1.v.expected │ │ ├── mkTestENBypassWire.v2.v.expected │ │ ├── mkTestENBypassWire2.v.expected │ │ ├── mkTestENBypassWire2.v.no-inline-rwire.expected │ │ ├── mkTestENBypassWire2.v.no-inline-rwire.v.expected │ │ ├── mkTestENBypassWire2.v1.v.expected │ │ ├── rwire.exp │ │ ├── sysBigBypassWire.out.expected │ │ ├── sysBigBypassWire.v.out.expected │ │ ├── sysBypassReg.v.expected │ │ ├── sysConfigReg.v.expected │ │ ├── sysMethodPulse.out.expected │ │ ├── sysPulseTest.out.expected │ │ ├── sysPulseWireC.out.expected │ │ ├── sysPulseWireSBR.out.expected │ │ ├── sysRWireBoundary.out.expected │ │ ├── sysRWireNothing.out.expected │ │ ├── sysRWireUrgency1.out.expected │ │ ├── sysTestBypassWire.out.expected │ │ ├── sysTestRWire.out.expected │ │ ├── sysValidValue.out.expected │ │ ├── sysValidValue.v.out.expected │ │ └── sysWire.out.expected │ ├── sram │ │ ├── .cvsignore │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── Throughput.bs │ │ ├── sram.exp │ │ └── throughput.out.expected │ └── vector │ │ ├── CountElem.bsv │ │ ├── CountIf.bsv │ │ ├── FindElem.bsv │ │ ├── FindIndex.bsv │ │ ├── IndxTest.bsv │ │ ├── Makefile │ │ ├── MiscFunc.bsv │ │ ├── RotateBy.bsv │ │ ├── ShiftOutTest.bsv │ │ ├── ShiftTest.bsv │ │ ├── ZeroVector.bsv │ │ ├── libvector.exp │ │ ├── sysCountElem.out.expected │ │ ├── sysCountIf.out.expected │ │ ├── sysFindElem.out.expected │ │ ├── sysFindIndex.out.expected │ │ ├── sysMiscFunc.out.expected │ │ ├── sysRotateBy.out.expected │ │ ├── sysShiftOutTest.out.expected │ │ ├── sysShiftTest.out.expected │ │ └── sysZeroVector.out.expected ├── bsc.long_tests │ ├── MPEG4 │ │ ├── .gitignore │ │ ├── ArithModules.bsv │ │ ├── BtStrmPrsr.bsv │ │ ├── ByteAlign.bsv │ │ ├── CBuffer.bsv │ │ ├── Defines.bsv │ │ ├── DetstartCode.bsv │ │ ├── Div.bsv │ │ ├── Division.bsv │ │ ├── FLCDecode.bsv │ │ ├── FrmBuffer.bsv │ │ ├── Inverse_Quantization.bsv │ │ ├── MCR.bsv │ │ ├── MVarith.bsv │ │ ├── Makefile │ │ ├── MkIDCT_1D.bsv │ │ ├── MkIDCT_col.bsv │ │ ├── MkIDCT_row.bsv │ │ ├── MkIDCT_top.bsv │ │ ├── Mpeg4.bsv │ │ ├── Mpeg4_synth.bsv │ │ ├── PingPongBuffers.bsv │ │ ├── QUANT_TABLES │ │ │ ├── Makefile │ │ │ ├── intra_quant_matrix.txt │ │ │ └── non_intra_quant_matrix.txt │ │ ├── RRSPSRAM_64_16_bussed.v │ │ ├── Registers.bsv │ │ ├── Screen.bsv │ │ ├── TBuffer.bsv │ │ ├── Testbench.bsv │ │ ├── Testbench_novideo.bsv │ │ ├── VLC_TABLE │ │ │ ├── Makefile │ │ │ ├── usable_vlc_inter_table1.txt │ │ │ ├── usable_vlc_inter_table2.txt │ │ │ ├── usable_vlc_inter_table3.txt │ │ │ ├── usable_vlc_inter_table4.txt │ │ │ ├── usable_vlc_inter_table5.txt │ │ │ ├── usable_vlc_inter_table6.txt │ │ │ ├── usable_vlc_inter_table7.txt │ │ │ ├── usable_vlc_inter_table8.txt │ │ │ ├── usable_vlc_inter_table9.txt │ │ │ ├── usable_vlc_intra_table1.txt │ │ │ ├── usable_vlc_intra_table2.txt │ │ │ ├── usable_vlc_intra_table3.txt │ │ │ ├── usable_vlc_intra_table4.txt │ │ │ ├── usable_vlc_intra_table5.txt │ │ │ ├── usable_vlc_intra_table6.txt │ │ │ ├── usable_vlc_intra_table7.txt │ │ │ ├── usable_vlc_intra_table8.txt │ │ │ └── usable_vlc_intra_table9.txt │ │ ├── bitstream.txt │ │ ├── curFrameData.txt │ │ ├── formats.txt │ │ ├── mkTestbench_novideo.c.out.expected │ │ ├── mkTestbench_novideo.v.out.expected │ │ ├── mpeg.exp.golden │ │ ├── refFrameData.txt │ │ ├── sendToScreen.cpp │ │ ├── sendToScreenBig.cpp │ │ ├── streams │ │ │ ├── Makefile │ │ │ ├── manwalking.txt │ │ │ ├── rafting_cif.txt │ │ │ └── surfing-qcif.txt │ │ ├── txt.formats │ │ ├── u.txt │ │ ├── usable_mb_hdr.txt │ │ ├── v.txt │ │ └── y.txt │ ├── Makefile │ ├── README │ ├── conflict_free_large │ │ ├── .gitignore │ │ ├── ConflictFreeNotOKLarge.bsv │ │ ├── ConflictFreeOKLarge.bsv │ │ ├── Makefile │ │ ├── conflict_free_large.exp.golden │ │ ├── sysConflictFreeNotOKLarge.out.expected │ │ └── sysConflictFreeOKLarge.out.expected │ └── log2_loop │ │ ├── Makefile │ │ ├── actionvalue │ │ ├── .gitignore │ │ ├── Log2Test.bsv │ │ ├── Makefile │ │ ├── log2_loop.exp.golden │ │ └── out.expected │ │ ├── module │ │ ├── .gitignore │ │ ├── Log2TestM.bsv │ │ ├── Makefile │ │ ├── log2_loop.exp.golden │ │ └── out.expected │ │ └── pure │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── PureLoop.bsv │ │ ├── log2_loop.exp.golden │ │ └── out.expected ├── bsc.mcd │ ├── ClockDividers │ │ ├── ClockDiv.bsv │ │ ├── ClockDiv2.bsv │ │ ├── ClockDivFifo.bsv │ │ ├── ClockDivFifo1.bsv │ │ ├── ClockDivFifo2.bsv │ │ ├── ClockDivOffset.bsv │ │ ├── ClockDividers.exp │ │ ├── ClockInv.bsv │ │ ├── GatedClockDiv.bsv │ │ ├── GatedClockInv.bsv │ │ ├── Makefile │ │ ├── ResetInv.bsv │ │ ├── sysClockDiv.c.out.expected │ │ ├── sysClockDiv.v.out.expected │ │ ├── sysClockDiv2.c.out.expected │ │ ├── sysClockDiv2.v.out.expected │ │ ├── sysClockDivFifo.c.out.expected │ │ ├── sysClockDivFifo.v.out.expected │ │ ├── sysClockDivFifo2.c.out.expected │ │ ├── sysClockDivFifo2.v.out.expected │ │ ├── sysClockDivOffset.out.expected │ │ ├── sysClockDivOffset.v.out.expected │ │ ├── sysClockInv.out.expected │ │ ├── sysClockInv.v.out.expected │ │ ├── sysGatedClockDiv.c.out.expected │ │ ├── sysGatedClockDiv.v.out.expected │ │ ├── sysGatedClockInv.out.expected │ │ ├── sysGatedClockInv.v.out.expected │ │ ├── sysResetInv.out.expected │ │ └── sysResetInv.v.out.expected │ ├── ClockMux │ │ ├── ClockMux.bsv │ │ ├── ClockSelect.bsv │ │ ├── Makefile │ │ ├── SlowSelectClock.bsv │ │ ├── UngatedClockMux.bsv │ │ ├── UngatedClockSelect.bsv │ │ ├── clockmux.exp │ │ ├── sysClockMux.out.expected │ │ ├── sysClockMux.v.out.expected │ │ ├── sysClockSelect.out.expected │ │ ├── sysClockSelect.v.out.expected │ │ ├── sysSlowSelectClock.out.expected │ │ ├── sysSlowSelectClock.v.out.expected │ │ ├── sysUngatedClockMux.out.expected │ │ ├── sysUngatedClockMux.v.out.expected │ │ ├── sysUngatedClockSelect.out.expected │ │ └── sysUngatedClockSelect.v.out.expected │ ├── DisabledClocks │ │ ├── AlwaysWrite.bsv │ │ ├── DefaultValue1.bsv │ │ ├── DefaultValue2Broken.bsv │ │ ├── DefaultValue2OK1.bsv │ │ ├── DefaultValue2OK2.bsv │ │ ├── Makefile │ │ ├── disabled_clocks.exp │ │ ├── mkDefaultValue1.v.expected │ │ ├── mkDefaultValue2OK1.v.expected │ │ └── mkDefaultValue2OK2.v.expected │ ├── Examples │ │ ├── Example.exp │ │ ├── Example1.bsv │ │ ├── Example2.bsv │ │ └── Makefile │ ├── Gating │ │ ├── DefaultClockMethod.bsv │ │ ├── GatedClockCycle.bsv │ │ ├── GatedClock_OneMod.bsv │ │ ├── GatedClock_TwoModOneSyn.bsv │ │ ├── GatedClock_TwoModTwoSyn.bsv │ │ ├── Gating.exp │ │ ├── InputClockMethod.bsv │ │ ├── Makefile │ │ ├── MethodFalse.bsv │ │ ├── MethodTb.bsv │ │ ├── MethodTb2.bsv │ │ ├── MethodTrue.bsv │ │ ├── RuleTb.bsv │ │ ├── SameAbsClockParams.bsv │ │ ├── SubMethod.bsv │ │ ├── SubRule.bsv │ │ ├── attributes │ │ │ ├── GateAllClocks.bsv │ │ │ ├── GateDefaultClock.bsv │ │ │ ├── GateInputClocks1.bsv │ │ │ ├── GateInputClocks2.bsv │ │ │ ├── GateInputClocks3.bsv │ │ │ ├── GateInputClocks4.bsv │ │ │ ├── Makefile │ │ │ └── attributes.exp │ │ ├── portprop │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── OuthighGateAsCondition.bsv │ │ │ ├── PropDeduce_InClockAsOutClock.bsv │ │ │ ├── PropDeduce_MethodUse.bsv │ │ │ ├── PropDeduce_NoUse.bsv │ │ │ ├── PropDeduce_RuleAndMethodShareUse.bsv │ │ │ ├── PropDeduce_RuleUse.bsv │ │ │ ├── PropDeduce_SubmodUseInhigh.bsv │ │ │ ├── PropDeduce_SubmodUseUnused.bsv │ │ │ └── portprop.exp │ │ ├── sysDefaultClockMethod.out.expected │ │ ├── sysGatedClockCycle.out.expected │ │ ├── sysGatedClock_OneMod.out.expected │ │ ├── sysInputClockMethod.out.expected │ │ ├── sysMethodFalse.out.expected │ │ └── sysMethodTrue.out.expected │ ├── Gearbox │ │ ├── Gearbox.exp │ │ ├── Gearbox1to1Test.bsv │ │ ├── GearboxBubbleTest.bsv │ │ ├── GearboxFullSpeedTest.bsv │ │ ├── GearboxSameClockTest.bsv │ │ ├── Makefile │ │ ├── sysGearbox1to1Test.c.out.expected │ │ ├── sysGearbox1to1Test.v.out.expected │ │ ├── sysGearboxBubbleTest.c.out.expected │ │ ├── sysGearboxBubbleTest.v.out.expected │ │ ├── sysGearboxFullSpeedTest.c.out.expected │ │ ├── sysGearboxFullSpeedTest.v.out.expected │ │ ├── sysGearboxSameClockTest.c.out.expected │ │ └── sysGearboxSameClockTest.v.out.expected │ ├── Hierarchy │ │ ├── Hierarchy.exp │ │ ├── InputClocksSameDomain.bsv │ │ ├── Makefile │ │ ├── NoClock_Input.bsv │ │ ├── NoClock_InputOutput.bsv │ │ ├── NoClock_Output.bsv │ │ ├── OneModule.bsv │ │ ├── OneModuleOutputClock.bsv │ │ ├── OneModuleWithReset.bsv │ │ ├── OneModule_InputOutputClock.bsv │ │ ├── OneModule_InputOutputClock2.bsv │ │ ├── OneModule_InputOutputClock3.bsv │ │ ├── TwoSubmodulesClockedBy.bsv │ │ ├── TwoSubmodulesClockedByOutputClock.bsv │ │ ├── TwoSubmodulesInputClock.bsv │ │ ├── TwoSubmodulesInputClockOutputClock.bsv │ │ ├── sysInputClocksSameDomain.c.out.expected │ │ ├── sysInputClocksSameDomain.v.out.expected │ │ ├── sysNoClock.out.expected │ │ ├── sysNoClock.v.out.expected │ │ ├── sysOneModule.c.out.expected │ │ └── sysOneModule.v.out.expected │ ├── LevelFifo │ │ ├── FIFOCountTest.bsv │ │ ├── GFIFOCountTest.bsv │ │ ├── GFIFOLevelTest.bsv │ │ ├── GreaterThanHigh.bsv │ │ ├── GreaterThanHigh.bsv.bsc-ccomp-out.expected │ │ ├── GreaterThanLow.bsv │ │ ├── GreaterThanLow.bsv.bsc-ccomp-out.expected │ │ ├── LessThanHigh.bsv │ │ ├── LessThanHigh.bsv.bsc-ccomp-out.expected │ │ ├── LessThanLow.bsv │ │ ├── LessThanLow.bsv.bsc-ccomp-out.expected │ │ ├── LevelFIFOTest1.bsv │ │ ├── LevelFIFOTest2.bsv │ │ ├── LevelFifo.exp │ │ ├── Makefile │ │ ├── SyncFIFOCountTest.bsv │ │ ├── SyncLevelFIFOTest.bsv │ │ ├── sysFIFOCountTest.out.expected │ │ ├── sysGFIFOCountTest.c.out.expected │ │ ├── sysGFIFOCountTest.out.expected │ │ ├── sysGFIFOLevelTest.c.out.expected │ │ ├── sysGFIFOLevelTest.out.expected │ │ ├── sysLevelFIFOTest1.c.out.expected │ │ ├── sysLevelFIFOTest1.out.expected │ │ ├── sysLevelFIFOTest2.out.expected │ │ ├── sysSyncFIFOCountTest.c.out.expected │ │ ├── sysSyncFIFOCountTest.out.expected │ │ ├── sysSyncLevelFIFOTest.c.out.expected │ │ └── sysSyncLevelFIFOTest.out.expected │ ├── MakeClock │ │ ├── MakeClock.exp │ │ ├── MakeClockTest.bsv │ │ ├── Makefile │ │ ├── signals.rc │ │ └── sysMakeClockTest.out.expected │ ├── Makefile │ ├── Misc │ │ ├── ClockCheckCond.bsv │ │ ├── ClockCheckCond.bsv.bsc-vcomp-out.expected │ │ ├── ClockCheckMethod.bsv │ │ ├── ClockCheckMethod.bsv.bsc-vcomp-out.expected │ │ ├── ClockCheckMethodArg.bsv │ │ ├── ClockCheckMethodArg.bsv.bsc-vcomp-out.expected │ │ ├── ClockCheckPort.bsv │ │ ├── ClockCheckRule.bsv │ │ ├── ClockCheckRule.bsv.bsc-vcomp-out.expected │ │ ├── ClockCheckRule2.bsv │ │ ├── ClockCheckRule2.bsv.bsc-vcomp-out.expected │ │ ├── ClockOf.bsv │ │ ├── ClockOfClocks.bsv │ │ ├── ClockOfUnevalInterfaceBad.bsv │ │ ├── ClockOfUnevalInterfaceOK.bsv │ │ ├── ClocksOf.bsv │ │ ├── EClockMux1.bsv │ │ ├── EClockMux1.bsv.bsc-vcomp-out.expected │ │ ├── EClockMux2.bsv │ │ ├── EClockMux2.bsv.bsc-vcomp-out.expected │ │ ├── EClockMux3.bsv │ │ ├── EClockMux3.bsv.bsc-vcomp-out.expected │ │ ├── EResetClock.bsv │ │ ├── EResetMux1.bsv │ │ ├── EResetMux1.bsv.bsc-vcomp-out.expected │ │ ├── EResetMux2.bsv │ │ ├── EResetMux2.bsv.bsc-vcomp-out.expected │ │ ├── EResetMux3.bsv │ │ ├── EResetMux3.bsv.bsc-vcomp-out.expected │ │ ├── EUndetClock1.bsv │ │ ├── EUndetClock2.bsv │ │ ├── EUndetClock3.bsv │ │ ├── EUndetReset1.bsv │ │ ├── EUndetReset2.bsv │ │ ├── EUndetReset3.bsv │ │ ├── GatedClock.bsv │ │ ├── GatedClock2.bsv │ │ ├── InputClock.bsv │ │ ├── InputPort.bsv │ │ ├── InputReset.bsv │ │ ├── InvertAbsoluteClock.bsv │ │ ├── LaunderClock.bsv │ │ ├── Makefile │ │ ├── MethodWires.bsv │ │ ├── OutputReset.bsv │ │ ├── OutputReset2.bsv │ │ ├── OutputResetBroken.bsv │ │ ├── ResetCheckMethod.bsv │ │ ├── ResetCheckRule.bsv │ │ ├── ResetOf.bsv │ │ ├── ResetOfResets.bsv │ │ ├── ResetsOf.bsv │ │ ├── TestMkClock.bsv │ │ ├── TestMkUngatedClock.bsv │ │ ├── ThreeClocks.v │ │ ├── TransitiveAncestor.bsv │ │ ├── TransitiveFamily.bsv │ │ ├── mcd.exp │ │ ├── sysClockOf.out.expected │ │ ├── sysClocksOf.out.expected │ │ ├── sysInvertAbsoluteClock.out.expected │ │ ├── sysInvertAbsoluteClock.v.out.expected │ │ ├── sysLaunderClock.out.expected │ │ ├── sysResetOf.out.expected │ │ ├── sysResetsOf.out.expected │ │ ├── sysTestMkClock.c.out.expected │ │ ├── sysTestMkClock.v.out.expected │ │ ├── sysTransitiveAncestor.out.expected │ │ └── sysTransitiveFamily.out.expected │ ├── ModArgs │ │ ├── ClockedBy_BadName.bsv │ │ ├── ClockedBy_DefaultClock.bsv │ │ ├── ClockedBy_DefaultClock_WrongClock.bsv │ │ ├── ClockedBy_NoClock.bsv │ │ ├── ClockedBy_RightClock.bsv │ │ ├── ClockedBy_WrongClock.bsv │ │ ├── Makefile │ │ ├── ModArg_Inout_ClockMismatch_NoClock.bsv │ │ ├── ModArg_Port_ClockMismatch_NoClock.bsv │ │ ├── ModArgs.exp │ │ ├── ModulePort_ClockMux.bsv │ │ ├── ModulePort_ClockOf.bsv │ │ ├── ModulePort_NoBoundaryClock.bsv.bsc-vcomp-out.expected │ │ ├── ModulePort_ParamUse.bsv │ │ ├── ModulePort_ParentUseBVI_NoClock_RightClock.bsv │ │ ├── ModulePort_ParentUseBVI_NoClock_WrongClock.bsv │ │ ├── ModulePort_ParentUseBVI_NoReset_RightReset.bsv │ │ ├── ModulePort_ParentUseBVI_NoReset_WrongReset.bsv │ │ ├── ModulePort_ParentUseBVI_RightClock.bsv │ │ ├── ModulePort_ParentUseBVI_RightReset.bsv │ │ ├── ModulePort_ParentUseBVI_WrongClock.bsv │ │ ├── ModulePort_ParentUseBVI_WrongReset.bsv │ │ ├── ModulePort_ParentUse_NoClock_RightClock.bsv │ │ ├── ModulePort_ParentUse_NoClock_WrongClock.bsv │ │ ├── ModulePort_ParentUse_NoReset_RightReset.bsv │ │ ├── ModulePort_ParentUse_NoReset_WrongReset.bsv │ │ ├── ModulePort_ParentUse_TwoClocks.bsv │ │ ├── ModulePort_ParentUse_TwoResets.bsv │ │ ├── ModulePort_ParentUse_WrongClock.bsv │ │ ├── ModulePort_ParentUse_WrongReset.bsv │ │ ├── ModulePort_ResetMux.bsv │ │ ├── ModulePort_ResetOf.bsv │ │ ├── ModulePort_TwoClockUses_RuleInst.bsv │ │ ├── ModulePort_TwoClockUses_RuleMethod.bsv │ │ ├── ModulePort_TwoClockUses_Rules.bsv │ │ ├── ResetBy_BadName.bsv │ │ ├── ResetBy_DefaultReset.bsv │ │ ├── ResetBy_DefaultReset_WrongReset.bsv │ │ ├── ResetBy_NoReset.bsv │ │ ├── ResetBy_RightReset.bsv │ │ └── ResetBy_WrongReset.bsv │ ├── MultErrors │ │ ├── Makefile │ │ ├── MsgTest_ClockOfMultiClock.bsv │ │ ├── MsgTest_ClockOfMultiClock.bsv.bsc-vcomp-out.expected │ │ ├── MsgTest_MultiClock.bsv │ │ ├── MsgTest_MultiClock.bsv.bsc-vcomp-out.expected │ │ ├── MsgTest_MultiReset.bsv │ │ ├── MsgTest_MultiReset.bsv.bsc-vcomp-out.expected │ │ ├── MsgTest_ResetOfMultiReset.bsv │ │ ├── MsgTest_ResetOfMultiReset.bsv.bsc-vcomp-out.expected │ │ ├── MultArgErrors.bsv │ │ ├── MultBoundaryClockErr.bsv │ │ ├── MultClockCrossErr.bsv │ │ ├── MultClockCrossErr.bsv.bsc-ccomp-out.expected │ │ └── mult_errors_mcd.exp │ ├── NoClock │ │ ├── FixupMethod_NoDefaultClock.bsv │ │ ├── FixupRule_NoClock.bsv │ │ ├── FixupRule_NoDefaultClock.bsv │ │ ├── Makefile │ │ ├── MutuallyExclusive.bsv │ │ ├── NoClock.exp │ │ ├── NoDefaultClock_Inout.bsv │ │ ├── NoDefaultClock_Port.bsv │ │ ├── OutputNoClock.bsv │ │ ├── Preempt.bsv │ │ ├── Resource.bsv │ │ ├── UnclockedCond.bsv │ │ ├── UnclockedModule.bsv │ │ ├── Urgency.bsv │ │ ├── sysOutputNoClock.out.expected │ │ └── sysUnclockedModule.out.expected │ ├── NullCrossing │ │ ├── BadDomainError.bsv │ │ ├── CanScheduleFirstError.bsv │ │ ├── CanScheduleFirstError2.bsv │ │ ├── CanScheduleFirstError3.bsv │ │ ├── CascadedNullSyncError.bsv │ │ ├── CascadedNullSyncError2.bsv │ │ ├── CascadedNullSyncError3.bsv │ │ ├── ClockCrossingRuleError1.bsv │ │ ├── ClockCrossingRuleError2.bsv │ │ ├── Makefile │ │ ├── NullCrossingReg.bsv │ │ ├── NullCrossingRegA.bsv │ │ ├── NullCrossingRegU.bsv │ │ ├── NullSyncTest.bsv │ │ ├── NullSyncTest2.bsv │ │ ├── UnclockedSyncError.bsv │ │ ├── nullcrossing.exp │ │ ├── sysNullCrossingReg.out.expected │ │ ├── sysNullSyncTest.out.expected │ │ └── sysNullSyncTest2.out.expected │ ├── Pragmas │ │ ├── AncestorTest1.bsv │ │ ├── AncestorTest2.bsv │ │ ├── AncestorTest3.bsv │ │ ├── AncestorTest4.bsv │ │ ├── AncestorTest5.bsv │ │ ├── AncestorTest6.bsv │ │ ├── AncestorTest7.bsv │ │ ├── AncestorTest8.bsv │ │ ├── AncestorsBoundary.bsv │ │ ├── AncestorsError1.bsv │ │ ├── AncestorsError2.bsv │ │ ├── AncestorsError3.bsv │ │ ├── AncestorsError4.bsv │ │ ├── CLKAttribVerilogKeyword.bsv │ │ ├── CLKAttribWithSpace.bsv │ │ ├── CheckAncestors.bsv │ │ ├── CheckSameFamily.bsv │ │ ├── EUseDefaultClock.bsv │ │ ├── EUseDefaultReset.bsv │ │ ├── EUseDefaultReset_OK.bsv │ │ ├── EmptyCLKAttrib.bsv │ │ ├── EmptyGATEAttrib.bsv │ │ ├── EmptyRSTNAttrib.bsv │ │ ├── FamilyTest1.bsv │ │ ├── FamilyTest2.bsv │ │ ├── Makefile │ │ ├── Pragmas.exp │ │ ├── SameFamilyDefault.bsv │ │ ├── SameFamilyError1.bsv │ │ └── SameFamilyIn.bsv │ ├── Reset │ │ ├── Boundary_Method_MissingReset.bsv │ │ ├── Boundary_Method_MissingReset.bsv.bsc-vcomp-out.expected │ │ ├── Boundary_Method_MultipleReset.bsv │ │ ├── Boundary_Method_MultipleReset.bsv.bsc-vcomp-out.expected │ │ ├── Boundary_Method_MultipleReset_AllMissing.bsv │ │ ├── Boundary_Method_MultipleReset_AllMissing.bsv.bsc-vcomp-out.expected │ │ ├── Boundary_Method_MultipleReset_SomeMissing.bsv │ │ ├── Boundary_Method_MultipleReset_SomeMissing.bsv.bsc-vcomp-out.expected │ │ ├── CheckResetClockFail1.bsv │ │ ├── CheckResetClockPass1.bsv │ │ ├── CheckResetClockPass2.bsv │ │ ├── ClockedBy_BadName.bsv │ │ ├── ClockedBy_DefaultClock_RightDomain.bsv │ │ ├── ClockedBy_DefaultClock_WrongDomain.bsv │ │ ├── ClockedBy_NamedClock_RightDomain.bsv │ │ ├── ClockedBy_NamedClock_WrongDomain.bsv │ │ ├── ClockedBy_NoClock_TwoSubModArgsDiffDomain.bsv │ │ ├── DeriveResetClock_BoundaryClockInSameFamily.bsv │ │ ├── DeriveResetClock_InputOutputResetUnused.bsv │ │ ├── DeriveResetClock_InputOutputResetUsedInSubmod.bsv │ │ ├── DeriveResetClock_NoBoundaryClock.bsv │ │ ├── DeriveResetClock_OneSubModNoClockOneSubModWithClock.bsv │ │ ├── DeriveResetClock_SubModClockedByNoClock.bsv │ │ ├── DeriveResetClock_SubModTwoArgsDiffDomain.bsv │ │ ├── DeriveResetClock_SubModTwoArgsSameDomain.bsv │ │ ├── DeriveResetClock_TwoSubModArgNoClock.bsv │ │ ├── DeriveResetClock_TwoSubModArgsDiffDomain.bsv │ │ ├── DeriveResetClock_TwoSubModArgsSameDomain.bsv │ │ ├── DeriveResetClock_Unused.bsv │ │ ├── InitialResetTest.bsv │ │ ├── MakeReset0.bsv │ │ ├── Makefile │ │ ├── MethodResetGuards.bsv │ │ ├── MultipleResetsForRule.bsv │ │ ├── MultipleResetsForRule.bsv.bsc-vcomp-out.expected │ │ ├── NoDefaultReset_Inout.bsv │ │ ├── NoDefaultReset_Port.bsv │ │ ├── OutputReset.bsv │ │ ├── OutputReset_BoundaryClockInSameFamily.bsv │ │ ├── OutputReset_NoBoundaryClock.bsv │ │ ├── Reset.exp │ │ ├── ResetEither.bsv │ │ ├── ResetMux.bsv │ │ ├── sysInitialResetTest.out.expected │ │ ├── sysMakeReset0.out.expected │ │ ├── sysMethodResetGuards.out.expected │ │ ├── sysMultipleResetsForRule.out.expected │ │ ├── sysResetEither.out.expected │ │ ├── sysResetEither.v.out.expected │ │ ├── sysResetMux.out.expected │ │ └── sysResetMux.v.out.expected │ ├── SyncReset │ │ ├── Makefile │ │ ├── RstTest.bsv │ │ ├── RstTest_E1.bsv │ │ ├── RstTest_V1.bsv │ │ ├── RstTest_V2.bsv │ │ ├── SyncReset.exp │ │ ├── sysRstTest.out.expected │ │ ├── sysRstTest.v.out.expected │ │ ├── sysRstTest_V1.out.expected │ │ ├── sysRstTest_V1.v.out.expected │ │ ├── sysRstTest_V2.out.expected │ │ └── sysRstTest_V2.v.out.expected │ └── Synchronizers │ │ ├── Makefile │ │ ├── SyncBit05Test.bsv │ │ ├── SyncBit15Test.bsv │ │ ├── SyncBit1Test.bsv │ │ ├── SyncBitTest.bsv │ │ ├── SyncFIFOTest.bsv │ │ ├── SyncFIFOTest1.bsv │ │ ├── SyncFIFOTest1A.bsv │ │ ├── SyncFIFOTest2.bsv │ │ ├── SyncHandshakeTest.bsv │ │ ├── SyncHandshakeTest2.bsv │ │ ├── SyncPulseTest.bsv │ │ ├── SyncRegTest.bsv │ │ ├── SyncRegTest2.bsv │ │ ├── SyncRegTest3.bsv │ │ ├── synchronizers.exp │ │ ├── sysSyncBit05Test.out.expected │ │ ├── sysSyncBit15Test.out.expected │ │ ├── sysSyncBit1Test.out.expected │ │ ├── sysSyncBitTest.out.expected │ │ ├── sysSyncFIFOTest.c.out.expected │ │ ├── sysSyncFIFOTest.out.expected │ │ ├── sysSyncFIFOTest1.c.out.expected │ │ ├── sysSyncFIFOTest1.out.expected │ │ ├── sysSyncFIFOTest1A.c.out.expected │ │ ├── sysSyncFIFOTest1A.out.expected │ │ ├── sysSyncFIFOTest2.c.out.expected │ │ ├── sysSyncFIFOTest2.out.expected │ │ ├── sysSyncHandshakeTest.out.expected │ │ ├── sysSyncHandshakeTest2.c.out.expected │ │ ├── sysSyncHandshakeTest2.out.expected │ │ ├── sysSyncPulseTest.out.expected │ │ ├── sysSyncRegTest.out.expected │ │ ├── sysSyncRegTest2.out.expected │ │ ├── sysSyncRegTest3.c.out.expected │ │ └── sysSyncRegTest3.out.expected ├── bsc.misc │ ├── Makefile │ ├── attrErrors │ │ ├── Makefile │ │ ├── MultipleAttribFunc.bsv │ │ ├── MultipleAttribModule.bsv │ │ ├── MultipleAttribRule.bsv │ │ ├── MultipleSameAttribModule.bsv │ │ ├── T1.bsv │ │ ├── T2.bsv │ │ ├── T3.bsv │ │ ├── T4.bsv │ │ ├── T5.bsv │ │ ├── T6.bsv │ │ └── attrErrors.exp │ ├── bitextract │ │ ├── BitArrayUpdateOutOfRangeHigh.bsv │ │ ├── BitExtractInRange.bsv │ │ ├── BitExtractNegative.bsv │ │ ├── BitExtractOutOfRangeBoth.bsv │ │ ├── BitExtractOutOfRangeHigh.bsv │ │ ├── BitExtractOutOfRangeLow.bsv │ │ ├── BitExtractZero.bsv │ │ ├── BitUpdateInRange.bsv │ │ ├── BitUpdateNegative.bsv │ │ ├── BitUpdateOutOfRangeBoth.bsv │ │ ├── BitUpdateOutOfRangeHigh.bsv │ │ ├── BitUpdateOutOfRangeLow.bsv │ │ ├── BitUpdateZero.bsv │ │ ├── Makefile │ │ ├── bitextract.exp │ │ ├── sysBitExtractInRange.out.expected │ │ └── sysBitUpdateInRange.out.expected │ ├── crc │ │ ├── CRCTest1.bsv │ │ ├── Makefile │ │ ├── crc.exp │ │ └── sysCRCTest1.out.expected │ ├── deprecate │ │ ├── DeprecatedLibrary.bs │ │ ├── DeprecatedLibraryBSV.bsv │ │ ├── Makefile │ │ ├── TestDeprecateBSV.bsv │ │ ├── TestDeprecateMsg.bsv │ │ ├── TestDeprecateNoMsg.bsv │ │ └── deprecate.exp │ ├── divmod │ │ ├── DivMod.bsv │ │ ├── DivModWide.bsv │ │ ├── DivideByZero.bsv │ │ ├── DivideByZeroStatic.bsv │ │ ├── DivideByZeroWide.bsv │ │ ├── Makefile │ │ ├── SimpleTest.bsv │ │ ├── divmod.exp │ │ ├── sysDivMod.out.expected │ │ ├── sysDivModWide.out.expected │ │ ├── sysDivideByZero.v.out.expected │ │ ├── sysDivideByZeroWide.v.out.expected │ │ └── sysSimpleTest.out.expected │ ├── eq3 │ │ ├── EQ3.bs │ │ ├── Makefile │ │ └── eq3.exp │ ├── format │ │ ├── ActionValue.bsv │ │ ├── ActionValue.bsv.bsc-out.expected │ │ ├── Bug1572.bsv │ │ ├── DontCareFmt.bsv │ │ ├── EmptyFormat.bsv │ │ ├── Format1.bsv │ │ ├── Format2.bsv │ │ ├── Format3.bsv │ │ ├── Format4.bsv │ │ ├── Format5.bsv │ │ ├── Makefile │ │ ├── format.exp │ │ ├── sysBug1572.out.expected │ │ ├── sysFormat1.out.expected │ │ ├── sysFormat2.out.expected │ │ ├── sysFormat3.out.expected │ │ ├── sysFormat4.c.out.expected │ │ ├── sysFormat4.v.out.expected │ │ ├── sysFormat5.c.out.expected │ │ └── sysFormat5.v.out.expected │ ├── fwrite │ │ ├── Example1.bsv │ │ ├── Example2.bsv │ │ ├── FCloseTypes.bsv │ │ ├── FCloseTypes1.dat.out.expected │ │ ├── FCloseTypes2.dat.out.expected │ │ ├── FCloseTypes3.dat.out.expected │ │ ├── FCloseTypesBad.bsv │ │ ├── FDisplay.bsv │ │ ├── FOpen.bsv │ │ ├── FOpen.dat.out.expected │ │ ├── FOpen2.bsv │ │ ├── FOpen2.dat.out.expected │ │ ├── FOpen3.bsv │ │ ├── FOpen_MCD.bsv │ │ ├── FOpen_MCD.dat.out.expected │ │ ├── FOpen_MCD2.bsv │ │ ├── FOpen_MCD2.dat.out.expected │ │ ├── FWrite2.bsv │ │ ├── FWrite2.dat.out.expected │ │ ├── FWrite3.bsv │ │ ├── FWrite3b.dat.out.expected │ │ ├── FWrite3d.dat.out.expected │ │ ├── FWrite3h.dat.out.expected │ │ ├── FWrite3o.dat.out.expected │ │ ├── FWrites.bsv │ │ ├── FWrites.dat.out.expected │ │ ├── FWrites3.dat.out.expected │ │ ├── FileTypeErr1.bsv │ │ ├── FileTypeErr2.bsv │ │ ├── FileTypeErr3.bsv │ │ ├── FileTypeInfer0.bsv │ │ ├── GetC1.bsv │ │ ├── GetC2.bsv │ │ ├── GetC3.bsv │ │ ├── GetC_err1.bsv │ │ ├── GetC_err2.bsv │ │ ├── GetC_err3.bsv │ │ ├── MCD_ops.bsv │ │ ├── MCD_ops1.dat.out.expected │ │ ├── MCD_ops2.dat.out.expected │ │ ├── MCD_ops3.dat.out.expected │ │ ├── Makefile │ │ ├── fwrite.exp │ │ ├── gettests.dat │ │ ├── sysFCloseTypes.out.expected │ │ ├── sysFOpen.out.expected │ │ ├── sysFOpen2.out.expected │ │ ├── sysFOpen_MCD.out.expected │ │ ├── sysFOpen_MCD2.out.expected │ │ ├── sysFWrite2.out.expected │ │ ├── sysFWrite3.out.expected │ │ ├── sysFWrites.out.expected │ │ ├── sysGetC1.out.expected │ │ ├── sysGetC3.out.expected │ │ └── sysMCD_ops.out.expected │ ├── lambda_calculus │ │ ├── AVMethod_UnusedValue.bsv │ │ ├── DynArrSelWithImplCond.bsv │ │ ├── DynamicInstArg.bsv │ │ ├── DynamicInstArg.bsv.bsc-vcomp-out.expected │ │ ├── Extract.bsv │ │ ├── Makefile │ │ ├── MergeIf.bsv │ │ ├── MergeIf2.bsv │ │ ├── MergeIf3.bsv │ │ ├── MethodReturn_AMethValue.bsv │ │ ├── MethodReturn_ATaskValue.bsv │ │ ├── Method_Split.bsv │ │ ├── Methods.bsv │ │ ├── MultiArityConcat.bsv │ │ ├── NoInline.bsv │ │ ├── PrimMods.bsv │ │ ├── RealInstArg.bsv │ │ ├── StringInstArg.bsv │ │ ├── Structs.bsv │ │ ├── Tb.bsv │ │ ├── lambda_calculus.exp │ │ ├── lc-mkRealInstArg_Sub.out.expected │ │ ├── lc-mkStringInstArg_Sub.out.expected │ │ ├── lc-module_add.out.expected │ │ ├── lc-sysAVMethod_UnusedValue.out.expected │ │ ├── lc-sysDynArrSelWithImplCond.out.expected │ │ ├── lc-sysDynamicInstArg.out.expected │ │ ├── lc-sysExtract.out.expected │ │ ├── lc-sysMergeIf.out.expected │ │ ├── lc-sysMergeIf2.out.expected │ │ ├── lc-sysMergeIf3.out.expected │ │ ├── lc-sysMethodReturn_AMethValue.out.expected │ │ ├── lc-sysMethodReturn_ATaskValue.out.expected │ │ ├── lc-sysMethod_Split.out.expected │ │ ├── lc-sysMethods.out.expected │ │ ├── lc-sysMultiArityConcat.out.expected │ │ ├── lc-sysRealInstArg.out.expected │ │ ├── lc-sysStringInstArg.out.expected │ │ ├── lc-sysStructs.out.expected │ │ └── lc-sysTb.out.expected │ ├── method_conditions │ │ ├── Makefile │ │ ├── MethodConds_ActionArg.bsv │ │ ├── MethodConds_ActionArg_BVI.bsv │ │ ├── MethodConds_ActionNoArg.bsv │ │ ├── MethodConds_CaseAction.bsv │ │ ├── MethodConds_CaseAction_SamePos.bsv │ │ ├── MethodConds_CaseCond.bsv │ │ ├── MethodConds_DroppedRule_Blocked.bsv │ │ ├── MethodConds_DroppedRule_FalseCond.bsv │ │ ├── MethodConds_Function.bsv │ │ ├── MethodConds_MultiplicityCond.bsv │ │ ├── MethodConds_RegWrite.bsv │ │ ├── MethodConds_TaskCond.bsv │ │ ├── MethodConds_TwoLevel.bsv │ │ ├── MethodConds_TwoLevel2.bsv │ │ ├── MethodConds_VecSelAction.bsv │ │ ├── MethodConds_VecSelAction_SamePos.bsv │ │ ├── MethodConds_VecSelCond.bsv │ │ ├── Sub.bsv │ │ ├── dump_poss.tcl │ │ ├── method_conditions.exp │ │ ├── sysMethodConds_ActionArg.bluetcl-out.expected │ │ ├── sysMethodConds_ActionArg_BVI.bluetcl-out.expected │ │ ├── sysMethodConds_ActionNoArg.bluetcl-out.expected │ │ ├── sysMethodConds_CaseAction.bluetcl-out.expected │ │ ├── sysMethodConds_CaseAction_SamePos.bluetcl-out.expected │ │ ├── sysMethodConds_CaseCond.bluetcl-out.expected │ │ ├── sysMethodConds_DroppedRule_Blocked.bluetcl-out.expected │ │ ├── sysMethodConds_DroppedRule_FalseCond.bluetcl-out.expected │ │ ├── sysMethodConds_Function.bluetcl-out.expected │ │ ├── sysMethodConds_MultiplicityCond.bluetcl-out.expected │ │ ├── sysMethodConds_RegWrite.bluetcl-out.expected │ │ ├── sysMethodConds_TaskCond.bluetcl-out.expected │ │ ├── sysMethodConds_TwoLevel.bluetcl-out.expected │ │ ├── sysMethodConds_TwoLevel2.bluetcl-out.expected │ │ ├── sysMethodConds_VecSelAction.bluetcl-out.expected │ │ ├── sysMethodConds_VecSelAction_SamePos.bluetcl-out.expected │ │ └── sysMethodConds_VecSelCond.bluetcl-out.expected │ ├── mul │ │ ├── Complex2.bsv │ │ ├── FP.bsv │ │ ├── Makefile │ │ ├── SignedMul.bsv │ │ ├── Test.bsv │ │ ├── mul.exp │ │ ├── sysComplex2.out.expected │ │ ├── sysSignedMul.out.expected │ │ └── sysTest.out.expected │ ├── ruledrop │ │ ├── Makefile │ │ ├── WarnEmpty.bsv │ │ ├── WarnFalse.bsv │ │ └── ruledrop.exp │ └── sal │ │ ├── .gitignore │ │ ├── CTX_mkRealInstArg_Sub.sal.expected │ │ ├── CTX_mkStringInstArg_Sub.sal.expected │ │ ├── CTX_module_add.sal.expected │ │ ├── CTX_sysAVMethod_UnusedValue.sal.expected │ │ ├── CTX_sysDynArrSelWithImplCond.sal.expected │ │ ├── CTX_sysDynamicInstArg.sal.expected │ │ ├── CTX_sysExtract.sal.expected │ │ ├── CTX_sysMergeIf.sal.expected │ │ ├── CTX_sysMergeIf2.sal.expected │ │ ├── CTX_sysMergeIf3.sal.expected │ │ ├── CTX_sysMethodReturn_AMethValue.sal.expected │ │ ├── CTX_sysMethodReturn_ATaskValue.sal.expected │ │ ├── CTX_sysMethod_Split.sal.expected │ │ ├── CTX_sysMethods.sal.expected │ │ ├── CTX_sysMultiArityConcat.sal.expected │ │ ├── CTX_sysRealInstArg.sal.expected │ │ ├── CTX_sysStringInstArg.sal.expected │ │ ├── CTX_sysStructs.sal.expected │ │ ├── CTX_sysTb.sal.expected │ │ ├── DynamicInstArg.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ └── sal.exp ├── bsc.names │ ├── Makefile │ ├── config │ ├── hierarchy │ │ ├── Design.bsv │ │ ├── Design.bsv.bsc-ccomp-out.expected │ │ ├── Design.bsv.bsc-vcomp-out.expected │ │ ├── Example.bsv │ │ ├── Example.bsv.bsc-ccomp-out.expected │ │ ├── Example.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── Zow.bsv │ │ └── hierarchy.exp │ ├── portRenaming │ │ ├── Makefile │ │ ├── alwaysEnabled │ │ │ ├── IFC1.bsv │ │ │ ├── IFC2.bsv │ │ │ ├── Makefile │ │ │ ├── S1.bsv │ │ │ ├── Test01.bsv │ │ │ ├── Test02.bsv │ │ │ ├── Test03.bsv │ │ │ ├── Test04.bsv │ │ │ ├── Test05.bsv │ │ │ ├── Test06.bsv │ │ │ ├── Test07.bsv │ │ │ ├── Test08.bsv │ │ │ └── alwaysEnabled.exp │ │ ├── alwaysReady │ │ │ ├── IFC1.bsv │ │ │ ├── IFC2.bsv │ │ │ ├── Makefile │ │ │ ├── S1.bsv │ │ │ ├── Test01.bsv │ │ │ ├── Test02.bsv │ │ │ ├── Test03.bsv │ │ │ ├── Test04.bsv │ │ │ ├── Test05.bsv │ │ │ ├── Test06.bsv │ │ │ ├── Test07.bsv │ │ │ ├── Test08.bsv │ │ │ └── alwaysReady.exp │ │ ├── bugs │ │ │ ├── IfcPragmaQualLookup.bsv │ │ │ ├── IfcPragmaQualLookup_Sub.bsv │ │ │ ├── Makefile │ │ │ └── bugs.exp │ │ ├── conflicts │ │ │ ├── Makefile │ │ │ ├── clock │ │ │ │ ├── ClockEnable.bsv │ │ │ │ ├── ClockResult.bsv │ │ │ │ ├── GateEnable.bsv │ │ │ │ ├── Makefile │ │ │ │ └── clock.exp │ │ │ ├── enablePort │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ ├── Test03.bsv │ │ │ │ └── enablePort.exp │ │ │ ├── enableReady │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ ├── Test03.bsv │ │ │ │ ├── Test04.bsv │ │ │ │ ├── Test05.bsv │ │ │ │ ├── Test06.bsv │ │ │ │ └── enableReady.exp │ │ │ ├── enableResult │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ ├── Test03.bsv │ │ │ │ └── enableResult.exp │ │ │ ├── miscellaneous │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ ├── Test03.bsv │ │ │ │ ├── Test04.bsv │ │ │ │ ├── Test05.bsv │ │ │ │ ├── Test06.bsv │ │ │ │ ├── Test07.bsv │ │ │ │ ├── Test08.bsv │ │ │ │ ├── Test09.bsv │ │ │ │ ├── Test10.bsv │ │ │ │ ├── Test11.bsv │ │ │ │ ├── Test12.bsv │ │ │ │ ├── Test13.bsv │ │ │ │ ├── Test14.bsv │ │ │ │ ├── Test15.bsv │ │ │ │ ├── Test16.bsv │ │ │ │ ├── Test17.bsv │ │ │ │ ├── Test18.bsv │ │ │ │ ├── Test19.bsv │ │ │ │ ├── Test20.bsv │ │ │ │ ├── Test21.bsv │ │ │ │ └── conflicts.exp │ │ │ ├── modarg │ │ │ │ ├── Makefile │ │ │ │ ├── ModargClock.bsv │ │ │ │ ├── ModargClockPrefix.bsv │ │ │ │ ├── ModargClockPrefixOK.bsv │ │ │ │ ├── ModargEnable.bsv │ │ │ │ ├── ModargGate.bsv │ │ │ │ ├── ModargGatePrefix.bsv │ │ │ │ ├── ModargGatePrefixOK.bsv │ │ │ │ ├── ModargInout.bsv │ │ │ │ ├── ModargInoutPrefix.bsv │ │ │ │ ├── ModargInoutPrefixOK.bsv │ │ │ │ ├── ModargInoutRename.bsv │ │ │ │ ├── ModargPort.bsv │ │ │ │ ├── ModargPortRename.bsv │ │ │ │ ├── ModargReady.bsv │ │ │ │ ├── ModargReset.bsv │ │ │ │ ├── ModargResetPrefix.bsv │ │ │ │ ├── ModargResetPrefixOK.bsv │ │ │ │ ├── ModargResult.bsv │ │ │ │ └── modarg.exp │ │ │ ├── modparam │ │ │ │ ├── Makefile │ │ │ │ ├── ModparamClock.bsv │ │ │ │ ├── ModparamClockPrefix.bsv │ │ │ │ ├── ModparamClockPrefixOK.bsv │ │ │ │ ├── ModparamEnable.bsv │ │ │ │ ├── ModparamGate.bsv │ │ │ │ ├── ModparamGatePrefix.bsv │ │ │ │ ├── ModparamGatePrefixOK.bsv │ │ │ │ ├── ModparamInout.bsv │ │ │ │ ├── ModparamInoutPrefix.bsv │ │ │ │ ├── ModparamInoutPrefixOK.bsv │ │ │ │ ├── ModparamInoutRename.bsv │ │ │ │ ├── ModparamPort.bsv │ │ │ │ ├── ModparamPortRename.bsv │ │ │ │ ├── ModparamReady.bsv │ │ │ │ ├── ModparamReset.bsv │ │ │ │ ├── ModparamResetPrefix.bsv │ │ │ │ ├── ModparamResetPrefixOK.bsv │ │ │ │ ├── ModparamResult.bsv │ │ │ │ └── modparam.exp │ │ │ ├── prefixEnable │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ └── prefixEnable.exp │ │ │ ├── prefixPort │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ └── prefixPort.exp │ │ │ ├── prefixReady │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ └── prefixReady.exp │ │ │ ├── prefixResult │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ └── prefixResult.exp │ │ │ ├── readyPort │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ ├── Test03.bsv │ │ │ │ └── readyPort.exp │ │ │ ├── readyResult │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ ├── Test03.bsv │ │ │ │ └── readyResult.exp │ │ │ └── resultPort │ │ │ │ ├── Makefile │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ └── resultPort.exp │ │ ├── enableTests │ │ │ ├── IFC.bsv │ │ │ ├── Makefile │ │ │ ├── Tb01.v │ │ │ ├── Tb02.v │ │ │ ├── Tb03.v │ │ │ ├── Tb04.v │ │ │ ├── Tb05.v │ │ │ ├── Tb06.v │ │ │ ├── Tb07.v │ │ │ ├── Tb09.v │ │ │ ├── Test01.bsv │ │ │ ├── Test02.bsv │ │ │ ├── Test03.bsv │ │ │ ├── Test04.bsv │ │ │ ├── Test05.bsv │ │ │ ├── Test06.bsv │ │ │ ├── Test07.bsv │ │ │ ├── Test08.bsv │ │ │ ├── Test09.bsv │ │ │ ├── Test10.bsv │ │ │ └── enableTests.exp │ │ ├── invalidAttrs │ │ │ ├── Makefile │ │ │ ├── always_ready │ │ │ │ ├── Makefile │ │ │ │ ├── WrongLoc_InterfaceWithArg.bsv │ │ │ │ └── always_ready.exp │ │ │ ├── enable │ │ │ │ ├── Empty.bsv │ │ │ │ ├── InvalidName.bsv │ │ │ │ ├── Keyword.bsv │ │ │ │ ├── Makefile │ │ │ │ ├── Space.bsv │ │ │ │ └── enable.exp │ │ │ ├── osc │ │ │ │ ├── Makefile │ │ │ │ ├── WrongLoc_InoutArg.bsv │ │ │ │ └── osc.exp │ │ │ ├── port │ │ │ │ ├── DuplicateAttr.bsv │ │ │ │ ├── DuplicateValue.bsv │ │ │ │ ├── Empty.bsv │ │ │ │ ├── InvalidName.bsv │ │ │ │ ├── Keyword.bsv │ │ │ │ ├── Makefile │ │ │ │ ├── Space.bsv │ │ │ │ ├── WrongLoc_Method.bsv │ │ │ │ └── port.exp │ │ │ ├── prefix │ │ │ │ ├── DuplicateAttr.bsv │ │ │ │ ├── Makefile │ │ │ │ ├── WrongLoc_Interface.bsv │ │ │ │ └── prefix.exp │ │ │ ├── ready │ │ │ │ ├── Empty.bsv │ │ │ │ ├── InvalidName.bsv │ │ │ │ ├── Keyword.bsv │ │ │ │ ├── Makefile │ │ │ │ ├── Space.bsv │ │ │ │ └── ready.exp │ │ │ └── result │ │ │ │ ├── Empty.bsv │ │ │ │ ├── InvalidName.bsv │ │ │ │ ├── Keyword.bsv │ │ │ │ ├── Makefile │ │ │ │ ├── Space.bsv │ │ │ │ └── result.exp │ │ ├── misc │ │ │ ├── Ifc3.bsv │ │ │ ├── Makefile │ │ │ ├── Small1.bsv │ │ │ ├── Small2.bsv │ │ │ ├── Small3.bsv │ │ │ ├── Small4.bsv │ │ │ ├── Small5.bsv │ │ │ ├── Small6.bsv │ │ │ ├── Small6Ifc.bsv │ │ │ ├── Small7.bsv │ │ │ ├── Tb1.v │ │ │ ├── Tb2.v │ │ │ ├── Tb3.v │ │ │ └── misc.exp │ │ ├── moduleArgs │ │ │ ├── BadArgName.bsv │ │ │ ├── ClockPrefix1.bsv │ │ │ ├── ConflictingGates.bsv │ │ │ ├── DefaultClock.bsv │ │ │ ├── DefaultGate1.bsv │ │ │ ├── DefaultGate2.bsv │ │ │ ├── DefaultReset.bsv │ │ │ ├── DeprecatedWarning.bsv │ │ │ ├── EmptyClockPrefix.bsv │ │ │ ├── EmptyGatePrefix.bsv │ │ │ ├── EmptyParamName.bsv │ │ │ ├── EmptyPortName.bsv │ │ │ ├── EmptyPrefixOK.bsv │ │ │ ├── EmptyResetPrefix.bsv │ │ │ ├── GateAttribute1.bsv │ │ │ ├── GateAttribute2.bsv │ │ │ ├── GateAttribute3.bsv │ │ │ ├── GatePrefix1.bsv │ │ │ ├── InoutPortAttribute.bsv │ │ │ ├── Makefile │ │ │ ├── NameClash.bsv │ │ │ ├── NameClash2.bsv │ │ │ ├── NameClashKeyword.bsv │ │ │ ├── NoDefaultClock.bsv │ │ │ ├── NoDefaultReset.bsv │ │ │ ├── OrphanedGate.bsv │ │ │ ├── OscAttribute.bsv │ │ │ ├── ParamAttribute.bsv │ │ │ ├── PortAttribute.bsv │ │ │ ├── ResetAttribute.bsv │ │ │ ├── ResetPrefix1.bsv │ │ │ ├── TestInhigh.bsv │ │ │ ├── TestUnused.bsv │ │ │ ├── UngateAllClocks.bsv │ │ │ ├── UnusedPrefix.bsv │ │ │ ├── UnusedPrefix2.bsv │ │ │ ├── WrongArgType.bsv │ │ │ ├── WrongArgType2.bsv │ │ │ ├── WrongArgType3.bsv │ │ │ ├── WrongArgType4.bsv │ │ │ └── moduleArgs.exp │ │ ├── paths │ │ │ ├── Makefile │ │ │ ├── PathTest.bsv │ │ │ └── portnames.exp │ │ ├── portTests │ │ │ ├── IFC.bsv │ │ │ ├── Makefile │ │ │ ├── Tb01.v │ │ │ ├── Tb02.v │ │ │ ├── Tb03.v │ │ │ ├── Tb04.v │ │ │ ├── Tb05.v │ │ │ ├── Tb06.v │ │ │ ├── Tb07.v │ │ │ ├── Tb09.v │ │ │ ├── Test01.bsv │ │ │ ├── Test02.bsv │ │ │ ├── Test03.bsv │ │ │ ├── Test04.bsv │ │ │ ├── Test05.bsv │ │ │ ├── Test06.bsv │ │ │ ├── Test07.bsv │ │ │ ├── Test08.bsv │ │ │ ├── Test09.bsv │ │ │ └── portTests.exp │ │ ├── prefixTests │ │ │ ├── Makefile │ │ │ ├── methods │ │ │ │ ├── IFC.bsv │ │ │ │ ├── Makefile │ │ │ │ ├── Tb01.v │ │ │ │ ├── Tb02.v │ │ │ │ ├── Tb03.v │ │ │ │ ├── Tb04.v │ │ │ │ ├── Tb05.v │ │ │ │ ├── Tb06.v │ │ │ │ ├── Tb07.v │ │ │ │ ├── Tb08.v │ │ │ │ ├── Tb10.v │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ ├── Test03.bsv │ │ │ │ ├── Test04.bsv │ │ │ │ ├── Test05.bsv │ │ │ │ ├── Test06.bsv │ │ │ │ ├── Test07.bsv │ │ │ │ ├── Test08.bsv │ │ │ │ ├── Test09.bsv │ │ │ │ ├── Test10.bsv │ │ │ │ └── methods.exp │ │ │ └── sub_interfaces │ │ │ │ ├── Clock.bsv │ │ │ │ ├── IFC.bsv │ │ │ │ ├── Inout.bsv │ │ │ │ ├── Makefile │ │ │ │ ├── Reset.bsv │ │ │ │ ├── S1.bsv │ │ │ │ ├── Tb01.v │ │ │ │ ├── Tb02.v │ │ │ │ ├── Tb03.v │ │ │ │ ├── Tb04.v │ │ │ │ ├── Tb05.v │ │ │ │ ├── Tb06.v │ │ │ │ ├── Tb07.v │ │ │ │ ├── Tb08.v │ │ │ │ ├── Tb10.v │ │ │ │ ├── Tb11.v │ │ │ │ ├── Test01.bsv │ │ │ │ ├── Test02.bsv │ │ │ │ ├── Test03.bsv │ │ │ │ ├── Test04.bsv │ │ │ │ ├── Test05.bsv │ │ │ │ ├── Test06.bsv │ │ │ │ ├── Test07.bsv │ │ │ │ ├── Test08.bsv │ │ │ │ ├── Test09.bsv │ │ │ │ ├── Test10.bsv │ │ │ │ ├── Test11.bsv │ │ │ │ └── sub_interfaces.exp │ │ ├── readyTests │ │ │ ├── IFC.bsv │ │ │ ├── Makefile │ │ │ ├── Tb01.v │ │ │ ├── Tb02.v │ │ │ ├── Tb03.v │ │ │ ├── Tb04.v │ │ │ ├── Tb05.v │ │ │ ├── Tb06.v │ │ │ ├── Tb07.v │ │ │ ├── Tb09.v │ │ │ ├── Test01.bsv │ │ │ ├── Test02.bsv │ │ │ ├── Test03.bsv │ │ │ ├── Test04.bsv │ │ │ ├── Test05.bsv │ │ │ ├── Test06.bsv │ │ │ ├── Test07.bsv │ │ │ ├── Test08.bsv │ │ │ ├── Test09.bsv │ │ │ ├── Test10.bsv │ │ │ └── readyTests.exp │ │ ├── resultTests │ │ │ ├── IFC.bsv │ │ │ ├── Makefile │ │ │ ├── Tb01.v │ │ │ ├── Tb02.v │ │ │ ├── Tb03.v │ │ │ ├── Tb04.v │ │ │ ├── Tb05.v │ │ │ ├── Tb06.v │ │ │ ├── Tb07.v │ │ │ ├── Tb08.v │ │ │ ├── Tb09.v │ │ │ ├── Test01.bsv │ │ │ ├── Test02.bsv │ │ │ ├── Test03.bsv │ │ │ ├── Test04.bsv │ │ │ ├── Test05.bsv │ │ │ ├── Test06.bsv │ │ │ ├── Test07.bsv │ │ │ ├── Test08.bsv │ │ │ ├── Test09.bsv │ │ │ └── resultTests.exp │ │ └── vectorTests │ │ │ ├── Makefile │ │ │ ├── Test01.bsv │ │ │ ├── Test02.bsv │ │ │ ├── mkTest01.v.expected │ │ │ ├── mkTest02.v.expected │ │ │ └── vectorTests.exp │ ├── rtl_names │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── names.exp │ │ ├── rtl_names_00.bsv │ │ ├── rtl_names_01.bsv │ │ ├── rtl_names_02.bsv │ │ ├── rtl_names_03.bsv │ │ ├── rtl_names_04.bsv │ │ ├── rtl_names_10.bsv │ │ └── rtl_names_11.bsv │ ├── signal_names │ │ ├── FFunc.bsv │ │ ├── FFunc.bsv.bsc-vcomp-out.expected │ │ ├── LiteralNum_ENotation.bsv │ │ ├── LiteralNum_ENotation.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── Method.bsv │ │ ├── Method.bsv.bsc-vcomp-out.expected │ │ ├── MethodActionValue.bsv │ │ ├── MethodActionValue.bsv.bsc-vcomp-out.expected │ │ ├── MethodRead.bsv │ │ ├── MethodRead.bsv.bsc-vcomp-out.expected │ │ ├── NoInline.bsv │ │ ├── NoInline.bsv.bsc-vcomp-out.expected │ │ ├── TaskValue.bsv │ │ ├── TaskValue.bsv.bsc-vcomp-out.expected │ │ └── signal_names.exp │ └── state_names │ │ ├── Makefile │ │ ├── nested.bsv │ │ ├── one.bsv │ │ ├── state_names.exp │ │ └── two.bsv ├── bsc.options │ ├── .gitignore │ ├── DummyModule.bsv │ ├── GCD.bsv │ ├── IfNested.bs │ ├── IncludeTest.bsv │ ├── Makefile │ ├── NoSplitIfNested.bs │ ├── OptionsAttrBad1.bsv │ ├── OptionsAttrBad1.bsv.bsc-vcomp-out.expected │ ├── OptionsAttrBad2.bsv │ ├── OptionsAttrBad2.bsv.bsc-vcomp-out.expected │ ├── OptionsAttrBad3.bsv │ ├── OptionsAttrBad3.bsv.bsc-vcomp-out.expected │ ├── SplitIfNested.bs │ ├── TestShowQualifiers.bsv │ ├── bsc.fdir_invalid.out.expected │ ├── bsc.help.out.expected │ ├── bsc.infodir_invalid.out.expected │ ├── bsc.path_dup_bdir.out.expected │ ├── bsc.path_dup_no_bdir.out.expected │ ├── bsc.path_nonidentical_dup_no_bdir.out.expected │ ├── bsc.print-flags-raw.out.expected │ ├── bsc.print-flags.expand-if.out.expected │ ├── bsc.print-flags.out.expected │ ├── bsc.print-flags.split-if.out.expected │ ├── bsc.simdir_invalid_no_backend.out.expected │ ├── bsc.simdir_invalid_sim_backend.out.expected │ ├── bsc.test_bsc_option.out.expected │ ├── bsc.vdir_invalid_no_backend.out.expected │ ├── empty.expected │ ├── messages │ │ ├── .gitignore │ │ ├── DemoteTest1.bsv.bsc-vcomp-out.expected │ │ ├── DemoteTest2.bsv.bsc-vcomp-out.expected │ │ ├── DemoteTest3.bsv.bsc-vcomp-out.expected │ │ ├── DemoteTest4.bsv.bsc-vcomp-out.expected │ │ ├── Errors.bsv │ │ ├── Errors.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── PromoteTest1.bsv.bsc-vcomp-out.expected │ │ ├── PromoteTest2.bsv.bsc-vcomp-out.expected │ │ ├── PromoteTest3.bsv.bsc-vcomp-out.expected │ │ ├── PromoteTest4.bsv.bsc-vcomp-out.expected │ │ ├── SuppressTest1.bsv.bsc-vcomp-out.expected │ │ ├── SuppressTest1Lower.bsv.bsc-vcomp-out.expected │ │ ├── SuppressTest2.bsv.bsc-vcomp-out.expected │ │ ├── SuppressTest3.bsv.bsc-vcomp-out.expected │ │ ├── SuppressTest4.bsv.bsc-vcomp-out.expected │ │ ├── Warnings.bsv │ │ ├── Warnings.bsv.bsc-vcomp-out.expected │ │ ├── flag-test.out.expected │ │ ├── flag-test2.out.expected │ │ ├── flag-test3.out.expected │ │ └── messages.exp │ ├── my_time.c.keep │ ├── options.exp │ ├── sysGCD.out.expected │ └── verilog-e │ │ ├── Hello.bsv │ │ ├── Makefile │ │ ├── bsc-D-test.expected │ │ ├── bsc-sim-echo.expected │ │ ├── sysHello.out.expected │ │ └── verilog-e.exp ├── bsc.preprocessor │ ├── Makefile │ ├── ifdef │ │ ├── Makefile │ │ ├── README │ │ ├── bug1190.bsv │ │ ├── ifdef.exp │ │ ├── ifdef2556.bsv │ │ ├── ifdef4891.bsv │ │ ├── ifdef5991.bsv │ │ ├── ifdef7672.bsv │ │ ├── ifdef7720.bsv │ │ ├── iftestcase-perl.pl │ │ └── iftestcase.ll.hs │ ├── include │ │ ├── .gitignore │ │ ├── DupInclude.bsv │ │ ├── DupInclude.bsv.bsc-out.expected │ │ ├── DupInclude.defines │ │ ├── IncludeAbsolute.bsv.bsc-vcomp-out.expected.pre-m4 │ │ ├── IncludeAbsolute.bsv.pre-m4 │ │ ├── IncludeNoPath.bsv │ │ ├── IncludeNoPath.bsv.bsc-vcomp-out.expected │ │ ├── IncludeSubdir.bsv │ │ ├── IncludeSubdir.bsv.bsc-vcomp-out.expected │ │ ├── IncludeVendor.bsv │ │ ├── IncludeVendor_MissingCloseDelim.bsv │ │ ├── Makefile │ │ ├── defines1 │ │ ├── include.exp │ │ └── subdir │ │ │ ├── Makefile │ │ │ └── defines2 │ └── misc │ │ ├── CommentMacro.bsv │ │ ├── Define_NonTermComment_EOF.bsv │ │ ├── FuncMacro_ArgReduces.bsv │ │ ├── FuncMacro_ArgReduces.vpp-out.expected │ │ ├── FuncMacro_MissingParen.bsv │ │ ├── FuncMacro_MissingParen_EOF.bsv │ │ ├── FuncMacro_MissingParen_NextLine.bsv │ │ ├── FuncMacro_Simple.bsv │ │ ├── FuncMacro_Simple.vpp-out.expected │ │ ├── Line_BadArg_NonNumeric.bsv │ │ ├── Line_BadArg_NumericWithSpace.bsv │ │ ├── Line_MissingArg.bsv │ │ ├── Line_MissingArg_EOF.bsv │ │ ├── Line_MissingArg_NextLine.bsv │ │ ├── Line_MissingArg_NoNext.bsv │ │ ├── Line_MissingParen.bsv │ │ ├── Line_MissingParen_EOF.bsv │ │ ├── Line_MissingParen_NextLine.bsv │ │ ├── Makefile │ │ ├── MultilineIfdef.bsv │ │ ├── MultilineIfdef.vpp-out.expected │ │ ├── MultilineUndef.bsv │ │ ├── MultilineUndef.vpp-out.expected │ │ ├── NoId_Ifdef.bsv │ │ ├── NoId_Ifndef.bsv │ │ ├── NoId_Macro_Include.bsv │ │ ├── NoId_Macro_Main.bsv │ │ ├── NoId_Undef.bsv │ │ ├── NoNewlineIfdef.bsv │ │ ├── NoNewlineIfdef.vpp-out.expected │ │ └── misc.exp ├── bsc.real │ ├── Makefile │ ├── evaluator │ │ ├── AddSubInf.bsv │ │ ├── AddSubInf.bsv.bsc-vcomp-out.expected │ │ ├── Arith.bsv │ │ ├── Arith.bsv.bsc-vcomp-out.expected │ │ ├── Bits.bsv │ │ ├── Bits.bsv.bsc-vcomp-out.expected │ │ ├── Constants.bsv │ │ ├── Constants.bsv.bsc-vcomp-out.expected │ │ ├── Exps.bsv │ │ ├── Exps.bsv.bsc-vcomp-out.expected │ │ ├── Introspect.bsv │ │ ├── Introspect.bsv.bsc-vcomp-out.expected │ │ ├── IntrospectInfinite.bsv │ │ ├── IntrospectInfinite.bsv.bsc-vcomp-out.expected │ │ ├── IsInfinite.bsv │ │ ├── IsInfinite.bsv.bsc-vcomp-out.expected │ │ ├── IsNegativeZero.bsv │ │ ├── IsNegativeZero.bsv.bsc-vcomp-out.expected │ │ ├── LiteralEqOrd.bsv │ │ ├── LiteralEqOrd.bsv.bsc-vcomp-out.expected │ │ ├── Logs.bsv │ │ ├── Logs.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── RoundInfinite.bsv │ │ ├── RoundInfinite.bsv.bsc-vcomp-out.expected │ │ ├── Rounds.bsv │ │ ├── Rounds.bsv.bsc-vcomp-out.expected │ │ ├── Sqrt.bsv │ │ ├── Sqrt.bsv.bsc-vcomp-out.expected │ │ ├── Zero.bsv │ │ ├── Zero.bsv.bsc-vcomp-out.expected │ │ ├── errors │ │ │ ├── AddNegInfPosInf.bsv │ │ │ ├── AddNegInfPosInf.bsv.bsc-vcomp-out.expected │ │ │ ├── AddPosInfNegInf.bsv │ │ │ ├── AddPosInfNegInf.bsv.bsc-vcomp-out.expected │ │ │ ├── BitsToNaN1.bsv │ │ │ ├── BitsToNaN1.bsv.bsc-vcomp-out.expected │ │ │ ├── BitsToNaN2.bsv │ │ │ ├── BitsToNaN2.bsv.bsc-vcomp-out.expected │ │ │ ├── DivZero.bsv │ │ │ ├── DivZero.bsv.bsc-vcomp-out.expected │ │ │ ├── LogBaseNegative.bsv │ │ │ ├── LogBaseNegative.bsv.bsc-vcomp-out.expected │ │ │ ├── LogBaseOneOne.bsv │ │ │ ├── LogBaseOneOne.bsv.bsc-vcomp-out.expected │ │ │ ├── LogBaseZero.bsv │ │ │ ├── LogBaseZero.bsv.bsc-vcomp-out.expected │ │ │ ├── Makefile │ │ │ ├── MulNegZeroNegInf.bsv │ │ │ ├── MulNegZeroNegInf.bsv.bsc-vcomp-out.expected │ │ │ ├── MulNegZeroPosInf.bsv │ │ │ ├── MulNegZeroPosInf.bsv.bsc-vcomp-out.expected │ │ │ ├── MulPosZeroNegInf.bsv │ │ │ ├── MulPosZeroNegInf.bsv.bsc-vcomp-out.expected │ │ │ ├── MulPosZeroPosInf.bsv │ │ │ ├── MulPosZeroPosInf.bsv.bsc-vcomp-out.expected │ │ │ ├── SqrtNegative.bsv │ │ │ ├── SqrtNegative.bsv.bsc-vcomp-out.expected │ │ │ ├── SubNegInf.bsv │ │ │ ├── SubNegInf.bsv.bsc-vcomp-out.expected │ │ │ ├── SubPosInf.bsv │ │ │ ├── SubPosInf.bsv.bsc-vcomp-out.expected │ │ │ └── errors.exp │ │ ├── evaluator.exp │ │ └── undef │ │ │ ├── DontCareDynSelectStaticArrayReal.bsv │ │ │ ├── DontCareRealPrim.bsv │ │ │ ├── Makefile │ │ │ ├── sysDontCareDynSelectStaticArrayReal.out.expected │ │ │ └── undef.exp │ └── parser │ │ ├── Classic.bs │ │ ├── Classic.bs.bsc-vcomp-out.expected │ │ ├── FractionalLeadingZeros.bsv │ │ ├── FractionalLeadingZeros.bsv.bsc-vcomp-out.expected │ │ ├── LargeReal.bsv │ │ ├── LargeReal.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── NoDigitsAfterDot.bsv │ │ └── parser.exp ├── bsc.scheduler │ ├── .cvsignore │ ├── AccessorConflicts.bs │ ├── AccessorConflicts.bs.bsc-sched-out.expected │ ├── AccessorPredicates.bs │ ├── AccessorPredicates.bs.bsc-sched-out.expected │ ├── BlockerEsposito.bs │ ├── BlockerEsposito.bs.bsc-sched-out.expected │ ├── BlockersEsposito.bs │ ├── BlockersEsposito.bs.bsc-sched-out.expected │ ├── Boundary.bsv │ ├── CFandSCmethods.bs │ ├── DisjointRanges.bs │ ├── ExclusiveEnq.bs │ ├── IgnoreRdy.bs │ ├── IgnoreRdy.bs.bsc-sched-out.expected │ ├── InterfaceOrderingParallel.bs │ ├── InterfaceOrderingParallel.bs.bsc-sched-out.expected │ ├── InterfaceOrderingResource.bs │ ├── InterfaceOrderingResource.bs.bsc-sched-out.expected │ ├── InterfaceOrderingSequential.bs │ ├── InterfaceOrderingSequential.bs.bsc-sched-out.expected │ ├── Makefile │ ├── PredicateConflicts.bs │ ├── PredicateConflicts.bs.bsc-sched-out.expected │ ├── Ring.bs │ ├── Ring.bs.bsc-sched-out.expected │ ├── RuleAssertionsEspositoFail.bs │ ├── RuleAssertionsEspositoFail.bs.bsc-sched-out.expected │ ├── RuleAssertionsEspositoOK.bs │ ├── RuleAssertionsEspositoOK.bs.bsc-sched-out.expected │ ├── RuleMethodConflict.bsv │ ├── RuleMethodConflict.bsv.bsc-sched-out.expected │ ├── SplitIf.bsv │ ├── SplitIf.bsv.bsc-sched-out.expected │ ├── SplitIf2.bsv │ ├── SplitIf2.bsv.bsc-sched-out.expected │ ├── SplitIfMeth.bsv │ ├── SplitIfMeth.bsv.bsc-sched-out.expected │ ├── ThreeRulesEsposito.bs │ ├── ThreeRulesEsposito.bs.bsc-sched-out.expected │ ├── TooManyBlockersEsposito.bs │ ├── TooManyBlockersEsposito.bs.bsc-sched-out.expected │ ├── attribute_scope │ │ ├── InlinedMethodName.bsv │ │ ├── InlinedMethodName.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── RuleHierarchyNonAlphanum1.bsv │ │ ├── RuleHierarchyNonAlphanum1.bsv.bsc-vcomp-out.expected │ │ ├── RuleHierarchyNonAlphanum2.bsv │ │ ├── RuleHierarchyNonAlphanum2.bsv.bsc-vcomp-out.expected │ │ ├── RuleMethClash.bsv │ │ ├── RuleMethClash.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameAcrossSubModule1.bsv │ │ ├── RuleNameAcrossSubModule1.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameAcrossSubModule2.bsv │ │ ├── RuleNameAcrossSubModule2.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameAcrossTopModule.bsv │ │ ├── RuleNameAcrossTopModule.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameError.bsv │ │ ├── RuleNameErrorJoin.bsv │ │ ├── RuleNameInAddRules1.bsv │ │ ├── RuleNameInAddRules1.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInAddRules2.bsv │ │ ├── RuleNameInAddRules2.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInAddRulesClash.bsv │ │ ├── RuleNameInAddRulesClash.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInBuildMod.bsv │ │ ├── RuleNameInBuildModClash.bsv │ │ ├── RuleNameInBuildModClash.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInForLoop1.bsv │ │ ├── RuleNameInForLoop1.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInForLoop2.bsv │ │ ├── RuleNameInForLoop3.bsv │ │ ├── RuleNameInForLoop3.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInFunction.bsv │ │ ├── RuleNameInFunction.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInModule1.bsv │ │ ├── RuleNameInModule2.bsv │ │ ├── RuleNameInModule3.bsv │ │ ├── RuleNameInNested1.bsv │ │ ├── RuleNameInNested1.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInNested2.bsv │ │ ├── RuleNameInNested2.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInParent.bsv │ │ ├── RuleNameInParent.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInParentClash.bsv │ │ ├── RuleNameInParentClash.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInRJoin1.bsv │ │ ├── RuleNameInRJoin1.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInRJoin2.bsv │ │ ├── RuleNameInSubmod.bsv │ │ ├── RuleNameInSubmod.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInSubmodClash.bsv │ │ ├── RuleNameInSubmodClash.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInSubmodClash2.bsv │ │ ├── RuleNameInSubmodClash2.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInSubmodClash3.bsv │ │ ├── RuleNameInSubmodClash3.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameInSubmodNoPrefix.bsv │ │ ├── RuleNameInSubmodNoPrefix.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameNonAlphanum1.bsv │ │ ├── RuleNameNonAlphanum1.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameNonAlphanum2.bsv │ │ ├── RuleNameNonAlphanum2.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameNonAlphanumClash1.bsv │ │ ├── RuleNameNonAlphanumClash1.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameNonAlphanumClash2.bsv │ │ ├── RuleNameNonAlphanumClash2.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameNonAlphanumClash3.bsv │ │ ├── RuleNameNonAlphanumClash3.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameNonAlphanumClashLoop.bsv │ │ ├── RuleNameNonAlphanumClashLoop.bsv.bsc-vcomp-out.expected │ │ ├── RuleNameWithSpace.bs │ │ ├── RuleNameWithSpace.bs.bsc-vcomp-out.expected │ │ ├── SplitTopMethodName.bsv │ │ ├── SplitTopMethodName.bsv.bsc-vcomp-out.expected │ │ ├── TopMethodNameSubModule.bsv │ │ ├── TopMethodNameSubModule.bsv.bsc-vcomp-out.expected │ │ ├── TopMethodNameSubModuleClash.bsv │ │ ├── TopMethodNameSubModuleClash.bsv.bsc-vcomp-out.expected │ │ ├── TopMethodNameTopModule1.bsv │ │ ├── TopMethodNameTopModule1.bsv.bsc-vcomp-out.expected │ │ ├── TopMethodNameTopModule2.bsv │ │ ├── TopMethodNameTopModule2.bsv.bsc-vcomp-out.expected │ │ └── attribute_scope.exp │ ├── avmeth │ │ ├── AVArgUse_C.bsv │ │ ├── AVArgUse_C.bsv.bsc-sched-out.expected │ │ ├── AVArgUse_SBR.bsv │ │ ├── AVArgUse_SBR.bsv.bsc-sched-out.expected │ │ ├── ArgCondUse.bsv │ │ ├── ArgCondUse.bsv.bsc-sched-out.expected │ │ ├── Makefile │ │ ├── TestAVMethCF.bsv │ │ ├── TestAVMethCF.bsv.bsc-vcomp-out.expected │ │ ├── TestAVMethSBR.bsv │ │ ├── TestAVMethSBR.bsv.bsc-vcomp-out.expected │ │ └── avmeth.exp │ ├── conflict_free │ │ ├── CFExecOrder1.bsv │ │ ├── CFExecOrder2.bsv │ │ ├── CFExecOrder3.bsv │ │ ├── CFSingleton.bsv │ │ ├── CFSwitch.bsv │ │ ├── ConflictFreeNotOK.bsv │ │ ├── ConflictFreeNotResource.bsv │ │ ├── ConflictFreeOK.bsv │ │ ├── ConflictFreeOK2.bsv │ │ ├── ConflictFreeOK3.bsv │ │ ├── ConflictFreeResource.bsv │ │ ├── ConflictFreeSwap.bsv │ │ ├── ConflictFreeWrite.bsv │ │ ├── Makefile │ │ ├── conflict_free.exp │ │ ├── sysCFExecOrder1.c.out.expected │ │ ├── sysCFExecOrder1.v.out.expected │ │ ├── sysCFExecOrder2.out.expected │ │ ├── sysCFExecOrder3.out.expected │ │ ├── sysCFSwitch.c.out.expected │ │ ├── sysCFSwitch.v.out.expected │ │ ├── sysConflictFreeNotOK.c.out.expected │ │ ├── sysConflictFreeNotOK.v.out.expected │ │ ├── sysConflictFreeOK.out.expected │ │ ├── sysConflictFreeOK2.out.expected │ │ ├── sysConflictFreeOK3.out.expected │ │ └── sysConflictFreeResource.out.expected │ ├── disjoint │ │ ├── DisjointCheck1.bsv │ │ ├── DisjointCheck2.bsv │ │ ├── GCD_t1.bs │ │ ├── GCD_t1.bs.bsc-sched-out.expected │ │ ├── Makefile │ │ ├── ResourceOneRuleME.bs │ │ ├── ResourceOneRuleMEbug.bs │ │ ├── ResourceOneRuleb31Fixed.bs │ │ ├── SharedPortsFIFO.bsv │ │ ├── SharedPortsFIFO.bsv.bsc-sched-out.expected │ │ ├── SharedPortsInArg.bsv │ │ ├── SharedPortsRegFile.bsv │ │ ├── SharedPortsRegFile.bsv.bsc-sched-out.expected │ │ └── disjoint.exp │ ├── dump │ │ ├── ExpandFalse.bsv │ │ ├── ExpandFalse.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── MethodNeverReady.bsv │ │ ├── MethodNeverReady.bsv.bsc-vcomp-out.expected │ │ ├── PPrintSched.bsv │ │ ├── RuleFalse.bsv │ │ ├── RuleFalse.bsv.bsc-vcomp-out.expected │ │ ├── RuleNeverFires.bsv │ │ ├── RuleNeverFires.bsv.bsc-vcomp-out.expected │ │ ├── dump.exp │ │ └── sysPPrintSched.sched.expected │ ├── earliness │ │ ├── ActionShadowing.bsv │ │ ├── ActionShadowing.bsv.bsc-vcomp-out.expected │ │ ├── AmbiguousEarliness.bsv │ │ ├── AmbiguousEarlinessMethodMethod.bsv │ │ ├── AmbiguousEarlinessMethodRule.bsv │ │ ├── AmbiguousEarlinessNoArgs.bsv │ │ ├── AmbiguousEarlinessSameArgs_ManyCalls.bsv │ │ ├── AmbiguousEarlinessSameArgs_ManyCalls_DiffCond.bsv │ │ ├── AmbiguousEarlinessSameArgs_OneCall.bsv │ │ ├── AmbiguousEarlinessSameArgs_OneCall_DiffCond.bsv │ │ ├── EOSingleton.bsv │ │ ├── ExecutionOrderAttrModule.bsv │ │ ├── ExecutionOrderAttrRule.bsv │ │ ├── ExecutionOrderAttrRule_Conflict.bsv │ │ ├── ExecutionOrderAttr_Method.bsv │ │ ├── ExecutionOrderAttr_Method.bsv.bsc-vcomp-out.expected │ │ ├── JoinExecutionOrderMany.bsv │ │ ├── Makefile │ │ ├── NoErrorDueToSeq.bsv │ │ ├── UnambiguousEarliness.bsv │ │ └── earliness.exp │ ├── mutually_exclusive │ │ ├── MEExecOrder1.bsv │ │ ├── MEExecOrder2.bsv │ │ ├── MESingleton.bsv │ │ ├── Makefile │ │ ├── MutuallyExclusiveBug1327.bsv │ │ ├── MutuallyExclusiveCheck.bsv │ │ ├── MutuallyExclusiveCheckOK.bsv │ │ ├── MutuallyExclusiveNotOK.bsv │ │ ├── MutuallyExclusiveOK.bsv │ │ ├── mutually_exclusive.exp │ │ ├── sysMEExecOrder2.out.expected │ │ ├── sysMutuallyExclusiveBug1327.out.expected │ │ ├── sysMutuallyExclusiveCheck.out.expected │ │ └── sysMutuallyExclusiveCheckOK.out.expected │ ├── paths │ │ ├── Makefile │ │ ├── MethodEnableToArgMux.bsv │ │ ├── MethodEnableToWillFire.bsv │ │ ├── PortPath.bsv │ │ └── paths.exp │ ├── preempts │ │ ├── Makefile │ │ ├── Preempts.bsv │ │ ├── PreemptsSingleton.bsv │ │ └── preempts.exp │ ├── relax-schedule │ │ ├── BaseTypes.bsv │ │ ├── BypassFIFO.bsv │ │ ├── Ex1.bsv │ │ ├── Ex1.bsv.bsc-sched-out.expected │ │ ├── Ex2.bsv │ │ ├── Ex2.bsv.bsc-sched-out.expected │ │ ├── Ex3.bsv │ │ ├── Ex3.bsv.bsc-sched-out.expected │ │ ├── Ex4.bsv │ │ ├── Ex4.bsv.bsc-sched-out.expected │ │ ├── Ex5.bsv │ │ ├── Ex5.bsv.bsc-sched-out.expected │ │ ├── GetUrgency.bsv │ │ ├── GetUrgency.bsv.bsc-sched-out.expected │ │ ├── IBuffer2.bsv │ │ ├── IBuffer2.bsv.bsc-sched-out.expected │ │ ├── IBus.bsv │ │ ├── Interfaces.bsv │ │ ├── MECombSched.bsv │ │ ├── Makefile │ │ ├── MethodSchedToExec.bsv │ │ ├── MethodSchedToExec_SecondMethod.bsv │ │ ├── MethodSched_Action.bsv │ │ ├── MethodSched_Value.bsv │ │ ├── PutUrgency.bsv │ │ ├── PutUrgency.bsv.bsc-sched-out.expected │ │ ├── PutUrgency2.bsv │ │ ├── PutUrgency2.bsv.bsc-sched-out.expected │ │ ├── RuleBetweenMethods.bsv │ │ ├── RuleBetweenMethods_CFAttrNoCommonMethod.bsv │ │ ├── RuleBetweenMethods_Loop_TwoME.bsv │ │ ├── RuleBetweenMethods_MEAttrNoCommonMethod.bsv │ │ ├── RuleBetweenMethods_MEWithFFEdges.bsv │ │ ├── RuleBetweenMethods_TwoLevels.bsv │ │ ├── RuleBetweenMethods_TwoLevels2.bsv │ │ ├── RuleBetweenMethods_TwoLevels_MultipleMethods.bsv │ │ ├── RuleBetweenMethods_TwoRulesBothDirsDisjoint.bsv │ │ ├── RuleBetweenMethods_TwoRulesBothDirsDisjoint.bsv.bsc-ccomp-out.expected │ │ ├── RuleBetweenMethods_TwoRulesBothDirs_TwoLevels.bsv │ │ ├── RuleBetweenMethods_TwoRulesBothDirs_TwoLevels2.bsv │ │ ├── RuleBetweenMethods_TwoRulesConflict.bsv │ │ ├── RuleBetweenMethods_TwoRulesConflict.bsv.bsc-ccomp-out.expected │ │ ├── RuleBetweenMethods_TwoRulesDisjoint.bsv │ │ ├── RuleBetweenMethods_TwoRulesDisjoint.bsv.bsc-ccomp-out.expected │ │ ├── SeqEx1.bsv │ │ ├── SeqEx1.bsv.bsc-sched-out.expected │ │ ├── ValueMethodEx1.bsv │ │ ├── ValueMethodEx1.bsv.bsc-sched-out.expected │ │ ├── ValueMethodEx2.bsv │ │ ├── ValueMethodEx2.bsv.bsc-sched-out.expected │ │ ├── X86_Datatypes.bsv │ │ ├── relax-schedule.exp │ │ └── sysRuleBetweenMethods_TwoLevels_MultipleMethods.sched.expected │ ├── resource │ │ ├── Makefile │ │ ├── RegFileFiveInstUses.bsv │ │ ├── RegFileSixInstUses.bsv │ │ ├── ResourceOneRuleCSE.bs │ │ ├── ResourceOneRuleCSE.bs.bsc-sched-out.expected │ │ ├── ResourceOneRuleCSE2.bs │ │ ├── ResourceOneRuleCSE2.bs.bsc-sched-out.expected │ │ ├── ResourceOneRuleCSEnoSC.bs │ │ ├── ResourceOneRuleCSEnoSC.bs.bsc-sched-out.expected │ │ ├── ResourceOneRuleFail.bs │ │ ├── ResourceOneRuleFail.bs.bsc-sched-out.expected │ │ ├── ResourceOneRuleFailII.bs │ │ ├── ResourceOneRuleFailII.bs.bsc-sched-out.expected │ │ ├── ResourceOneRuleFailIII.bs │ │ ├── ResourceOneRuleFailIII.bs.bsc-sched-out.expected │ │ ├── ResourceOneRuleMultiportAction.bs │ │ ├── ResourceOneRuleOK.bs │ │ ├── ResourceOneRuleOK.bs.bsc-sched-out.expected │ │ ├── ResourceOneRuleSC.bs │ │ ├── ResourceOneRuleSC.bs.bsc-sched-out.expected │ │ ├── ResourceOneRuleTwoMethodsNotSC.bs │ │ ├── ResourceOneRuleTwoMethodsSC.bs │ │ ├── ResourceOneRuleTwoMethodsSC.bs.bsc-sched-out.expected │ │ ├── ResourcePredicates.bs │ │ ├── ResourcePredicates.bs.bsc-sched-out.expected │ │ ├── ResourceSixRulesFail.bs │ │ ├── ResourceTwoRules.bs │ │ ├── ResourceTwoRules.bs.bsc-sched-out.expected │ │ ├── ResourceTwoRulesCond.bsv │ │ ├── ResourceTwoRulesCond.bsv.bsc-sched-out.expected │ │ ├── ResourceTwoRulesFail.bs │ │ └── resource.exp │ ├── rulesort │ │ ├── Makefile │ │ ├── RuleSort.bs │ │ ├── rulesort.exp │ │ └── sysRuleSort.out.expected │ ├── sat │ │ ├── AddTest.bsv │ │ ├── AddTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── AddTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ArraySelectImplCondTest.bsv │ │ ├── ArraySelectImplCondTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ArraySelectImplCondTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ArraySelectLongIndexTest.bsv │ │ ├── ArraySelectLongIndexTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ArraySelectLongIndexTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ArraySelectShortIndexTest.bsv │ │ ├── ArraySelectShortIndexTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ArraySelectShortIndexTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ArraySelectTest.bsv │ │ ├── ArraySelectTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ArraySelectTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── BoolTest.bsv │ │ ├── BoolTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── BoolTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── CaseTest.bsv │ │ ├── CaseTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── CaseTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── DivTest.bsv │ │ ├── DivTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── DivTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── IteTest.bsv │ │ ├── IteTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── IteTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── LessThanSTest.bsv │ │ ├── LessThanSTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── LessThanSTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── LessThanTest.bsv │ │ ├── LessThanTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── LessThanTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── Makefile │ │ ├── MultTest.bsv │ │ ├── MultTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── MultTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ParamBitsTest.bsv │ │ ├── ParamBitsTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ParamBitsTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ParamBoolTest.bsv │ │ ├── ParamBoolTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ParamBoolTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── RemTest.bsv │ │ ├── RemTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── RemTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── SextTest.bsv │ │ ├── SextTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── SextTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ShiftLTest.bsv │ │ ├── ShiftLTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ShiftLTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ShiftRATest.bsv │ │ ├── ShiftRATest2.bsv │ │ ├── ShiftRATest2_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ShiftRATest2_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ShiftRATest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ShiftRATest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ShiftRTest.bsv │ │ ├── ShiftRTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ShiftRTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── TruncateTest.bsv │ │ ├── TruncateTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── TruncateTest_sat-yices.bsv.bsc-sched-out.expected │ │ ├── Word64Test.bsv │ │ ├── Word64Test_sat-stp.bsv.bsc-sched-out.expected │ │ ├── Word64Test_sat-yices.bsv.bsc-sched-out.expected │ │ ├── ZextTest.bsv │ │ ├── ZextTest_sat-stp.bsv.bsc-sched-out.expected │ │ ├── ZextTest_sat-yices.bsv.bsc-sched-out.expected │ │ └── sat.exp │ ├── sbr │ │ ├── Makefile │ │ ├── SBRCount.bsv │ │ ├── SBRCount.bsv.bsc-sched-out.expected │ │ ├── SBRValueNotOK.bsv │ │ ├── SBRValueOK.bsv │ │ ├── SBRValueOK_small.bsv │ │ └── sbr.exp │ ├── sched-conditions │ │ ├── Makefile │ │ ├── SchedCondsDynamicSchedule.bsv │ │ ├── SchedCondsInit.bsv │ │ ├── SchedCondsSimple.bsv │ │ └── sched-conditions.exp │ ├── scheduler.exp │ ├── sysBoundary.out.expected │ ├── urgency │ │ ├── AlwaysEnabled.bsv │ │ ├── DUFunction1.bsv │ │ ├── DUFunction1.bsv.bsc-sched-out.expected │ │ ├── DUFunction2.bsv │ │ ├── DUFunction2.bsv.bsc-sched-out.expected │ │ ├── DUFunction3.bsv │ │ ├── DUFunction3.bsv.bsc-sched-out.expected │ │ ├── DUFunction4.bsv │ │ ├── DUFunction4.bsv.bsc-sched-out.expected │ │ ├── DUFunction5.bsv │ │ ├── DUFunction5.bsv.bsc-sched-out.expected │ │ ├── DUFunction6.bsv │ │ ├── DUFunction6.bsv.bsc-sched-out.expected │ │ ├── DUSingleton.bsv │ │ ├── DescendingUrgencyAttribute1.bsv │ │ ├── DescendingUrgencyAttribute1.bsv.bsc-sched-out.expected │ │ ├── DescendingUrgencyAttribute2.bsv │ │ ├── DescendingUrgencyAttribute2.bsv.bsc-sched-out.expected │ │ ├── DescendingUrgencyAttributeBadRule.bsv │ │ ├── DescendingUrgencyAttributeForLoop.bsv │ │ ├── DescendingUrgencyAttributeForLoop.bsv.bsc-sched-out.expected │ │ ├── DescendingUrgencyAttributeSplitIf.bsv │ │ ├── DescendingUrgencyAttributeSplitIf.bsv.bsc-sched-out.expected │ │ ├── DescendingUrgencyAttributeSubModule1.bsv │ │ ├── DescendingUrgencyAttributeSubModule1.bsv.bsc-sched-out.expected │ │ ├── IfcIfcWarning.bsv │ │ ├── IfcIfcWarning.bsv.bsc-sched-out.expected │ │ ├── IfcRuleWarning.bsv │ │ ├── IfcRuleWarning.bsv.bsc-sched-out.expected │ │ ├── JoinUrgencyMany.bsv │ │ ├── Makefile │ │ ├── MinimalRuleWarnings.bsv │ │ ├── MinimalRuleWarnings.bsv.bsc-sched-out.expected │ │ ├── MinimalRuleWarnings2.bsv │ │ ├── NoErrorDueToSeq1.bsv │ │ ├── NoErrorDueToSeq2.bsv │ │ ├── NoUrgencyEdgeCF.bsv │ │ ├── NoUrgencyEdgeME.bsv │ │ ├── NoUrgencyEdgeSB.bsv │ │ ├── ReflexiveDescendingUrgency.bsv │ │ ├── RulePreemptsValueMethod.bsv │ │ ├── Transitivity.bsv │ │ ├── UrgencyCycleError.bsv │ │ ├── ValueMethodPreemptsRule.bsv │ │ ├── methods │ │ │ ├── BypassFIFO.bsv │ │ │ ├── GetUrgency.bsv │ │ │ ├── Makefile │ │ │ ├── PutUrgency.bsv │ │ │ ├── WarnMethodUrgency.bsv │ │ │ └── methods.exp │ │ └── urgency.exp │ └── use_cond │ │ ├── Bug1741.bsv │ │ ├── Bug1741_And.bsv │ │ ├── Bug1741_Not.bsv │ │ ├── Makefile │ │ ├── UseCondEqCross.bsv │ │ ├── UseCondEqNEqCross1.bsv │ │ ├── UseCondEqNEqCross2.bsv │ │ ├── UseCondEqVar1.bsv │ │ ├── UseCondEqVars1.bsv │ │ ├── UseCondEqVars2.bsv │ │ ├── UseCondFalseFalse.bsv │ │ ├── UseCondNEqVar1.bsv │ │ ├── UseCondNEqVar1b.bsv │ │ ├── UseCondNEqVar2.bsv │ │ ├── UseCondNEqVar3.bsv │ │ ├── UseCondNEqVar4.bsv │ │ ├── UseCondNEqVars1.bsv │ │ ├── UseCondNEqVars2.bsv │ │ ├── UseCondNEqVars3.bsv │ │ ├── UseCondNEqVars4.bsv │ │ ├── UseCondTrueFalse.bsv │ │ ├── UseCondTrueFalseCross1.bsv │ │ ├── UseCondTrueFalseCross2.bsv │ │ ├── UseCondTrueTrue.bsv │ │ ├── sysUseCondEqCross.v.expected │ │ ├── sysUseCondEqNEqCross1.v.expected │ │ ├── sysUseCondEqNEqCross2.v.expected │ │ ├── sysUseCondEqVar1.v.expected │ │ ├── sysUseCondEqVars1.v.expected │ │ ├── sysUseCondEqVars2.v.expected │ │ ├── sysUseCondFalseFalse.v.expected │ │ ├── sysUseCondNEqVar1.v.expected │ │ ├── sysUseCondNEqVar1b.v.expected │ │ ├── sysUseCondNEqVar2.v.expected │ │ ├── sysUseCondNEqVar3.v.expected │ │ ├── sysUseCondNEqVar4.v.expected │ │ ├── sysUseCondNEqVars1.v.expected │ │ ├── sysUseCondNEqVars2.v.expected │ │ ├── sysUseCondNEqVars3.v.expected │ │ ├── sysUseCondNEqVars4.v.expected │ │ ├── sysUseCondTrueFalse.v.expected │ │ ├── sysUseCondTrueFalseCross1.v.expected │ │ ├── sysUseCondTrueFalseCross2.v.expected │ │ ├── sysUseCondTrueTrue.v.expected │ │ └── use_cond.exp ├── bsc.showrules │ ├── .gitignore │ ├── Blocking.bsv │ ├── CFTest.bsv │ ├── FIFOTest.bsv │ ├── GCD.bsv │ ├── MCDTest.bsv │ ├── Makefile │ ├── MultWriteTest.bsv │ ├── RWireTest.bsv │ ├── TbGCD.bsv │ └── showrules.exp ├── bsc.syntax │ ├── .cvsignore │ ├── Makefile │ ├── bh │ │ ├── BadCase.bs │ │ ├── BadCase.bs.bsc-out.expected │ │ ├── BogusAssertions.bs │ │ ├── BogusAssertions.bs.bsc-out.expected │ │ ├── ConstructorDot.bs │ │ ├── Context.bs │ │ ├── DanglingDecimal.bs │ │ ├── DefShadow.bs │ │ ├── DefShadow.bs.bsc-out.expected │ │ ├── DefUnused.bs │ │ ├── DefUnused.bs.bsc-out.expected │ │ ├── DegreePrimeSymbol.bs │ │ ├── DegreePrimeVar1.bs │ │ ├── DegreePrimeVar2.bs │ │ ├── DegreePrimeVar3.bs │ │ ├── DegreePrimeVar4.bs │ │ ├── DoAndIfThenElse.bs │ │ ├── DollarColonEqualsPrecedence1.bs │ │ ├── DollarColonEqualsPrecedence2.bs │ │ ├── DollarColonEqualsPrecedence3.bs │ │ ├── DuplicateConstructors.bs │ │ ├── Empty.bs │ │ ├── EmptyAction_Braces.bs │ │ ├── EmptyAction_Layout.bs │ │ ├── EmptyDo_Braces.bs │ │ ├── EmptyDo_Layout.bs │ │ ├── EmptyModule_Braces.bs │ │ ├── EmptyModule_Layout.bs │ │ ├── Fib.bs │ │ ├── FieldSelectionFromDigit.bs │ │ ├── FieldSelectionWithDigit.bs │ │ ├── FlexibleModuleLayout.bs │ │ ├── IfcArgNamesLower.bs │ │ ├── IfcArgNamesQual.bs │ │ ├── IfcArgNamesUpper.bs │ │ ├── Import0.bs │ │ ├── Import1.bs │ │ ├── Import2.bs │ │ ├── Infix.bo.dumpbi-out.expected │ │ ├── Infix.bs │ │ ├── Infix.bs.bsc-out.expected │ │ ├── InterfaceCognito.bs │ │ ├── InterfaceIncognito.bs │ │ ├── InterfaceIncognito.bs.bsc-out.expected │ │ ├── Latin1Code.bs │ │ ├── Latin1LineComment.bs │ │ ├── Latin1MultilineComment.bs │ │ ├── LexPos.bs │ │ ├── LiteralTest.bs │ │ ├── Makefile │ │ ├── NamedStructBad.bs │ │ ├── NamedStructBad.bs.bsc-out.expected │ │ ├── NamingNestedWhens.bs │ │ ├── NiceType.bs │ │ ├── NiceType.bs.bsc-out.expected │ │ ├── NoSign.bs │ │ ├── ParseDisplay.bs │ │ ├── ParseDisplay.bsv │ │ ├── RegisterSugar.bs │ │ ├── RestrictiveModuleLayout.bs │ │ ├── RuleAssertions.bs │ │ ├── ScopeInNestedWhens.bs │ │ ├── SizedLiteral.bs │ │ ├── SizedLiteralAmbig.bs │ │ ├── SizedLiteralMono.bs │ │ ├── SizedLiteralTest.bs │ │ ├── StringLit.bs │ │ ├── StructDeepPattern.bs │ │ ├── TaskIdentifiers.bs │ │ ├── UTF8BadCons1.bs │ │ ├── UTF8BadCons2.bs │ │ ├── UTF8Code.bs │ │ ├── UTF8Cons1.bs │ │ ├── UTF8Cons2.bs │ │ ├── UTF8LineComment.bs │ │ ├── UTF8MultilineComment.bs │ │ ├── UTF8Var1.bs │ │ ├── UTF8Var2.bs │ │ ├── UTF8Var3.bs │ │ ├── UTF8Var4.bs │ │ ├── bh.exp │ │ ├── bh_pragmas │ │ │ ├── ClockFamily.bs │ │ │ ├── DoubleClockPrefix.bs │ │ │ ├── DoubleGatePrefix.bs │ │ │ ├── DoubleResetPrefix.bs │ │ │ ├── GateDefaultClock.bs │ │ │ ├── GateExplicitClock.bs │ │ │ ├── GateUnknownClock.bs │ │ │ ├── Makefile │ │ │ ├── NoClockFamily.bs │ │ │ ├── Pragmas.bs │ │ │ ├── Prefixes.bs │ │ │ ├── Properties.bs │ │ │ ├── Synthesize.bs │ │ │ ├── UnknownClockFamily.bs │ │ │ ├── bh_pragmas.exp │ │ │ ├── mkClockFamily.v.expected │ │ │ ├── mkPragmas.v.expected │ │ │ ├── mkPragmas_0_file.txt │ │ │ ├── mkPragmas_1_file.txt │ │ │ ├── mkPragmas_2_file.txt │ │ │ ├── mkPragmas_3_file.txt │ │ │ ├── mkProperties.v.expected │ │ │ ├── mkSynthesize.v.expected │ │ │ ├── sysGateDefaultClock.v.expected │ │ │ ├── sysGateExplicitClock.v.expected │ │ │ ├── sysPragmas.out.expected │ │ │ ├── sysPrefixes.v.expected │ │ │ └── sysProperties.out.expected │ │ ├── sysLiteralTest.out.expected │ │ ├── sysSizedLiteralMono.out.expected │ │ ├── sysSizedLiteralTest.out.expected │ │ ├── underscore │ │ │ ├── Defl_Clauses_NoType.bs │ │ │ ├── Defl_NoType.bs │ │ │ ├── Defl_Type.bs │ │ │ ├── Export.bs │ │ │ ├── Expr.bs │ │ │ ├── Expr_Ap.bs │ │ │ ├── Expr_FieldUpd.bs │ │ │ ├── Expr_HasType.bs │ │ │ ├── Expr_Range.bs │ │ │ ├── Expr_Where.bs │ │ │ ├── Foreign.bs │ │ │ ├── Lambda_Arg.bs │ │ │ ├── Makefile │ │ │ ├── Pattern.bs │ │ │ ├── Pattern_As.bs │ │ │ ├── Primitive.bs │ │ │ ├── StmtBind_NoType.bs │ │ │ ├── StmtBind_Type_OneLine.bs │ │ │ ├── StmtBind_Type_TwoLines.bs │ │ │ ├── StructDefn_Field.bs │ │ │ ├── StructDefn_Field_WithDefault.bs │ │ │ ├── VarDefn_Clauses_NoType.bs │ │ │ ├── VarDefn_NoType.bs │ │ │ ├── VarDefn_Type.bs │ │ │ └── underscore.exp │ │ └── 風呂敷.bs │ ├── bh_parse_pretty │ │ ├── .gitignore │ │ ├── DollarColonEqualsPrecedencePretty1.bs │ │ ├── DollarColonEqualsPrecedencePretty2.bs │ │ ├── DollarColonEqualsPrecedencePretty3.bs │ │ ├── Let.bs │ │ ├── Makefile │ │ └── bh-parse-pretty.exp │ ├── bsv05 │ │ ├── BitConcat.bsv │ │ ├── BitConcatBad.bsv │ │ ├── BitOps.bsv │ │ ├── BitSelect.bsv │ │ ├── BitType.bsv │ │ ├── BitTypeBad.bsv │ │ ├── Bug182.bsv │ │ ├── Bug213.bsv │ │ ├── CaseExpr.bsv │ │ ├── CaseMatchingExpr.bsv │ │ ├── ClassicKeywords.bsv │ │ ├── Comment.bsv │ │ ├── ConditionalExpr.bsv │ │ ├── ConditionalExprMatching.bsv │ │ ├── ConditionalExprMatchingAndAndAnd.bsv │ │ ├── DerivingEq.bsv │ │ ├── Empty.bsv │ │ ├── EmptyExprBlock.bsv │ │ ├── EmptyMethod.bsv │ │ ├── EmptyModuleInterface.bsv │ │ ├── EmptyRule.bsv │ │ ├── ExList.bsv │ │ ├── ExList2.bsv │ │ ├── ExportAllExport.bo.dumpbi-out.expected │ │ ├── ExportAllExport.bsv │ │ ├── ExportAllImport.bsv │ │ ├── ExportSomeExport.bo.dumpbi-out.expected │ │ ├── ExportSomeExport.bsv │ │ ├── ExportSomeImport.bsv │ │ ├── Fib.bsv │ │ ├── FirstClassRules.bsv │ │ ├── Function.bsv │ │ ├── FunctionBit.bsv │ │ ├── FunctionBrokenEnd.bsv │ │ ├── FunctionNoArgs.bsv │ │ ├── FunctionNoType.bsv │ │ ├── FunctionOperator.bsv │ │ ├── FunctionReturn.bsv │ │ ├── GCD.bsv │ │ ├── GCD2.bsv │ │ ├── GCD3.bsv │ │ ├── Id.bsv │ │ ├── ImperativeActionCaseAction.bsv │ │ ├── ImperativeActionCaseBeginEnd.bsv │ │ ├── ImperativeActionCaseMatching.bsv │ │ ├── ImperativeActionDeclBind.bsv │ │ ├── ImperativeActionDeclBindDuplicate.bsv │ │ ├── ImperativeActionDeclBindSugar.bsv │ │ ├── ImperativeActionDeclEq.bsv │ │ ├── ImperativeActionDeclEqDuplicate.bsv │ │ ├── ImperativeActionDeclEqRecursive.bsv │ │ ├── ImperativeActionDeclEqRecursiveRedefined.bsv │ │ ├── ImperativeActionDeclEqSugar.bsv │ │ ├── ImperativeActionFunction.bsv │ │ ├── ImperativeActionFunctionCall.bsv │ │ ├── ImperativeActionFunctionNameAssign.bsv │ │ ├── ImperativeActionFunctionReturn.bsv │ │ ├── ImperativeActionIfBind.bsv │ │ ├── ImperativeActionIfNakedExpr.bsv │ │ ├── ImperativeActionIfRegWrite.bsv │ │ ├── ImperativeActionMethodCall.bsv │ │ ├── ImperativeActionUnassignedUse.bsv │ │ ├── ImperativeActionUndeclEq.bsv │ │ ├── ImperativeActionValueDeclBind.bsv │ │ ├── ImperativeActionValueDeclBindSugar.bsv │ │ ├── ImperativeActionValueDeclEq.bsv │ │ ├── ImperativeActionValueDeclEqSugar.bsv │ │ ├── ImperativeActionValueFunction.bsv │ │ ├── ImperativeActionValueFunctionCall.bsv │ │ ├── ImperativeActionValueMethodCall.bsv │ │ ├── ImperativeActionValueReturn.bsv │ │ ├── ImperativeFunctionBeginEnd.bsv │ │ ├── ImperativeFunctionBeginEndAction.bsv │ │ ├── ImperativeFunctionBeginEndMissingReturn.bsv │ │ ├── ImperativeFunctionCase.bsv │ │ ├── ImperativeFunctionCaseDefaultOnlyEq.bsv │ │ ├── ImperativeFunctionCaseEarlyDefaultEq.bsv │ │ ├── ImperativeFunctionCaseEq.bsv │ │ ├── ImperativeFunctionCaseEqDefaultNoColon.bsv │ │ ├── ImperativeFunctionCaseIncomplete.bsv │ │ ├── ImperativeFunctionCaseMatching.bsv │ │ ├── ImperativeFunctionCaseMatchingDots.bsv │ │ ├── ImperativeFunctionCaseMatchingEnum.bsv │ │ ├── ImperativeFunctionCaseMatchingLiteral.bsv │ │ ├── ImperativeFunctionCaseMatchingNested.bsv │ │ ├── ImperativeFunctionCaseMatchingNestedBad.bsv │ │ ├── ImperativeFunctionCaseMatchingNestedBad.bsv.bsc-out.expected │ │ ├── ImperativeFunctionCaseMatchingStruct.bsv │ │ ├── ImperativeFunctionCaseMatchingStructBad.bsv │ │ ├── ImperativeFunctionCaseMatchingStructBad.bsv.bsc-out.expected │ │ ├── ImperativeFunctionCaseMatchingTuple.bsv │ │ ├── ImperativeFunctionCaseMatchingWildcard.bsv │ │ ├── ImperativeFunctionCaseNoDefaultEq.bsv │ │ ├── ImperativeFunctionDeclEq.bsv │ │ ├── ImperativeFunctionDeclEqDuplicated.bsv │ │ ├── ImperativeFunctionDeclEqMultipleSugar.bsv │ │ ├── ImperativeFunctionDeclEqRecursive.bsv │ │ ├── ImperativeFunctionDeclEqRecursiveRedefined.bsv │ │ ├── ImperativeFunctionDeclEqSugar.bsv │ │ ├── ImperativeFunctionDeclList.bsv │ │ ├── ImperativeFunctionDeclList3D.bsv │ │ ├── ImperativeFunctionEq.bsv │ │ ├── ImperativeFunctionEqualsNoSpaces.bsv │ │ ├── ImperativeFunctionEqualsOnlyLeftSpace.bsv │ │ ├── ImperativeFunctionEqualsOnlyRightSpace.bsv │ │ ├── ImperativeFunctionEqualsSpaces.bsv │ │ ├── ImperativeFunctionFor.bsv │ │ ├── ImperativeFunctionForBadIncrement.bsv │ │ ├── ImperativeFunctionForUndeclaredVar.bsv │ │ ├── ImperativeFunctionFunction.bsv │ │ ├── ImperativeFunctionFunctionNoType.bsv │ │ ├── ImperativeFunctionIfBeginEnd.bsv │ │ ├── ImperativeFunctionIfEq.bsv │ │ ├── ImperativeFunctionIfMatchingEq.bsv │ │ ├── ImperativeFunctionIfNoElseEq.bsv │ │ ├── ImperativeFunctionIfNoElseMissingEq.bsv │ │ ├── ImperativeFunctionIfReturn.bsv │ │ ├── ImperativeFunctionModule.bsv │ │ ├── ImperativeFunctionReturn.bsv │ │ ├── ImperativeFunctionUnassignedUse.bsv │ │ ├── ImperativeFunctionUndeclEq.bsv │ │ ├── ImperativeModuleActionMethod.bsv │ │ ├── ImperativeModuleActionValueMethod.bsv │ │ ├── ImperativeModuleBodyEnd.bsv │ │ ├── ImperativeModuleDeclBind.bsv │ │ ├── ImperativeModuleDeclBindSugar.bsv │ │ ├── ImperativeModuleDeclEq.bsv │ │ ├── ImperativeModuleDeclEqSugar.bsv │ │ ├── ImperativeModuleFunction.bsv │ │ ├── ImperativeModuleFunctionCall.bsv │ │ ├── ImperativeModuleIfInstance.bsv │ │ ├── ImperativeModuleInstance.bsv │ │ ├── ImperativeModuleInstanceTuple.bsv │ │ ├── ImperativeModuleInterfaceExpr.bsv │ │ ├── ImperativeModuleInterfaceSubinterface.bsv │ │ ├── ImperativeModuleInterfaceSubinterfaceEq.bsv │ │ ├── ImperativeModuleInterfaceSubinterfaceNoTag.bsv │ │ ├── ImperativeModuleMethod.bsv │ │ ├── ImperativeModuleMethodCall.bsv │ │ ├── ImperativeModuleMethodCondition.bsv │ │ ├── ImperativeModuleMethodConditionMatching.bsv │ │ ├── ImperativeModuleMethodEq.bsv │ │ ├── ImperativeModuleModule.bsv │ │ ├── ImperativeModuleRule.bsv │ │ ├── ImperativeRuleDeclBind.bsv │ │ ├── ImperativeRuleDeclBindSugar.bsv │ │ ├── ImperativeRuleDeclEq.bsv │ │ ├── ImperativeRuleDeclEqSugar.bsv │ │ ├── ImperativeRuleFunction.bsv │ │ ├── ImperativeRuleFunctionCall.bsv │ │ ├── ImperativeRuleMatching.bsv │ │ ├── ImperativeRuleMethodCall.bsv │ │ ├── ImperativeRuleNestedAction.bsv │ │ ├── ImperativeRuleNestedActionAtEnd.bsv │ │ ├── ImperativeTupleBind.bsv │ │ ├── ImperativeTupleBind_NewLetType.bsv │ │ ├── ImperativeTupleBind_SomeAny_WrongType.bsv │ │ ├── ImperativeTupleBind_SomeLet_WrongType.bsv │ │ ├── ImperativeTupleBind_WrongType.bsv │ │ ├── ImperativeTupleDecl.bsv │ │ ├── ImperativeTupleDeclEq_AllAny.bsv │ │ ├── ImperativeTupleEq.bsv │ │ ├── ImperativeTupleEq_NewLetType.bsv │ │ ├── ImperativeTupleEq_SomeAny_WrongType.bsv │ │ ├── ImperativeTupleEq_SomeLet_WrongType.bsv │ │ ├── ImperativeTupleEq_Unbound.bsv │ │ ├── ImperativeTupleEq_WrongType.bsv │ │ ├── ImperativeVariableBind_NewLetType.bsv │ │ ├── ImperativeVariableDecl_InvalidChar.bsv │ │ ├── ImperativeVariableEq_NewLetType.bsv │ │ ├── ImportAll.bsv │ │ ├── ImportAllSpace.bsv │ │ ├── ImportNothingNothing.bsv │ │ ├── ImportSome.bsv │ │ ├── ImportSome.bsv.bsc-out.expected │ │ ├── IntType.bsv │ │ ├── IntTypeBad.bsv │ │ ├── InterfaceArgs.bsv │ │ ├── InterfaceProvisos.bsv │ │ ├── Latin1Code.bsv │ │ ├── Latin1LineComment.bsv │ │ ├── Latin1MultilineComment.bsv │ │ ├── LookupU.bsv │ │ ├── Makefile │ │ ├── ModuleParameters.bsv │ │ ├── ModuleParametersInModule.bsv │ │ ├── ModuleParametersInTypeclass.bsv │ │ ├── ModuleParametersNoKeyword.bsv │ │ ├── ModuleProvisosSimple.bsv │ │ ├── ModuleProvisosTypeCon.bsv │ │ ├── NakedExpr_CaseExpr.bsv │ │ ├── NakedExpr_Stmt.bsv │ │ ├── NegativeLit.bsv │ │ ├── NonOptionalMethodTypes.bsv │ │ ├── NumberMixed.bsv │ │ ├── NumberReal.bsv │ │ ├── NumberRepeatedX.bsv │ │ ├── NumberSpaceAfterBase.bsv │ │ ├── NumberSpaceBeforeBase.bsv │ │ ├── NumberSpacesAroundBase.bsv │ │ ├── Numbers.bsv │ │ ├── OpPrecedence.bsv │ │ ├── OptionalMethodTypes.bsv │ │ ├── PackageEmpty.bsv │ │ ├── PackageEmptyNoTail.bsv │ │ ├── PackageEmptyWrongTail.bsv │ │ ├── PackageModuleEmpty.bsv │ │ ├── PositiveLit.bsv │ │ ├── QualifiedConstructor.bsv │ │ ├── QualifiedType.bsv │ │ ├── QualifiedVar.bsv │ │ ├── RuleBadCondition.bsv │ │ ├── RuleEmptyConditionParens.bsv │ │ ├── SRA.bsv │ │ ├── TaggedUnionExprs.bsv │ │ ├── TopLevelDefInit.bsv │ │ ├── TopLevelVariableDef.bsv │ │ ├── TypeInstance.bsv │ │ ├── TypeclassDefault.bsv │ │ ├── TypeclassDefaultImport.bsv │ │ ├── TypeclassVars.bsv │ │ ├── TypedefEnum.bsv │ │ ├── TypedefStruct.bsv │ │ ├── TypedefStructPolymorphic.bsv │ │ ├── TypedefStructStruct.bsv │ │ ├── TypedefStructTaggedUnion.bsv │ │ ├── TypedefStructTaggedUnionSelect.bsv │ │ ├── TypedefTaggedUnionEmpty.bsv │ │ ├── TypedefTaggedUnionSimple.bsv │ │ ├── TypedefTaggedUnionStruct.bsv │ │ ├── TypedefTaggedUnionSubtypeParameter.bsv │ │ ├── TypedefTaggedUnionTagged.bsv │ │ ├── TypedefTaggedUnionVoid.bsv │ │ ├── UTF8BadCons1.bsv │ │ ├── UTF8BadCons2.bsv │ │ ├── UTF8Code.bsv │ │ ├── UTF8Cons1.bsv │ │ ├── UTF8Cons2.bsv │ │ ├── UTF8LineComment.bsv │ │ ├── UTF8MultilineComment.bsv │ │ ├── UTF8Var1.bsv │ │ ├── UTF8Var2.bsv │ │ ├── UTF8Var3.bsv │ │ ├── UTF8Var4.bsv │ │ ├── UnterminatedBlockComment.bsv │ │ ├── VGACore.bsv │ │ ├── WarnUnassigned.bsv │ │ ├── attribs │ │ │ ├── AttribsActionNakedExpr.bsv │ │ │ ├── AttribsBVI.bsv │ │ │ ├── AttribsCase.bsv │ │ │ ├── AttribsIfcNaked.bsv │ │ │ ├── AttribsNestedAction.bsv │ │ │ ├── AttribsSubIfcEq.bsv │ │ │ ├── AttribsSubIfcSemi.bsv │ │ │ ├── Makefile │ │ │ └── attribs.exp │ │ ├── bsv05.exp │ │ ├── case │ │ │ ├── CaseIfDummy1.bsv │ │ │ ├── CaseIfDummy2.bsv │ │ │ ├── CaseLiteralSigned.bsv │ │ │ ├── CaseMatchesStringLiteral.bsv │ │ │ ├── CaseMatches_MixedLit.bsv │ │ │ ├── CaseMixedDec.bsv │ │ │ ├── CaseMixedHex.bsv │ │ │ ├── CaseMixedOct.bsv │ │ │ ├── CaseStringLiteral.bsv │ │ │ ├── Case_MixedLit.bsv │ │ │ ├── Makefile │ │ │ ├── case.exp │ │ │ ├── sysCaseMatches_MixedLit.out.expected │ │ │ ├── sysCaseMixedHex.out.expected │ │ │ └── sysCaseMixedOct.out.expected │ │ ├── dups │ │ │ ├── DupTopLevelVariableDef.bsv │ │ │ ├── DupTopLevelVariableDef.bsv.bsc-out.expected │ │ │ ├── DupTypeclassFunction.bsv │ │ │ ├── DupTypeclassFunction.bsv.bsc-out.expected │ │ │ ├── InterfaceDupFields.bsv │ │ │ ├── InterfaceDupFields.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ ├── StructDupFields.bsv │ │ │ ├── StructDupFields.bsv.bsc-out.expected │ │ │ ├── SubstructDupFields.bsv │ │ │ ├── SubstructDupFields.bsv.bsc-out.expected │ │ │ ├── UnionDupCons.bsv │ │ │ ├── UnionDupCons.bsv.bsc-out.expected │ │ │ └── dups.exp │ │ ├── import-foreign │ │ │ ├── ImportForeignModule.bsv │ │ │ ├── ImportForeignModuleBug.bsv │ │ │ ├── ImportForeignModuleClkGate.bsv │ │ │ ├── ImportForeignModuleGen1.bsv │ │ │ ├── ImportForeignModuleGen2.bsv │ │ │ ├── ImportForeignModule_BodyEnd.bsv │ │ │ ├── ImportForeignModule_DeclareDefaultNoClock.bsv │ │ │ ├── ImportForeignModule_DeclareDefaultNoReset.bsv │ │ │ ├── ImportForeignModule_DeclareInputNoClock.bsv │ │ │ ├── ImportForeignModule_DeclareInputNoReset.bsv │ │ │ ├── ImportForeignModule_DeclareOutputNoClock.bsv │ │ │ ├── ImportForeignModule_DeclareOutputNoReset.bsv │ │ │ ├── ImportForeignModule_DefaultClock_NoName_InputClock_NoName.bsv │ │ │ ├── ImportForeignModule_DefaultClock_NoName_InputClock_NoName.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_DefaultClock_NoName_NonVarExpr.bsv │ │ │ ├── ImportForeignModule_DefaultClock_NoName_NonVarExpr.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_DefaultClock_Underscore.bsv │ │ │ ├── ImportForeignModule_DefaultClock_Underscore.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_DefaultResetNoReset.bsv │ │ │ ├── ImportForeignModule_DefaultReset_NoName_InputReset_NoName.bsv │ │ │ ├── ImportForeignModule_DefaultReset_NoName_InputReset_NoName.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_DefaultReset_NoName_NonVarExpr.bsv │ │ │ ├── ImportForeignModule_DefaultReset_NoName_NonVarExpr.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_DefaultReset_Underscore.bsv │ │ │ ├── ImportForeignModule_DefaultReset_Underscore.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_DupDefaultClock.bsv │ │ │ ├── ImportForeignModule_DupDefaultInputClock.bsv │ │ │ ├── ImportForeignModule_DupDefaultInputClock2.bsv │ │ │ ├── ImportForeignModule_DupDefaultInputReset.bsv │ │ │ ├── ImportForeignModule_DupDefaultInputReset2.bsv │ │ │ ├── ImportForeignModule_DupDefaultReset.bsv │ │ │ ├── ImportForeignModule_DupInputClock.bsv │ │ │ ├── ImportForeignModule_DupInputOutputClock.bsv │ │ │ ├── ImportForeignModule_DupInputOutputReset.bsv │ │ │ ├── ImportForeignModule_DupInputReset.bsv │ │ │ ├── ImportForeignModule_DupMethod.bsv │ │ │ ├── ImportForeignModule_DupOutputClock.bsv │ │ │ ├── ImportForeignModule_DupOutputInout.bsv │ │ │ ├── ImportForeignModule_DupOutputReset.bsv │ │ │ ├── ImportForeignModule_DupSchedule.bsv │ │ │ ├── ImportForeignModule_DupScheduleOK.bsv │ │ │ ├── ImportForeignModule_EmptyInputClock.bsv │ │ │ ├── ImportForeignModule_EmptyInputReset.bsv │ │ │ ├── ImportForeignModule_InputClock_NoName_Clash.bsv │ │ │ ├── ImportForeignModule_InputClock_NoName_Clash.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_InputClock_NoName_ExposeCurClk.bsv │ │ │ ├── ImportForeignModule_InputClock_NoName_ExposeCurClk.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_InputClock_NoName_NonVarExpr_Dup.bsv │ │ │ ├── ImportForeignModule_InputClock_NoName_NonVarExpr_Dup.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_InputClock_NoName_NotInScope.bsv │ │ │ ├── ImportForeignModule_InputClock_NoName_VarExpr.bsv │ │ │ ├── ImportForeignModule_InputClock_NoName_VarExpr.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_InputClock_NoName_VarExpr_DefaultClock.bsv │ │ │ ├── ImportForeignModule_InputClock_NoName_VarExpr_NoClock.bsv │ │ │ ├── ImportForeignModule_InputClock_Underscore.bsv │ │ │ ├── ImportForeignModule_InputClock_Underscore.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_InputReset_ClockedBy_UndeclaredClk.bsv │ │ │ ├── ImportForeignModule_InputReset_ClockedBy_UndeclaredClk.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_InputReset_NoName_Clash.bsv │ │ │ ├── ImportForeignModule_InputReset_NoName_Clash.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_InputReset_NoName_ExposeCurRst.bsv │ │ │ ├── ImportForeignModule_InputReset_NoName_ExposeCurRst.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_InputReset_NoName_NonVarExpr_Dup.bsv │ │ │ ├── ImportForeignModule_InputReset_NoName_NonVarExpr_Dup.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_InputReset_NoName_NotInScope.bsv │ │ │ ├── ImportForeignModule_InputReset_NoName_VarExpr.bsv │ │ │ ├── ImportForeignModule_InputReset_NoName_VarExpr.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_InputReset_NoName_VarExpr_DefaultReset.bsv │ │ │ ├── ImportForeignModule_InputReset_NoName_VarExpr_NoReset.bsv │ │ │ ├── ImportForeignModule_InputReset_Underscore.bsv │ │ │ ├── ImportForeignModule_InputReset_Underscore.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_MethodDupClockedBy.bsv │ │ │ ├── ImportForeignModule_MethodDupEnable.bsv │ │ │ ├── ImportForeignModule_MethodDupReady.bsv │ │ │ ├── ImportForeignModule_MethodDupResetBy.bsv │ │ │ ├── ImportForeignModule_Method_ClockedBy_UndeclaredClk.bsv │ │ │ ├── ImportForeignModule_Method_ClockedBy_UndeclaredClk.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_PortDupClockedBy.bsv │ │ │ ├── ImportForeignModule_PortDupResetBy.bsv │ │ │ ├── ImportForeignModule_PortErrors.bsv │ │ │ ├── ImportForeignModule_PortErrors.bsv.bsc-out.expected │ │ │ ├── ImportForeignModule_PortWithBind.bsv │ │ │ ├── ImportForeignModule_PortWithClock.bsv │ │ │ ├── ImportForeignModule_PortWithClockReset.bsv │ │ │ ├── ImportForeignModule_PortWithProp.bsv │ │ │ ├── ImportForeignModule_ScheduleNoClock.bsv │ │ │ ├── ImportForeignModule_ScheduleUnrelatedClocks.bsv │ │ │ ├── ImportForeignModule_SubIfc.bsv │ │ │ ├── ImportForeignModule_TwoStmtDefaultClock.bsv │ │ │ ├── ImportForeignModule_TwoStmtDefaultReset.bsv │ │ │ ├── ImportForeignModule_UndeclaredClock_Port.bsv │ │ │ ├── ImportForeignModule_UndeclaredReset_Port.bsv │ │ │ ├── Makefile │ │ │ ├── WBVIActionMethodNoClock.bsv │ │ │ ├── import-foreign.exp │ │ │ ├── sysImportForeignModule.v.expected │ │ │ └── sysImportForeignModuleClkGate.v.expected │ │ ├── interface │ │ │ ├── Makefile │ │ │ ├── ModuleInterface_LocalStmt_Between.bsv │ │ │ ├── ModuleInterface_LocalStmt_DeclArrayAssign_AssignSub.bsv │ │ │ ├── ModuleInterface_LocalStmt_DeclArray_ForLoop.bsv │ │ │ ├── ModuleInterface_LocalStmt_DeclAssign.bsv │ │ │ ├── ModuleInterface_LocalStmt_DeclAssignLet.bsv │ │ │ ├── ModuleInterface_LocalStmt_DeclAssign_Case.bsv │ │ │ ├── ModuleInterface_LocalStmt_DeclAssign_If.bsv │ │ │ ├── ModuleInterface_LocalStmt_DeclFunction.bsv │ │ │ ├── ModuleInterface_LocalStmt_DeclLet_Assign.bsv │ │ │ ├── ModuleInterface_LocalStmt_DeclModule.bsv │ │ │ ├── ModuleInterface_LocalStmt_Decl_Assign.bsv │ │ │ ├── ModuleInterface_LocalStmt_Decl_AssignField.bsv │ │ │ ├── ModuleInterface_LocalStmt_NoDecl.bsv │ │ │ ├── ModuleInterface_LocalStmt_NoFields.bsv │ │ │ ├── PrimaryInterface_LocalStmt_Between.bsv │ │ │ ├── PrimaryInterface_LocalStmt_DeclArrayAssign_AssignSub.bsv │ │ │ ├── PrimaryInterface_LocalStmt_DeclArray_ForLoop.bsv │ │ │ ├── PrimaryInterface_LocalStmt_DeclAssign.bsv │ │ │ ├── PrimaryInterface_LocalStmt_DeclAssignLet.bsv │ │ │ ├── PrimaryInterface_LocalStmt_DeclAssign_Case.bsv │ │ │ ├── PrimaryInterface_LocalStmt_DeclAssign_If.bsv │ │ │ ├── PrimaryInterface_LocalStmt_DeclFunction.bsv │ │ │ ├── PrimaryInterface_LocalStmt_DeclLet_Assign.bsv │ │ │ ├── PrimaryInterface_LocalStmt_DeclModule.bsv │ │ │ ├── PrimaryInterface_LocalStmt_Decl_Assign.bsv │ │ │ ├── PrimaryInterface_LocalStmt_Decl_AssignField.bsv │ │ │ ├── PrimaryInterface_LocalStmt_NoDecl.bsv │ │ │ ├── PrimaryInterface_LocalStmt_NoFields.bsv │ │ │ └── interface.exp │ │ ├── method-args │ │ │ ├── IfcMethodArgsQualLookup.bsv │ │ │ ├── IfcMethodArgsQualLookup_Sub.bsv │ │ │ ├── Makefile │ │ │ ├── MethodCond.bsv │ │ │ ├── MethodCond2.bsv │ │ │ ├── MethodCond3.bsv │ │ │ ├── NonSynthesizedModule.bsv │ │ │ ├── SynthesizedModule.bsv │ │ │ └── method-args.exp │ │ ├── moduletype │ │ │ ├── Makefile │ │ │ ├── ModuleTypeInFunction.bsv │ │ │ ├── ModuleTypeInFunction_MissingArg.bsv │ │ │ └── moduletype.exp │ │ ├── statename │ │ │ ├── Makefile │ │ │ ├── Module2.bs │ │ │ ├── StateNameTest.bsv │ │ │ ├── StateNameTest2.bsv │ │ │ ├── UseMod2.bsv │ │ │ ├── UseMod2Arrow.bsv │ │ │ ├── statename.exp │ │ │ ├── sysStateNameTest.atsexpand.expected │ │ │ ├── sysStateNameTest2.atsexpand.expected │ │ │ ├── sysUseMod2.atsexpand.expected │ │ │ └── sysUseMod2Arrow.atsexpand.expected │ │ ├── stmt │ │ │ ├── Makefile │ │ │ ├── StmtFor.bsv │ │ │ ├── StmtFor_ArrayUpd_Elem.bsv │ │ │ ├── StmtFor_ArrayUpd_Reg.bsv │ │ │ ├── StmtFor_FieldUpd_Field.bsv │ │ │ ├── StmtFor_FieldUpd_Reg.bsv │ │ │ ├── StmtFor_RangeUpd.bsv │ │ │ ├── stmt.exp │ │ │ ├── sysStmtFor.out.expected │ │ │ ├── sysStmtFor_ArrayUpd_Elem.out.expected │ │ │ ├── sysStmtFor_ArrayUpd_Reg.out.expected │ │ │ ├── sysStmtFor_FieldUpd_Field.out.expected │ │ │ ├── sysStmtFor_FieldUpd_Reg.out.expected │ │ │ └── sysStmtFor_RangeUpd.out.expected │ │ ├── strings │ │ │ ├── BadOctalEscape.bsv │ │ │ ├── BadStringEscape.bsv │ │ │ ├── MakeOctalChars.hs │ │ │ ├── MakeOctalCharsAsInteger.hs │ │ │ ├── Makefile │ │ │ ├── OctalChars.bsv │ │ │ ├── OctalCharsAsInteger.bsv │ │ │ ├── StringLit.bsv │ │ │ ├── UnterminatedString.bsv │ │ │ ├── parse_strings.exp │ │ │ ├── sysOctalChars.v.expected │ │ │ └── sysOctalCharsAsInteger.out.expected │ │ ├── subvector-assignment │ │ │ ├── Makefile │ │ │ ├── sysBitRangeUpdateAV.out.expected │ │ │ ├── sysBitRangeUpdateEmptyRange.out.expected │ │ │ ├── sysBitRangeUpdateRegs.out.expected │ │ │ └── sysBitRangeUpdateStatic.out.expected │ │ ├── sysBitOps.final-state.expected │ │ ├── sysOpPrecedence.out.expected │ │ ├── underscore │ │ │ ├── .gitignore │ │ │ ├── IfcDecl_MethArg.bsv │ │ │ ├── IfcDecl_MethArg_Qmark.bsv │ │ │ ├── IfcDef_MethArg.bsv │ │ │ ├── IfcDef_MethArg_Bad.bsv │ │ │ ├── IfcDef_MethArg_Qmark.bsv │ │ │ ├── Makefile │ │ │ ├── MethArg_Synth.bsv │ │ │ ├── ModDef_PortArg.bsv │ │ │ ├── ModDef_PortArg_Bad.bsv │ │ │ ├── ModDef_PortArg_Qmark.bsv │ │ │ ├── TopDef_FuncArg.bsv │ │ │ ├── TopDef_FuncArg_Bad.bsv │ │ │ ├── TopDef_FuncArg_Qmark.bsv │ │ │ ├── TopDef_Func_Type.bsv │ │ │ ├── TopDef_Func_Type_Bad.bsv │ │ │ ├── TopDef_Var_NoType.bsv │ │ │ ├── TopDef_Var_Type.bsv │ │ │ ├── TopDef_Var_Type_Bad.bsv │ │ │ └── underscore.exp │ │ └── 風呂敷.bsv │ └── bsv05_parse_pretty │ │ ├── .gitignore │ │ ├── Empty.bsv │ │ ├── EmptyMethod.bsv │ │ ├── EmptyRule.bsv │ │ ├── Makefile │ │ ├── Map.bsv │ │ ├── MethodCalledMethodI.bsv │ │ ├── MethodCalledMethodII.bsv │ │ ├── MethodReturn.bsv │ │ ├── PopCount0.bsv │ │ ├── TypedefStruct.bsv │ │ ├── TypedefStructPolymorphic.bsv │ │ └── bsv05-parse-pretty.exp ├── bsc.synthesize │ ├── FACT.bs │ ├── Makefile │ └── synthesize.exp ├── bsc.typechecker │ ├── .cvsignore │ ├── CircularRegister.bs │ ├── CircularRegister.bs.bsc-out.expected │ ├── CircularRegister.bsv │ ├── CircularRegister.bsv.bsc-out.expected │ ├── EmptyCase.bs │ ├── EmptyCase.bs.bsc-out.expected │ ├── FailIAp.bs │ ├── FailIAp2.bs │ ├── FinAnd.bs │ ├── FinAndBSV_ExplicitBits.bsv │ ├── FinAnd_ExplicitBits.bs │ ├── Makefile │ ├── MonadicOutOfOrderLet.bs │ ├── MonadicOutOfOrderLets.bs │ ├── NoType.bs │ ├── PackedString.bs │ ├── Parallel.bsv │ ├── Parallel.bsv.bsc-out.expected │ ├── PolyLam.bs │ ├── PolyTMul.bs │ ├── PrintfATS.bs │ ├── SignatureTooGeneral.bs │ ├── SignatureTooGeneral.bs.bsc-out.expected │ ├── SumList.bsv │ ├── UndefinedTask.bs │ ├── UndefinedTask.bs.bsc-out.expected │ ├── assignment │ │ ├── ListMissingSelectNoParens.bsv │ │ ├── ListMissingSelectParens.bsv │ │ ├── ListNSelectNoParens.bsv │ │ ├── ListNSelectParens.bsv │ │ ├── ListSelect2NoParens.bsv │ │ ├── ListSelect2Parens.bsv │ │ ├── ListSelectNoParens.bsv │ │ ├── ListSelectParens.bsv │ │ ├── Makefile │ │ ├── PrimArraySelectNoParens.bsv │ │ ├── PrimArraySelectParens.bsv │ │ ├── RegStructWrite.bsv │ │ ├── StructRegWrite.bsv │ │ ├── StructUpdReg.bsv │ │ ├── VectorSelectNoParens.bsv │ │ ├── VectorSelectParens.bsv │ │ ├── VectorUpdateNoParens.bsv │ │ ├── VectorUpdateParens.bsv │ │ └── assignment.exp │ ├── bound-type-vars │ │ ├── BoundVarSubstitution.bsv │ │ ├── ImpliedByFundeps.bsv │ │ ├── KindMismatchExplExpl.bs │ │ ├── KindMismatchExplHas.bs │ │ ├── Makefile │ │ └── bound-type-vars.exp │ ├── class_defaults │ │ ├── ClassWithDefault.bs │ │ ├── ClassWithDefault_Clauses.bs │ │ ├── ClassWithDefault_Interface.bsv │ │ ├── DuplicateConstr_Qual.bs │ │ ├── DuplicateConstr_Unqual.bs │ │ ├── ImportClassWithDefault.bsv │ │ ├── ImportClassWithDefault_Clauses.bsv │ │ ├── ImportClassWithDefault_Interface.bsv │ │ ├── ImportedInfixInDefault.bs │ │ ├── ImportedInfixInDefaultImported.bs │ │ ├── Makefile │ │ ├── MutuallyRecursiveDefaults.bs │ │ ├── TypeCheck_Fail_MissingProviso.bs │ │ ├── TypeCheck_Pass_SuperClass.bs │ │ ├── class_defaults.exp │ │ └── sysImportedInfixInDefaultImported.out.expected │ ├── config │ ├── constructors │ │ ├── FieldAmb_Pattern_Qualified.bsv │ │ ├── FieldAmb_Pattern_Sub.bsv │ │ ├── FieldAmb_Pattern_Unqualified.bsv │ │ ├── FieldAmb_Select.bsv │ │ ├── FieldAmb_Update.bsv │ │ ├── IfcUpd.bs │ │ ├── Makefile │ │ ├── PartialApp.bs │ │ ├── PartialAppTooManyArgs.bs │ │ ├── PartialAppTooManyArgs.bs.bsc-out.expected │ │ ├── StructLit_BadQualField.bs │ │ ├── StructLit_DupField_BothQual.bs │ │ ├── StructLit_DupField_QualAndUnqual.bs │ │ ├── StructLit_QualImp_QualField.bs │ │ ├── StructLit_QualImp_UnqualField.bs │ │ ├── StructLit_WrongField.bsv │ │ ├── StructPat_BadQualField.bs │ │ ├── StructPat_DupField_BothQual.bs │ │ ├── StructPat_DupField_QualAndUnqual.bs │ │ ├── StructPat_QualImp_QualField.bs │ │ ├── StructPat_QualImp_UnqualField.bs │ │ ├── StructSelect_MultipleTypes_WrongField.bs │ │ ├── StructSelect_NotImported.bsv │ │ ├── StructSelect_NotImported.bsv.bsc-out.expected │ │ ├── StructSelect_NotImported_Sub.bsv │ │ ├── StructSelect_NotStruct_Function.bsv │ │ ├── StructSelect_NotStruct_Function.bsv.bsc-out.expected │ │ ├── StructSelect_NotVisible.bsv │ │ ├── StructSelect_NotVisible.bsv.bsc-out.expected │ │ ├── StructSelect_NotVisible_Sub.bsv │ │ ├── StructUpd_BadQualField.bs │ │ ├── StructUpd_DupField_BothQual.bs │ │ ├── StructUpd_DupField_QualAndUnqual.bs │ │ ├── StructUpd_QualImp_QualField.bs │ │ ├── StructUpd_QualImp_UnqualField.bs │ │ ├── StructUpd_WrongField.bsv │ │ ├── Unbound_Pattern.bsv │ │ ├── UnionOneArgGivenNone.bsv │ │ ├── UnionOneArgGivenNone.bsv.bsc-out.expected │ │ ├── UnionOneArgGivenNone_Pattern.bsv │ │ ├── UnionOneArgGivenNone_Pattern.bsv.bsc-out.expected │ │ ├── constructors.exp │ │ ├── sysIfcUpd.out.expected │ │ └── sysStructUpd_QualImp_QualField.out.expected │ ├── context-errors │ │ ├── AmbigCtxExplPackUnpack.bsv │ │ ├── AmbigCtxExplPackUnpack.bsv.bsc-out.expected │ │ ├── AmbigCtxExplTruncateExtend.bsv │ │ ├── AmbigCtxExplTruncateExtend.bsv.bsc-out.expected │ │ ├── AmbigCtxExplWithProviso.bsv │ │ ├── AmbigCtxImplPackUnpack.bsv │ │ ├── AmbigCtxImplPackUnpack.bsv.bsc-out.expected │ │ ├── AmbigCtxImplTruncateExtend.bsv │ │ ├── AmbigCtxImplTruncateExtend.bsv.bsc-out.expected │ │ ├── AmbigCtxInstance.bsv │ │ ├── AmbigCtxPrimSelectableIndex.bsv │ │ ├── AmbigCtx_RemoveFunDeps.bsv │ │ ├── AmbigCtx_RemoveFunDeps.bsv.bsc-out.expected │ │ ├── ContextReductionBitwiseWithTVars.bsv │ │ ├── ContextReductionExplFunction.bsv │ │ ├── ContextReductionExplFunction.bsv.bsc-out.expected │ │ ├── ContextReductionImplFunction.bsv │ │ ├── ContextReductionImplFunction.bsv.bsc-out.expected │ │ ├── ContextReductionImplFunction2.bsv │ │ ├── ContextReductionImplFunction2.bsv.bsc-out.expected │ │ ├── ContextReductionMethod.bsv │ │ ├── ContextReductionMethod.bsv.bsc-out.expected │ │ ├── ContextReductionPrimIndexWrongSize.bsv │ │ ├── ContextReductionPrimIndexWrongSize.bsv.bsc-out.expected │ │ ├── ContextReductionRemoveImplied.bs │ │ ├── ContextReductionRemoveImplied2.bsv │ │ ├── ContextReductionRemoveImplied2.bsv.bsc-out.expected │ │ ├── ContextReductionRemoveImpliedCloseFD.bsv │ │ ├── ContextReductionRemoveImpliedCloseFD.bsv.bsc-out.expected │ │ ├── ContextTooWeak.bs │ │ ├── ContextTooWeak.bs.bsc-out.expected │ │ ├── ContextTooWeak2.bsv │ │ ├── ContextTooWeak2.bsv.bsc-out.expected │ │ ├── ContextTooWeakDeferred.bsv │ │ ├── ContextTooWeakDeferred.bsv.bsc-out.expected │ │ ├── ContextTooWeakRemoveImplied.bs │ │ ├── ContextTooWeakRemoveImplied.bs.bsc-out.expected │ │ ├── ContextTooWeakResolved.bsv │ │ ├── DuplicateNiceName_WeakCtx_BitExtend.bsv │ │ ├── DuplicateNiceName_WeakCtx_BitExtend.bsv.bsc-out.expected │ │ ├── DuplicateNiceName_WeakCtx_Default.bsv │ │ ├── DuplicateNiceName_WeakCtx_Default.bsv.bsc-out.expected │ │ ├── ECtxRedBadSelectionIndex.bsv │ │ ├── ECtxRedBitExtendBadSizes.bsv │ │ ├── ECtxRedBitExtendBadType.bsv │ │ ├── ECtxRedBitReduce.bsv │ │ ├── ECtxRedBitwise.bsv │ │ ├── ECtxRedBitwiseBool.bsv │ │ ├── ECtxRedIsModule.bsv │ │ ├── ECtxRedIsModuleActionValue_AVBindInModBlock.bsv │ │ ├── ECtxRedIsModuleActionValue_AVExprInModBlock.bsv │ │ ├── ECtxRedIsModuleActionValue_ModBindInAVBlock.bsv │ │ ├── ECtxRedNotSelectable.bsv │ │ ├── ECtxRedNotUpdateable.bsv │ │ ├── ECtxRedNotWriteable.bsv │ │ ├── ECtxRedSelectionIndexTooLong.bsv │ │ ├── ECtxRedWrongBitSize.bsv │ │ ├── ECtxRedWrongBitSize2.bsv │ │ ├── ECtxRedWrongSelectionResult.bsv │ │ ├── ECtxRedWrongSelectionResult_ViaAmbigCtx.bsv │ │ ├── ECtxRedWrongSelectionResult_ViaWeakCtx.bsv │ │ ├── ECtxRedWrongUpdateArg.bsv │ │ ├── ECtxRedWrongUpdateArg_ViaAmbigCtx.bsv │ │ ├── ECtxRedWrongUpdateArg_ViaWeakCtx.bsv │ │ ├── ECtxRedWrongWriteArg.bsv │ │ ├── ECtxRedWrongWriteArg_ViaAmbigCtx.bsv │ │ ├── ECtxRedWrongWriteArg_ViaWeakCtx.bsv │ │ ├── EModInstWrongArgs_TooFew1.bsv │ │ ├── EModInstWrongArgs_TooFew2.bsv │ │ ├── EModInstWrongArgs_TooFewViaMap.bsv │ │ ├── EModInstWrongArgs_TooMany1.bsv │ │ ├── EModInstWrongArgs_TooMany2.bsv │ │ ├── EModInstWrongArgs_TooManyViaMap.bsv │ │ ├── EWeakCtxBitExtendNeedsAddCtx.bsv │ │ ├── EWeakCtxPrimIndexNeedsAddCtx.bsv │ │ ├── EWeakCtxPrimSelectableNeedsPrimIndexCtx.bsv │ │ ├── Makefile │ │ ├── NiceTypesAfterSimplify.bsv │ │ ├── NiceTypesAfterSimplify.bsv.bsc-out.expected │ │ └── context-errors.exp │ ├── ctxreduce │ │ ├── AliasSizeOf.bsv │ │ ├── AliasSizeOf_Instance.bsv │ │ ├── Makefile │ │ ├── SatisfyFV.bsv │ │ └── ctxreduce.exp │ ├── deriving │ │ ├── DeriveDefaultValue.bsv │ │ ├── DeriveFShow.bsv │ │ ├── DerivingMod.bs │ │ ├── DerivingTest.bs │ │ ├── IsoStruct.bsv │ │ ├── Makefile │ │ ├── Maybe.bs │ │ ├── NoDerive.bs │ │ ├── NoDerive.bs.bsc-out.expected │ │ ├── NoDerive2.bs │ │ ├── NoDerive2.bs.bsc-out.expected │ │ ├── PolyData.bs │ │ ├── PolyData2.bs │ │ ├── PolyStruct.bsv │ │ ├── PolyUnion.bsv │ │ ├── PolyUnion2.bsv │ │ ├── RecDeriveDataInsideList.bs │ │ ├── RecDeriveDataOneArg.bs │ │ ├── RecDeriveDataOneArgManyTypeArgs.bs │ │ ├── RecDeriveStructManyFields.bsv │ │ ├── deriving.exp │ │ ├── scope │ │ │ ├── AbstractList.bs │ │ │ ├── AbstractMaybe.bs │ │ │ ├── Makefile │ │ │ └── deriving_scope.exp │ │ ├── sysDeriveDefaultValue.out.expected │ │ ├── sysDeriveFShow.out.expected │ │ ├── sysDerivingMod.out.expected │ │ └── sysIsoStruct.out.expected │ ├── display │ │ ├── BasicDisplay.bs │ │ ├── ConflictingBitTypes.bs │ │ ├── DisplayBits.bsv │ │ ├── DisplayCurry.bs │ │ ├── DisplayLiteral.bsv │ │ ├── DisplayRealLiteral.bsv │ │ ├── DisplaySizedLiteral.bsv │ │ ├── DisplayTypeCheck.bs │ │ ├── ListDisplay.bs │ │ ├── Makefile │ │ ├── NonConflictingBitTypes.bs │ │ ├── NotDisplayable.bs │ │ ├── NotDisplayable.bs.bsc-out.expected │ │ ├── NotListDisplay.bs │ │ ├── NotListDisplay.bs.bsc-out.expected │ │ ├── display.exp │ │ ├── sysDisplayBits.out.expected │ │ ├── sysDisplayLiteral.out.expected │ │ ├── sysDisplayRealLiteral.c.out.expected │ │ ├── sysDisplayRealLiteral.out.expected │ │ └── sysDisplaySizedLiteral.out.expected │ ├── dontcare │ │ ├── BindDummyDef.bs │ │ ├── BindDummyDefl.bs │ │ ├── BindDummyLambda.bs │ │ ├── DummyInCase.bs │ │ ├── DummyInCase.bs.bsc-out.expected │ │ ├── DummyInDef.bs │ │ ├── DummyInDef.bs.bsc-out.expected │ │ ├── DummyInDefOp.bs │ │ ├── DummyInDefOp.bs.bsc-out.expected │ │ ├── DummyInDeflMatch.bs │ │ ├── DummyInDeflMatch.bs.bsc-out.expected │ │ ├── DummyInDeflQual.bs │ │ ├── DummyInDeflQual.bs.bsc-out.0.expected │ │ ├── DummyInDeflQual.bs.bsc-out.1.expected │ │ ├── DummyInDeflValue.bs │ │ ├── DummyInDeflValue.bs.bsc-out.expected │ │ ├── DummyInDeflValueSign.bs │ │ ├── DummyInDeflValueSign.bs.bsc-out.expected │ │ ├── DummyInDeflValueSignOp.bs │ │ ├── DummyInDeflValueSignOp.bs.bsc-out.expected │ │ ├── DummyInDo.bs │ │ ├── DummyInDo.bs.bsc-out.expected │ │ ├── DummyInRuleQual.bs │ │ ├── DummyInRuleQual.bs.bsc-vcomp-out.expected │ │ ├── Makefile │ │ └── dontcare.exp │ ├── elab_typeclasses │ │ ├── ElabTypeclasses.bs │ │ ├── Makefile │ │ └── elab_typeclasses.exp │ ├── error_recovery │ │ ├── Actions.bsv │ │ ├── DefErrorRecovery.bsv │ │ ├── ExplicitActions.bsv │ │ ├── ExplicitBool.bsv │ │ ├── ExplicitRules.bsv │ │ ├── Life.bsv │ │ ├── Makefile │ │ ├── Rules1.bsv │ │ ├── Rules2.bsv │ │ ├── TrimSkip.bs │ │ ├── TrimSkip.bs.bsc-out.expected │ │ ├── TwoActions.bsv │ │ ├── TwoRules.bsv │ │ ├── error_recovery.exp │ │ └── sysDefErrorRecovery.v.expected │ ├── foreignmodule │ │ ├── ForeignModBadResetClock.bsv │ │ ├── ForeignModClockArg.bsv │ │ ├── ForeignModClockHasArgs.bsv │ │ ├── ForeignModMethodBadArg.bsv │ │ ├── ForeignModMethodBadRes.bsv │ │ ├── ForeignModMethodBitArgActionResOK.bsv │ │ ├── ForeignModMethodBitArgBitResOK.bsv │ │ ├── ForeignModMethodNoArgReadResOK.bsv │ │ ├── ForeignModMethodTooFewPorts.bsv │ │ ├── ForeignModMethodTooFewPorts2.bsv │ │ ├── ForeignModMethodTooManyPorts.bsv │ │ ├── ForeignModMissingEnablePort.bsv │ │ ├── ForeignModMissingField.bsv │ │ ├── ForeignModMissingValuePort.bsv │ │ ├── ForeignModNotClockType.bsv │ │ ├── ForeignModNotField.bsv │ │ ├── ForeignModNotResetType.bsv │ │ ├── ForeignModNotSubField.bsv │ │ ├── ForeignModPolySubIfc.bsv │ │ ├── ForeignModResetHasArgs.bsv │ │ ├── ForeignModUnexpectedEnablePort.bsv │ │ ├── ForeignModUnexpectedValuePort.bsv │ │ ├── Makefile │ │ ├── foreignmodule.exp │ │ ├── parameters │ │ │ ├── BVI_Param_Bit32.bsv │ │ │ ├── BVI_Param_InBits_NoPack.bsv │ │ │ ├── BVI_Param_InBits_Pack.bsv │ │ │ ├── BVI_Param_Integer.bsv │ │ │ ├── BVI_Param_Literal.bsv │ │ │ ├── BVI_Param_NeedsProviso.bsv │ │ │ ├── BVI_Param_NeedsProviso.bsv.bsc-out.expected │ │ │ ├── BVI_Param_NotInBits.bsv │ │ │ ├── BVI_Param_NotInBits.bsv.bsc-out.expected │ │ │ ├── BVI_Param_Real.bsv │ │ │ ├── BVI_Param_RealLiteral.bsv │ │ │ ├── BVI_Param_SizedLiteral.bsv │ │ │ ├── BVI_Param_String.bsv │ │ │ ├── BVI_Param_StringLiteral.bsv │ │ │ ├── Makefile │ │ │ └── parameters.exp │ │ └── ports │ │ │ ├── BVI_Port_Bit32.bsv │ │ │ ├── BVI_Port_InBits_NoPack.bsv │ │ │ ├── BVI_Port_InBits_Pack.bsv │ │ │ ├── BVI_Port_Integer.bsv │ │ │ ├── BVI_Port_Literal.bsv │ │ │ ├── BVI_Port_NeedsProviso.bsv │ │ │ ├── BVI_Port_NeedsProviso.bsv.bsc-out.expected │ │ │ ├── BVI_Port_NotInBits.bsv │ │ │ ├── BVI_Port_NotInBits.bsv.bsc-out.expected │ │ │ ├── BVI_Port_Real.bsv │ │ │ ├── BVI_Port_RealLiteral.bsv │ │ │ ├── BVI_Port_RealLiteral.bsv.bsc-out.expected │ │ │ ├── BVI_Port_SizedLiteral.bsv │ │ │ ├── BVI_Port_String.bsv │ │ │ ├── BVI_Port_StringLiteral.bsv │ │ │ ├── Makefile │ │ │ └── ports.exp │ ├── fundeps │ │ ├── Bug355.bsv │ │ ├── ConstructorFourDimArrayEquality.bsv │ │ ├── ConstructorFourDimArrayUpdate.bsv │ │ ├── EmptyDepParam.bsv │ │ ├── ExtraDepParam.bsv │ │ ├── FourDimArray.bsv │ │ ├── FunDepUnify.bs │ │ ├── FunDepUnify2.bs │ │ ├── Makefile │ │ ├── NonDepParam.bs │ │ ├── NonDepParam.bs.bsc-out.expected │ │ ├── NonMergedFunDeps.bs │ │ ├── NonMergedFunDeps.bs.bsc-out.expected │ │ ├── OverlapDepParam.bsv │ │ ├── StructSelectFiveDimArray.bsv │ │ ├── StructSelectOneDimArray.bsv │ │ ├── StructUpdateFourDimArray.bsv │ │ ├── StructUpdateOneDimArray.bs │ │ ├── TenDimArray.bsv │ │ ├── ThreeDimArray.bsv │ │ └── fundeps.exp │ ├── generics │ │ ├── CPrintType.bs │ │ ├── CustomBits.bs │ │ ├── GenericNegativeTests.bs │ │ ├── GenericNegativeTests.bs.bsc-out.expected │ │ ├── GenericTests.bs │ │ ├── GenericTestsBSV.bsv │ │ ├── Makefile │ │ ├── generics.exp │ │ ├── sysCPrintType.out.expected │ │ ├── sysCustomBits.out.expected │ │ └── sysGenericTests.out.expected │ ├── higherrank │ │ ├── DeepSeqCond.bs │ │ ├── HigherRank.bs │ │ ├── Makefile │ │ ├── Undefined.bs │ │ ├── Uninitialized.bs │ │ ├── Uninitialized.bs.bsc-vcomp-out.expected │ │ ├── UninitializedStruct.bs │ │ ├── UninitializedStruct.bs.bsc-vcomp-out.expected │ │ ├── UninitializedStructBSV.bsv │ │ ├── higherrank.exp │ │ ├── sysDeepSeqCond.out.expected │ │ ├── sysHigherRank.out.expected │ │ ├── sysUndefined.out.expected │ │ └── sysUninitializedStructBSV.out.expected │ ├── index │ │ └── Makefile │ ├── instances │ │ ├── BadOverlap.bsv │ │ ├── Displayable.bs │ │ ├── DuplicateBitsSize.bs │ │ ├── DuplicateInstanceImported.bs │ │ ├── DuplicateInstanceLocal.bs │ │ ├── DuplicateInstanceRename.bsv │ │ ├── Makefile │ │ ├── MutuallyRecursiveInstances.bsv │ │ ├── MutuallyRecursiveInstances.bsv.bsc-vcomp-out.expected │ │ ├── MutuallyRecursiveInstances_Loop.bsv │ │ ├── NotMethod.bs │ │ ├── NotMethod.bsv │ │ ├── NotMethod2.bs │ │ ├── Overlap1.bs │ │ ├── Overlap2.bs │ │ ├── Overlap3.bs │ │ ├── OverlapCtxReduce.bsv │ │ ├── OverlapWithSyn.bs │ │ ├── RecursiveInstance.bsv │ │ ├── SelfRecursiveInstance.bsv │ │ ├── SelfRecursiveInstance_NoProviso.bsv │ │ ├── incoherent │ │ │ ├── IncoherentBad.bs │ │ │ ├── IncoherentFlag.bs │ │ │ ├── IncoherentOK.bs │ │ │ ├── Makefile │ │ │ └── incoherent.exp │ │ ├── instances.exp │ │ ├── orphan │ │ │ ├── BoundedOrphan.bsv │ │ │ ├── EitherOrphan.bsv │ │ │ ├── ExceptionNotOrphan.bsv │ │ │ ├── Makefile │ │ │ ├── MyBoundedNotOrphan.bsv │ │ │ └── orphans.exp │ │ ├── sysOverlap.out.expected │ │ ├── sysOverlapCtxReduce.out.expected │ │ └── sysOverlapWithSyn.out.expected │ ├── kind │ │ ├── ClassDefFieldIsNum.bs │ │ ├── ClassDefFieldIsNum.bs.bsc-out.expected │ │ ├── ClassDefParamConflictingUses.bs │ │ ├── ClassDefParamConflictingUses.bs.bsc-out.expected │ │ ├── ClassDefParamGivenNonNumUsedNum.bs │ │ ├── ClassDefParamGivenNonNumUsedNum.bs.bsc-out.expected │ │ ├── ClassDefParamGivenNumUsedNonNum.bs │ │ ├── ClassDefParamGivenNumUsedNonNum.bs.bsc-out.expected │ │ ├── ClassDefResGivenNum.bs │ │ ├── ClassDefResGivenNum.bs.bsc-out.expected │ │ ├── DataConstrOfNoArgsAppliedToMultipleArgs.bsv │ │ ├── DataConstrOfNoArgsAppliedToMultipleArgs.bsv.bsc-out.expected │ │ ├── DataDefFieldIsNum.bs │ │ ├── DataDefFieldIsNum.bs.bsc-out.expected │ │ ├── DataDefParamConflictingUses.bs │ │ ├── DataDefParamConflictingUses.bs.bsc-out.expected │ │ ├── DataDefParamGivenNonNumUsedFunc.bs │ │ ├── DataDefParamGivenNonNumUsedFunc.bs.bsc-out.expected │ │ ├── DataDefParamGivenNonNumUsedNum.bs │ │ ├── DataDefParamGivenNonNumUsedNum.bs.bsc-out.expected │ │ ├── DataDefParamGivenNumUsedNonNum.bs │ │ ├── DataDefParamGivenNumUsedNonNum.bs.bsc-out.expected │ │ ├── DataDefResGivenNum.bs │ │ ├── DataDefResGivenNum.bs.bsc-out.expected │ │ ├── ImportModIfc_TooFewArgs.bsv │ │ ├── ImportModIfc_TooManyArgs.bsv │ │ ├── Makefile │ │ ├── ModIfc_TooFewArgs_Local.bsv │ │ ├── ModIfc_TooFewArgs_TopLevel.bsv │ │ ├── ModIfc_TooManyArgs_TopLevel.bsv │ │ ├── NoArgPlusOne.bs │ │ ├── NoArgPlusOne.bs.bsc-out.expected │ │ ├── NonNumWhereNumExpected.bs │ │ ├── NonNumWhereNumExpected.bs.bsc-out.expected │ │ ├── NumPlusOne.bs │ │ ├── NumPlusOne.bs.bsc-out.expected │ │ ├── NumWhereNonNumExpected.bs │ │ ├── NumWhereNonNumExpected.bs.bsc-out.expected │ │ ├── OneArgMissingOne.bs │ │ ├── OneArgMissingOne.bs.bsc-out.expected │ │ ├── OneArgPlusOne.bs │ │ ├── OneArgPlusOne.bs.bsc-out.expected │ │ ├── StructDefFieldIsNum.bs │ │ ├── StructDefFieldIsNum.bs.bsc-out.expected │ │ ├── StructDefParamConflictingUses.bs │ │ ├── StructDefParamConflictingUses.bs.bsc-out.expected │ │ ├── StructDefParamGivenNonNumUsedNum.bs │ │ ├── StructDefParamGivenNonNumUsedNum.bs.bsc-out.expected │ │ ├── StructDefParamGivenNumUsedNonNum.bs │ │ ├── StructDefParamGivenNumUsedNonNum.bs.bsc-out.expected │ │ ├── StructDefResGivenNum.bs │ │ ├── StructDefResGivenNum.bs.bsc-out.expected │ │ ├── TwoArgMissingOne.bs │ │ ├── TwoArgMissingOne.bs.bsc-out.expected │ │ ├── TwoArgMissingTwo.bs │ │ ├── TwoArgMissingTwo.bs.bsc-out.expected │ │ ├── TypeAliasParamConflictingUses.bs │ │ ├── TypeAliasParamConflictingUses.bs.bsc-out.expected │ │ ├── TypeAliasParamGivenNonNumUsedNum.bs │ │ ├── TypeAliasParamGivenNonNumUsedNum.bs.bsc-out.expected │ │ ├── TypeAliasParamGivenNumUsedNonNum.bs │ │ ├── TypeAliasParamGivenNumUsedNonNum.bs.bsc-out.expected │ │ ├── TypeAliasParamGivenTooFew.bs │ │ ├── TypeAliasParamGivenTooFew.bs.bsc-out.expected │ │ ├── TypeAliasParamGivenTooFew_ToNone.bs │ │ ├── TypeAliasParamGivenTooFew_ToNone.bs.bsc-out.expected │ │ ├── TypeAliasParamGivenTooMany.bs │ │ ├── TypeAliasParamGivenTooMany.bs.bsc-out.expected │ │ ├── TypeAliasParamGivenTooMany_FromNone.bs │ │ ├── TypeAliasParamGivenTooMany_FromNone.bs.bsc-out.expected │ │ ├── TypeAliasParamGivenTooMany_OK.bs │ │ ├── TypeAliasPartialAppWithConflictingKindSig.bs │ │ ├── TypeAliasPartialAppWithConflictingKindSig.bs.bsc-out.expected │ │ ├── TypeAliasPartialAppWithoutKindSig.bs │ │ ├── TypeAliasResGivenNonNumIsNum.bs │ │ ├── TypeAliasResGivenNonNumIsNum.bs.bsc-out.expected │ │ ├── TypeAliasResGivenNonNumIsNumParam.bs │ │ ├── TypeAliasResGivenNonNumIsNumParam.bs.bsc-out.expected │ │ ├── TypeAliasResGivenNumIsNonNum.bs │ │ ├── TypeAliasResGivenNumIsNonNum.bs.bsc-out.expected │ │ ├── TypeAliasResGivenNumIsNonNumParam.bs │ │ ├── TypeAliasResGivenNumIsNonNumParam.bs.bsc-out.expected │ │ ├── bound-vars │ │ │ ├── AdjustSize.bsv │ │ │ ├── CBindT.bsv │ │ │ ├── CDefl.bs │ │ │ ├── CDeflBSV.bsv │ │ │ ├── CHasType.bs │ │ │ ├── KindMismatchArgToBoundVar.bsv │ │ │ ├── KindMismatchMissingArg.bsv │ │ │ ├── Makefile │ │ │ ├── WideningPlus.bsv │ │ │ └── bound-vars.exp │ │ ├── inferkinds │ │ │ ├── ClassPartialKind.bsv │ │ │ ├── InterfaceGroundedIncorrectly.bsv │ │ │ ├── InterfaceInferedFromTypedef.bsv │ │ │ ├── InterfacePartialKind.bsv │ │ │ ├── InterfacePartialKindManyParams.bsv │ │ │ ├── InterfaceWrongPartialKind.bsv │ │ │ ├── Makefile │ │ │ ├── SubUnionSubStructPartialKind.bsv │ │ │ ├── TypeAliasShadow.bsv │ │ │ ├── TypedefNumericResult.bsv │ │ │ └── inferkinds.exp │ │ ├── kind.exp │ │ └── mismatch │ │ │ ├── DefaultBaseMismatch_TyConParam.bsv │ │ │ ├── DefaultBaseMismatch_TyConParam.bsv.bsc-out.expected │ │ │ ├── DefaultProvisoMismatch_TyCon.bsv │ │ │ ├── DefaultProvisoMismatch_TyCon.bsv.bsc-out.expected │ │ │ ├── Makefile │ │ │ ├── ProvisoBaseMismatch_Local.bsv │ │ │ ├── ProvisoBaseMismatch_Local.bsv.bsc-out.expected │ │ │ ├── ProvisoBaseMismatch_TopLevel.bsv │ │ │ ├── ProvisoBaseMismatch_TopLevel.bsv.bsc-out.expected │ │ │ ├── ProvisoProvisoMismatch_Local.bsv │ │ │ ├── ProvisoProvisoMismatch_Local.bsv.bsc-out.expected │ │ │ ├── ProvisoProvisoMismatch_TopLevel.bsv │ │ │ ├── ProvisoProvisoMismatch_TopLevel.bsv.bsc-out.expected │ │ │ ├── Proviso_NonNumUsedNum.bsv │ │ │ ├── Proviso_NonNumUsedNum.bsv.bsc-out.expected │ │ │ ├── StructDefFieldIsNum_ByParam.bsv │ │ │ ├── StructDefFieldIsNum_ByParam.bsv.bsc-out.expected │ │ │ ├── TyConParamTooFew.bsv │ │ │ ├── TyConParamTooFew.bsv.bsc-out.expected │ │ │ ├── TyConParamTooFew_InProviso.bsv │ │ │ ├── TyConParamTooFew_InProviso.bsv.bsc-out.expected │ │ │ ├── UnionDefValueIsNum_ByParam.bsv │ │ │ ├── UnionDefValueIsNum_ByParam.bsv.bsc-out.expected │ │ │ └── mismatch.exp │ ├── literals │ │ ├── BinaryLiteral.bsv │ │ ├── BinaryLiterals.bs │ │ ├── DefaultingLiteral.bs │ │ ├── DefaultingRealLiteral.bs │ │ ├── DefaultingSizedLiteral.bsv │ │ ├── LeadingMinus.bsv │ │ ├── LiteralInTuple.bs │ │ ├── Literal_Neg.bsv │ │ ├── Literal_TooLarge.bsv │ │ ├── Makefile │ │ ├── SizedLiteral_Bounds.bsv │ │ ├── SizedLiteral_Neg.bsv │ │ ├── SizedLiteral_TooLarge.bsv │ │ ├── SizedLiteral_TooLargeNeg.bsv │ │ ├── SizedLiteral_TooLarge_Case.bsv │ │ ├── SizedLiteral_TooLarge_CaseMatches.bsv │ │ ├── SizedLiteral_ZeroSize.bsv │ │ ├── SizedLiteral_ZeroSize_TooLarge.bsv │ │ ├── SizedLiteral_ZeroSize_TypeMismatch.bsv │ │ └── literals.exp │ ├── mismatch │ │ ├── FuncMismatchArgN.bsv │ │ ├── FuncMismatchAssignArgN.bsv │ │ ├── FuncMismatchAssignNumArgsMaybeArgN.bsv │ │ ├── FuncMismatchAssignTooManyArgs.bsv │ │ ├── FuncMismatchCannotUnify.bsv │ │ ├── FuncMismatchNoArgsDef.bsv │ │ ├── FuncMismatchNoArgsUse.bsv │ │ ├── FuncMismatchNumArgsMaybeArgN.bsv │ │ ├── FuncMismatchResult.bsv │ │ ├── FuncMismatchTooFewArgs.bsv │ │ ├── FuncMismatchTooManyArgs.bsv │ │ ├── Makefile │ │ ├── MethMismatchNumArgsMaybeArgN.bsv │ │ └── mismatch.exp │ ├── numeric │ │ ├── AddFunDeps.bsv │ │ ├── AddTAdd_Eq.bsv │ │ ├── AddTAdd_Multiple.bsv │ │ ├── AddTAdd_Taut.bsv │ │ ├── AddTMax_Multiple.bsv │ │ ├── AddTMax_Multiple2.bsv │ │ ├── AddTMax_Simple.bsv │ │ ├── AddTMin_Multiple.bsv │ │ ├── AddTMin_Simple.bsv │ │ ├── AddTSub_Taut.bsv │ │ ├── Add_Elimination1.bsv │ │ ├── Add_Elimination2.bsv │ │ ├── Add_Elimination3.bsv │ │ ├── Add_Elimination3.bsv.bsc-out.expected │ │ ├── Add_Elimination_TSub1.bsv │ │ ├── Add_Elimination_TSub2.bsv │ │ ├── Add_Elimination_TSub3.bsv │ │ ├── Add_Elimination_TSub3.bsv.bsc-out.expected │ │ ├── Add_Elimination_TSub4.bsv │ │ ├── Add_Elimination_TSub4.bsv.bsc-out.expected │ │ ├── Add_SameType.bsv │ │ ├── AmbigTCon_SizeOf.bsv │ │ ├── AmbigTCon_TAdd.bsv │ │ ├── AmbigTCon_TDiv.bsv │ │ ├── AmbigTCon_TExp.bsv │ │ ├── AmbigTCon_TLog.bsv │ │ ├── AmbigTCon_TMax.bsv │ │ ├── AmbigTCon_TMin.bsv │ │ ├── AmbigTCon_TMul.bsv │ │ ├── AmbigTCon_TSub.bsv │ │ ├── Bug782_AddUp.bsv │ │ ├── Bug782_AddUp.bsv.bsc-out.expected │ │ ├── Bug782_AddUp_OK.bsv │ │ ├── Bug782_Div_OK.bsv │ │ ├── Bug782_Div_OK2.bsv │ │ ├── Bug782_Div_fxptDivide_OK.bsv │ │ ├── Bug782_Div_fxptDivide_noProvisos.bsv │ │ ├── Bug782_Div_fxptDivide_noProvisos.bsv.bsc-out.expected │ │ ├── Bug782_Div_mkDivide_OK.bsv │ │ ├── Bug782_Div_mkDivide_firstProvisos.bsv │ │ ├── Bug782_Div_mkDivide_firstProvisos.bsv.bsc-out.expected │ │ ├── Bug782_Div_mkDivide_noProvisos.bsv │ │ ├── Bug782_Div_mkDivide_noProvisos.bsv.bsc-out.expected │ │ ├── Bug782_FixedDivSmall.bsv │ │ ├── Bug782_ProvisoBSV.bsv │ │ ├── Bug782_ProvisoBSV.bsv.bsc-out.expected │ │ ├── Bug782_ProvisoClassic.bs │ │ ├── Bug782_TLM2BRAM.bsv │ │ ├── Bug782_TLM2BRAM.bsv.bsc-out.expected │ │ ├── Bug782_TLM2BRAM_OK.bsv │ │ ├── Div.bsv │ │ ├── DivFunDeps.bsv │ │ ├── DivFunDeps.bsv.bsc-out.expected │ │ ├── EarlyCtxRed.bsv │ │ ├── Imply_Add_Add.bsv │ │ ├── Imply_Add_AddTExp.bsv │ │ ├── Imply_Add_Mul.bsv │ │ ├── Imply_Adds_Add.bsv │ │ ├── Imply_Adds_Add2.bsv │ │ ├── Imply_DivMul_Add.bsv │ │ ├── Imply_Div_AddTMax.bsv │ │ ├── Imply_LTEs_LTE.bsv │ │ ├── Imply_LTEs_LTETDiv.bsv │ │ ├── Imply_Max_Add.bsv │ │ ├── Imply_Mul_Add.bsv │ │ ├── Imply_Mul_Add_LessThan.bsv │ │ ├── Imply_Mul_Add_LessThan2.bsv │ │ ├── Imply_Superclass_Add_Add.bsv │ │ ├── LogFunDeps.bsv │ │ ├── LogFunDeps.bsv.bsc-out.expected │ │ ├── LogZero.bsv │ │ ├── Makefile │ │ ├── MaxFunDeps.bsv │ │ ├── MaxFunDeps.bsv.bsc-out.expected │ │ ├── Min.bsv │ │ ├── MinFunDeps.bsv │ │ ├── MinFunDeps.bsv.bsc-out.expected │ │ ├── MulFunDeps.bsv │ │ ├── MulTMul_Eq.bsv │ │ ├── MulTMul_Multiple.bsv │ │ ├── MulTMul_Taut.bsv │ │ ├── MulTMul_Taut2.bsv │ │ ├── Mul_Elimination1.bsv │ │ ├── Mul_Elimination1.bsv.bsc-out.expected │ │ ├── Mul_SameType.bsv │ │ ├── NumEqFunDeps.bsv │ │ ├── NumEq_AddTAdd.bsv │ │ ├── NumEq_TwoTAdd.bsv │ │ ├── NumEq_TypeSyn.bsv │ │ ├── PolyMax.bsv │ │ ├── Provisos_TDiv.bsv │ │ ├── Provisos_TLog.bsv │ │ ├── Provisos_TSub1.bsv │ │ ├── Provisos_TSub2.bsv │ │ ├── Provisos_TSub3.bsv │ │ ├── Provisos_TSub4.bsv │ │ ├── Provisos_TSub4.bsv.bsc-out.expected │ │ ├── Provisos_TSub5.bsv │ │ ├── SizeOf_Bool.bsv │ │ ├── SizeOf_UserType_TMul.bsv │ │ ├── TAddNoUnify.bsv │ │ ├── TExp_Add.bsv │ │ ├── TLogTDiv_Add.bsv │ │ ├── TMulTExp_Add.bsv │ │ ├── Unsatisfiable_Given.bsv │ │ ├── Unsatisfiable_GivenAndInferred.bsv │ │ ├── Unsatisfiable_GivenAndInferred.bsv.bsc-out.expected │ │ ├── Unsatisfiable_Inferred.bsv │ │ ├── Unsatisfiable_Inferred.bsv.bsc-out.expected │ │ ├── Unsatisfiable_TDiv.bsv │ │ ├── Unsatisfiable_TSub.bsv │ │ ├── Unsatisfiable_TSub.bsv.bsc-out.expected │ │ ├── UserDefinedTypeFunction.bsv │ │ └── numeric.exp │ ├── partial │ │ ├── Makefile │ │ ├── PartialType_Disambiguate.bsv │ │ ├── PartialType_Disambiguate.bsv.bsc-out.expected │ │ ├── PartialType_Instance_Wrong.bsv │ │ ├── PartialType_Method_Action.bsv │ │ ├── PartialType_Method_Wrong_AVRet.bsv │ │ ├── PartialType_Method_Wrong_Arg.bsv │ │ ├── PartialType_Method_Wrong_Ret.bsv │ │ ├── PartialType_Wrong.bsv │ │ └── partial.exp │ ├── primtcons │ │ ├── ExpSizeOf.bsv │ │ ├── ExpSizeOf_Field.bsv │ │ ├── ExpSizeOf_FieldSyn.bsv │ │ ├── ExpSizeOf_Instances.bsv │ │ ├── ExpSizeOf_InstancesBase.bsv │ │ ├── ExpSizeOf_InstancesBaseSyn.bsv │ │ ├── Makefile │ │ └── primtcons.exp │ ├── read_desugaring │ │ ├── ListDesugar.bsv │ │ ├── ListDesugarFail.bsv │ │ ├── ListDesugarFail2.bsv │ │ ├── Makefile │ │ ├── StructReg.bsv │ │ ├── StructRegFail.bsv │ │ ├── TwoDUpdateTest.bsv │ │ ├── read_desugaring.exp │ │ ├── sysListDesugar.out.expected │ │ ├── sysStructReg.out.expected │ │ └── sysTwoDUpdateTest.out.expected │ ├── reflect │ │ ├── Makefile │ │ ├── TypeEQ.bsv │ │ ├── TypeOf.bsv │ │ ├── reflect.exp │ │ ├── sysTypeEQ.out.expected │ │ └── sysTypeOf.out.expected │ ├── registers │ │ ├── AsIfc.bsv │ │ ├── ImportWithReadMethod.bsv │ │ ├── Makefile │ │ ├── MethodCallOnIfcWithRead.bsv │ │ └── registers.exp │ ├── string │ │ ├── KindMismatch.bs │ │ ├── KindMismatch.bs.bsc-out.expected │ │ ├── Makefile │ │ ├── StringKindPhantomMismatch.bs │ │ ├── StringKindPhantomMismatch.bs.bsc-out.expected │ │ ├── StringKindPolyToSpecific.bs │ │ ├── StringKindSpecificToPoly.bs │ │ ├── StringKindSpecificToPoly.bs.bsc-out.expected │ │ ├── StringOf.bs │ │ ├── StringOfBSV.bsv │ │ ├── TNumToStr.bs │ │ ├── TStrCat.bs │ │ ├── TypeClassString.bs │ │ ├── string.exp │ │ ├── sysStringOf.out.expected │ │ ├── sysStringOfBSV.out.expected │ │ ├── sysTNumToStr.out.expected │ │ ├── sysTStrCat.out.expected │ │ └── sysTypeClassString.out.expected │ ├── typechecker.exp │ └── typeclasses │ │ ├── ClassKind1.bsv │ │ ├── ClassKind1b.bsv │ │ ├── ClassKind2.bsv │ │ ├── ClassKind2b.bsv │ │ ├── ClassKind3.bsv │ │ ├── ClassKind3Sub.bs │ │ ├── ContextOnClassSubset.bs │ │ ├── ContextOnClassSubset.bs.bsc-out.expected │ │ ├── DefaultBoundVars.bsv │ │ ├── DefaultWithExplicitPred.bsv │ │ ├── InstanceVariablePositions.bsv │ │ ├── InstanceVariablePositions.bsv.bsc-out.expected │ │ ├── Makefile │ │ ├── NonDeferredInnerContext_NoInstance.bs │ │ ├── NonDeferredInnerContext_NoInstance.bs.bsc-out.expected │ │ ├── StructWithContext.bs │ │ ├── TypeClassMethodWithContext.bs │ │ ├── examples │ │ ├── Makefile │ │ └── pipeline │ │ │ ├── Makefile │ │ │ ├── Pipeline1.bsv │ │ │ ├── Pipeline2.bsv │ │ │ ├── Tb1.bsv │ │ │ ├── Tb1.bsv.bsc-out.expected │ │ │ ├── Tb2.bsv │ │ │ └── pipeline.exp │ │ └── typeclasses.exp ├── bsc.vcdcheck │ ├── .gitignore │ ├── Makefile │ ├── gcd_bsim.vcd │ ├── gcd_vlog.vcd │ ├── long.vcd │ └── vcdcheck.exp ├── bsc.verilog │ ├── .cvsignore │ ├── Case.bs │ ├── ExclusiveActions.bs │ ├── ExpectedExclusiveActions.bs │ ├── InitialBlocks.bsv │ ├── Lib.bs │ ├── Loop.bs │ ├── Makefile │ ├── Mips.bs │ ├── MipsCPU.bs │ ├── MipsDefs.bs │ ├── MipsFIFO.bs │ ├── MipsInstr.bs │ ├── MipsROM.bs │ ├── Misc.bs │ ├── Oper.bs │ ├── Simple.bs │ ├── SimpleFF.bs │ ├── Underscore.bsv │ ├── astate │ │ ├── .gitignore │ │ ├── ActionValueMux.bsv │ │ ├── ExpectedMuxTest.bs │ │ ├── ExpectedOneSetter.bs │ │ ├── InputMangle.bs │ │ ├── Makefile │ │ ├── MuxTest.bs │ │ ├── OneSetter.bs │ │ ├── PriMux_SharedValue.bsv │ │ ├── RegFileVector.bsv │ │ ├── UseCondMux.bsv │ │ ├── astate.exp │ │ ├── sysMuxTest.out.expected │ │ ├── sysOneSetter.out.expected │ │ ├── sysRegFileVector.out.expected │ │ └── sysUseCondMux.out.expected │ ├── comments │ │ ├── AttrDemo.bsv │ │ ├── CommentOnBindInAction.bsv │ │ ├── CommentOnInlinedModule.bsv │ │ ├── CommentOnInlinedSubmod.bsv │ │ ├── CommentOnInlinedSubmodInForLoop.bsv │ │ ├── CommentOnModule.bsv │ │ ├── CommentOnMultipleRule.bsv │ │ ├── CommentOnNonModuleSubmod.bsv │ │ ├── CommentOnRule.bsv │ │ ├── CommentOnRuleInForLoop.bsv │ │ ├── CommentOnRuleInFunc.bsv │ │ ├── CommentOnRuleNest.bsv │ │ ├── CommentOnRulesInFunc.bsv │ │ ├── CommentOnSubmod.bsv │ │ ├── CommentOnSubmodArrayInForLoop.bsv │ │ ├── CommentOnSubmodDuplicatedInForLoop.bsv │ │ ├── CommentOnSubmodInFunc.bsv │ │ ├── CommentOnSubmodLet.bsv │ │ ├── CommentOnSubmodMultiBind.bsv │ │ ├── CommentOnSubmodMultiBindFail.bsv │ │ ├── CommentOnSubmodProbe.bsv │ │ ├── CommentOnSubmodRWire.bsv │ │ ├── CommentOnSubmodReg.bsv │ │ ├── CommentOnSubmodReplicate.bsv │ │ ├── CommentOnSubmodShorthand.bsv │ │ ├── CommentOnSubmodShorthandSeparate.bsv │ │ ├── CommentOnSubsubmod.bsv │ │ ├── DuplicateCommentOnModule.bsv │ │ ├── DuplicateCommentOnSubmod.bsv │ │ ├── Makefile │ │ └── comments.exp │ ├── derived_bits │ │ ├── Alt1.bs │ │ ├── Alt1a.bs │ │ ├── Alt2.bs │ │ ├── Alt3.bs │ │ ├── Alt4.bs │ │ ├── Alt5.bs │ │ ├── Alt6.bs │ │ ├── C0.bs │ │ ├── C1.bs │ │ ├── Decoder.bs │ │ ├── Enums.bsv │ │ ├── LeftBig.bs │ │ ├── Makefile │ │ ├── Maybe.bs │ │ ├── Orig.bs │ │ ├── RightBig.bs │ │ ├── derived_bits.exp │ │ ├── mkAlt1Reg.v.expected │ │ ├── mkAlt1Test.v.expected │ │ ├── mkAlt1aReg.v.expected │ │ ├── mkAlt1aTest.v.expected │ │ ├── mkAlt2Reg.v.expected │ │ ├── mkAlt2Test.v.expected │ │ ├── mkAlt3Reg.v.expected │ │ ├── mkAlt3Test.v.expected │ │ ├── mkAlt4Reg.v.expected │ │ ├── mkAlt4Test.v.expected │ │ ├── mkAlt5Reg.v.expected │ │ ├── mkAlt5Test.v.expected │ │ ├── mkAlt6Reg.v.expected │ │ ├── mkAlt6Test.v.expected │ │ ├── mkC0Reg.v.expected │ │ ├── mkC0Test.v.expected │ │ ├── mkC1Reg.v.expected │ │ ├── mkC1Test.v.expected │ │ ├── mkEnumType1Reg.v.expected │ │ ├── mkEnumType1Test.v.expected │ │ ├── mkEnumType2Reg.v.expected │ │ ├── mkEnumType2Test.v.expected │ │ ├── mkEnumType3Reg.v.expected │ │ ├── mkEnumType3Test.v.expected │ │ ├── mkLeftBigReg.v.expected │ │ ├── mkMaybeAlt1Reg.v.expected │ │ ├── mkMaybeAlt1aReg.v.expected │ │ ├── mkMaybeAlt2Reg.v.expected │ │ ├── mkMaybeAlt3Reg.v.expected │ │ ├── mkMaybeAlt4Reg.v.expected │ │ ├── mkMaybeAlt5Reg.v.expected │ │ ├── mkMaybeAlt6Reg.v.expected │ │ ├── mkMaybeC0Reg.v.expected │ │ ├── mkMaybeC1Reg.v.expected │ │ ├── mkMaybeOrigReg.v.expected │ │ ├── mkMaybeReg.v.expected │ │ ├── mkOrigReg.v.expected │ │ ├── mkOrigTest.v.expected │ │ └── mkRightBigReg.v.expected │ ├── dollar │ │ ├── Makefile │ │ ├── TbWideGCD.bsv │ │ ├── WideGCD.bsv │ │ ├── dollar.exp │ │ ├── mkTbWideGCD.out.expected │ │ ├── renaming │ │ │ ├── GCD.bsv │ │ │ ├── Makefile │ │ │ ├── TbGCD.bsv │ │ │ ├── mkTbGCD.out.expected │ │ │ └── rename.exp │ │ ├── renaming2 │ │ │ ├── GCD.bsv │ │ │ ├── Makefile │ │ │ ├── TbGCD.bsv │ │ │ ├── mkTbGCD.out.expected │ │ │ └── rename.exp │ │ └── renaming4 │ │ │ ├── GCD.bsv │ │ │ ├── Makefile │ │ │ ├── TbGCD.bsv │ │ │ ├── mkTbGCD.out.expected │ │ │ └── rename.exp │ ├── filter │ │ ├── ImpArgConnect.bsv │ │ ├── ImpArgConnect2.bsv │ │ ├── ImpArgConnect3.bsv │ │ ├── ImpArgConnect4.bsv │ │ ├── InoutStub.bsv │ │ ├── Makefile │ │ ├── RedoInoutConnect.bsv │ │ ├── basicinout.pl │ │ ├── filter.exp │ │ ├── mkArgImpConnect.v.expected │ │ ├── mkArgImpConnect3.v.expected │ │ ├── mkImpArgConnect.v.expected │ │ ├── mkImpArgConnect3.v.expected │ │ ├── mkRedoInoutConnect.v.expected │ │ └── simple.sed │ ├── foreign_module │ │ ├── BVI_IfcParamApMethArg_Concrete.bsv │ │ ├── BVI_IfcParamApMethArg_Poly.bsv │ │ ├── BVI_IfcParamApMethVal_Concrete.bsv │ │ ├── BVI_IfcParamApMethVal_Poly.bsv │ │ ├── BVI_IfcParamMethArg_Concrete.bsv │ │ ├── BVI_IfcParamMethArg_Poly.bsv │ │ ├── BVI_IfcParamMethArg_Poly2.bsv │ │ ├── BVI_IfcParamMethVal_Concrete.bsv │ │ ├── BVI_IfcParamMethVal_Poly.bsv │ │ ├── BVI_IfcParamMethVal_Poly2.bsv │ │ ├── BVI_IfcPolyMethArg.bsv │ │ ├── BVI_IfcPolyMethArg2.bsv │ │ ├── BVI_IfcPolyMethVal.bsv │ │ ├── BVI_IfcPolyMethVal2.bsv │ │ ├── BVI_IfcRegApVar.bsv │ │ ├── BVI_IfcRegApVar_UseOK.bsv │ │ ├── BVI_IfcVar.bsv │ │ ├── BVI_IfcVarAp.bsv │ │ ├── Makefile │ │ └── foreign_module.exp │ ├── inline │ │ ├── Makefile │ │ ├── NoReset.bsv │ │ ├── ProbeTest.bsv │ │ ├── RWireOneUse.bsv │ │ └── inline.exp │ ├── inout │ │ ├── .gitignore │ │ ├── ArgToIfc.bsv │ │ ├── Both.v │ │ ├── BothSendAndReceive.bsv │ │ ├── CheckClocks_ArgToIfc_DiffClock.bsv │ │ ├── CheckClocks_BVIIfcToBVIArg_DiffClock.bsv │ │ ├── CheckClocks_ConnectBVIIfcToBVIIfc_DiffClock.bsv │ │ ├── CheckClocks_ConnectTwoModArg_DiffClock.bsv │ │ ├── CheckClocks_ModArgToBVI_DiffClock.bsv │ │ ├── CheckResets_ArgToIfc_DiffReset.bsv │ │ ├── CheckResets_ConnectTwoModArg_DiffReset.bsv │ │ ├── Cond.bsv │ │ ├── CondRegInstantiate.bsv │ │ ├── Cond_expr.bsv │ │ ├── Cond_synthesize.bsv │ │ ├── Connect_wrapped.bsv │ │ ├── Connect_wrapped2.bsv │ │ ├── EnabledReceiver.bsv │ │ ├── EnabledReceiver.v │ │ ├── FourInoutBuses.bsv │ │ ├── FunctionInout.bsv │ │ ├── HigherFunction.bsv │ │ ├── ImpArgConnect.bsv │ │ ├── ImpImpConnect.bsv │ │ ├── InoutBus.bsv │ │ ├── InoutNonbitifiable.bsv │ │ ├── InoutStub.bsv │ │ ├── InoutToVal.v │ │ ├── InoutUsed.bsv │ │ ├── LineConnect.bsv │ │ ├── Makefile │ │ ├── ManyLineConnect1.bsv │ │ ├── ManyLineConnect2.bsv │ │ ├── ManyLineConnectArray.bsv │ │ ├── PolymorphicImportBVI.bsv │ │ ├── Receiver.v │ │ ├── RedoInoutConnect.bsv │ │ ├── RegEnConnect.bsv │ │ ├── RegEnConnect2.bsv │ │ ├── RegisteredSender.bsv │ │ ├── RegisteredSender.v │ │ ├── Sender.v │ │ ├── SenderReceiver.bsv │ │ ├── SimpleConnect1.bsv │ │ ├── SimpleConnect2.bsv │ │ ├── SizeZero.bsv │ │ ├── TbBoth.bsv │ │ ├── TwoInoutBuses.bsv │ │ ├── WrapConnection.bsv │ │ ├── WrapReceiver.bsv │ │ ├── WrapSender.bsv │ │ ├── inout.exp │ │ ├── mkArgImpConnect.v.expected │ │ ├── mkFourInoutBuses.v.expected │ │ ├── mkImpArgConnect.v.expected │ │ ├── mkImpImpConnect1.v.expected │ │ ├── mkImpImpConnect2.v.expected │ │ ├── mkInoutBus1.v.expected │ │ ├── mkInoutBus2.v.expected │ │ ├── mkRedoInoutConnect.v.expected │ │ ├── mkTwoInoutBuses.v.expected │ │ ├── out0-9.expected │ │ ├── out3737.expected │ │ ├── out42.expected │ │ ├── sysCond.out.expected │ │ ├── sysFunctionInout.out.expected │ │ ├── sysHigherFunction.out.expected │ │ ├── sysInoutUsed.out.expected │ │ └── sysTbBoth.out.expected │ ├── noinline │ │ ├── Makefile │ │ ├── MulSize.bsv │ │ ├── NoInline.bs │ │ ├── NoInlineInSched.bsv │ │ ├── NoInline_ArgNotInBits.bsv │ │ ├── NoInline_ArgNotInBits.bsv.bsc-vcomp-out.expected │ │ ├── NoInline_LessPatternsThanArgs.bs │ │ ├── NoInline_LessPatternsThanArgs_BSV_Function.bsv │ │ ├── NoInline_LessPatternsThanArgs_BSV_TypeDef.bsv │ │ ├── NoInline_NoArgs.bsv │ │ ├── NoInline_Polymorphic.bsv │ │ ├── NoInline_PredNotReducible.bsv │ │ ├── NoInline_PredReducible.bsv │ │ ├── NoInline_ResAction.bsv │ │ ├── NoInline_ResActionValue.bsv │ │ ├── NoInline_ResNotInBits.bsv │ │ ├── NoInline_ResNotInBits.bsv.bsc-vcomp-out.expected │ │ ├── divbug │ │ │ ├── Div.bsv │ │ │ ├── DivTest.bsv │ │ │ ├── Makefile │ │ │ ├── noinline_divbug.exp │ │ │ └── sysDivTest.out.expected │ │ ├── module_test.v.expected │ │ ├── noinline.exp │ │ ├── sysNoInline.out.expected │ │ ├── sysNoInlineInSched.out.expected │ │ ├── sysNoInline_LessPatternsThanArgs.out.expected │ │ └── sysNoInline_NoArgs.out.expected │ ├── opt │ │ ├── AOptTwoMethodsUsingSamePort.bsv │ │ ├── InlineExtract.bsv │ │ ├── InlineInv.bsv │ │ ├── InlineNot.bsv │ │ ├── InstParam_DontCareConcat.bsv │ │ ├── InstParam_DontCareDynSelect.bsv │ │ ├── InstParam_DontCareSelect.bsv │ │ ├── Makefile │ │ ├── SmallCase.bsv │ │ └── opt.exp │ ├── parameters │ │ ├── Makefile │ │ ├── ModulePort_WithStaticValue_TopLevel.bsv │ │ ├── ModulePort_WithStaticValue_TwoLevel.bsv │ │ ├── ParamExpr_Param.bsv │ │ ├── ParamExpr_Port.bsv │ │ ├── ParamExpr_SubmodPort.bsv │ │ ├── ParamSize.bsv │ │ ├── ParamSizeDefault.bsv │ │ ├── ParamTest.bsv │ │ ├── PortExpr_SubmodPort.bsv │ │ ├── parameters.exp │ │ ├── real │ │ │ ├── .gitignore │ │ │ ├── DisplayReal.v │ │ │ ├── Makefile │ │ │ ├── RealParamErr1.bsv │ │ │ ├── RealParamErr2.bsv │ │ │ ├── SimpleRealImport.bsv │ │ │ ├── TwoLevelReal.bsv │ │ │ ├── TwoLevelReal2.bsv │ │ │ ├── real_param.exp │ │ │ ├── sysSimpleRealImport.out.expected │ │ │ ├── sysTwoLevelReal.out.expected │ │ │ └── sysTwoLevelReal2.out.expected │ │ ├── string │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── StringConcat1.bsv │ │ │ ├── StringConcat2.bsv │ │ │ ├── StringParam.bsv │ │ │ ├── StringParamCond.bsv │ │ │ ├── StringParamErr1.bsv │ │ │ ├── StringParamErr2.bsv │ │ │ ├── TwoLevelString.bsv │ │ │ ├── string_param.exp │ │ │ ├── sysStringConcat1.out.expected │ │ │ ├── sysStringConcat2.out.expected │ │ │ ├── sysStringParam.out.expected │ │ │ ├── sysStringParamCond.out.expected │ │ │ ├── sysTwoLevelString.out.expected │ │ │ ├── theAs_0_file.txt │ │ │ ├── theAs_1_file.txt │ │ │ ├── theAs_2_file.txt │ │ │ └── theAs_3_file.txt │ │ ├── sysModulePort_WithStaticValue_TopLevel.v.out.expected │ │ ├── sysModulePort_WithStaticValue_TwoLevel.out.expected │ │ ├── sysParamExpr_Param.out.expected │ │ ├── sysParamSize.out.expected │ │ ├── sysParamSizeDefault.out.expected │ │ ├── sysParamTest.out.expected │ │ └── tbParamSize.v │ ├── portprops │ │ ├── InHigh.bsv │ │ ├── InHigh.bsv.bsc-vcomp-out.expected │ │ ├── InoutProps_ArgToIfc.bsv │ │ ├── InoutProps_ArgToIfc.bsv.bsc-vcomp-out.expected │ │ ├── InoutProps_BVIArg.bsv │ │ ├── InoutProps_BVIArg.bsv.bsc-vcomp-out.expected │ │ ├── InoutProps_BVIIfc.bsv │ │ ├── InoutProps_BVIIfc.bsv.bsc-vcomp-out.expected │ │ ├── InoutProps_UnusedArg.bsv │ │ ├── InoutProps_UnusedArg.bsv.bsc-vcomp-out.expected │ │ ├── InoutProps_UnusedArgBVI.bsv │ │ ├── InoutProps_UnusedArgBVI.bsv.bsc-vcomp-out.expected │ │ ├── InoutProps_UnusedIfc.bsv │ │ ├── InputArg_ConcatReg.bsv │ │ ├── InputArg_ConcatReg.bsv.bsc-vcomp-out.expected │ │ ├── InputArg_ExtractRegAndUnused.bsv │ │ ├── InputArg_ExtractRegAndUnused.bsv.bsc-vcomp-out.expected │ │ ├── InputArg_OneReg.bsv │ │ ├── InputArg_OneReg.bsv.bsc-vcomp-out.expected │ │ ├── InputArg_OneRegOneLogicReg.bsv │ │ ├── InputArg_OneRegOneLogicReg.bsv.bsc-vcomp-out.expected │ │ ├── InputArg_OneRegOneUnused.bsv │ │ ├── InputArg_OneRegOneUnused.bsv.bsc-vcomp-out.expected │ │ ├── InputArg_TwoReg.bsv │ │ ├── InputArg_TwoReg.bsv.bsc-vcomp-out.expected │ │ ├── InputArg_Unused.bsv │ │ ├── InputArg_Unused.bsv.bsc-vcomp-out.expected │ │ ├── InputGate_OnlyInMethodReady.bsv │ │ ├── InputGate_OnlyInMethodReady.bsv.bsc-vcomp-out.expected │ │ ├── Makefile │ │ ├── MethodArg_OneReg.bsv │ │ ├── MethodArg_OneReg.bsv.bsc-vcomp-out.expected │ │ ├── MethodValue_ConcatRegAndConst.bsv │ │ ├── MethodValue_ConcatRegAndConst.bsv.bsc-vcomp-out.expected │ │ ├── MethodValue_ConcatRegAndLogic.bsv │ │ ├── MethodValue_ConcatRegAndLogic.bsv.bsc-vcomp-out.expected │ │ ├── MethodValue_ConcatTwoReg.bsv │ │ ├── MethodValue_ConcatTwoReg.bsv.bsc-vcomp-out.expected │ │ ├── MethodValue_Const.bsv │ │ ├── MethodValue_Const.bsv.bsc-vcomp-out.expected │ │ ├── MethodValue_ExtractReg.bsv │ │ ├── MethodValue_ExtractReg.bsv.bsc-vcomp-out.expected │ │ ├── MethodValue_Logic.bsv │ │ ├── MethodValue_Logic.bsv.bsc-vcomp-out.expected │ │ ├── MethodValue_OneReg.bsv │ │ ├── MethodValue_OneReg.bsv.bsc-vcomp-out.expected │ │ ├── OutputClockAndReset.bsv │ │ ├── OutputClockAndReset.bsv.bsc-vcomp-out.expected │ │ └── portprops.exp │ ├── positivereset │ │ ├── ClockDividers │ │ │ ├── ClockDiv.bsv │ │ │ ├── ClockDiv2.bsv │ │ │ ├── ClockDivFifo.bsv │ │ │ ├── ClockDivFifo1.bsv │ │ │ ├── ClockDivFifo2.bsv │ │ │ ├── ClockDivOffset.bsv │ │ │ ├── ClockDividers.exp │ │ │ ├── ClockInv.bsv │ │ │ ├── GatedClockDiv.bsv │ │ │ ├── GatedClockInv.bsv │ │ │ ├── Makefile │ │ │ ├── ResetInv.bsv │ │ │ ├── sysClockDiv.c.out.expected │ │ │ ├── sysClockDiv.v.out.expected │ │ │ ├── sysClockDiv2.c.out.expected │ │ │ ├── sysClockDiv2.v.out.expected │ │ │ ├── sysClockDivFifo.c.out.expected │ │ │ ├── sysClockDivFifo.v.out.expected │ │ │ ├── sysClockDivFifo2.c.out.expected │ │ │ ├── sysClockDivFifo2.v.out.expected │ │ │ ├── sysClockDivOffset.out.expected │ │ │ ├── sysClockDivOffset.v.out.expected │ │ │ ├── sysClockInv.out.expected │ │ │ ├── sysClockInv.v.out.expected │ │ │ ├── sysGatedClockDiv.c.out.expected │ │ │ ├── sysGatedClockDiv.v.out.expected │ │ │ ├── sysGatedClockInv.out.expected │ │ │ ├── sysGatedClockInv.v.out.expected │ │ │ ├── sysResetInv.out.expected │ │ │ └── sysResetInv.v.out.expected │ │ ├── Makefile │ │ ├── Reset │ │ │ ├── CheckResetClockFail1.bsv │ │ │ ├── CheckResetClockPass1.bsv │ │ │ ├── CheckResetClockPass2.bsv │ │ │ ├── ClockedBy_BadName.bsv │ │ │ ├── ClockedBy_DefaultClock_RightDomain.bsv │ │ │ ├── ClockedBy_DefaultClock_WrongDomain.bsv │ │ │ ├── ClockedBy_NamedClock_RightDomain.bsv │ │ │ ├── ClockedBy_NamedClock_WrongDomain.bsv │ │ │ ├── ClockedBy_NoClock_TwoSubModArgsDiffDomain.bsv │ │ │ ├── DeriveResetClock_BoundaryClockInSameFamily.bsv │ │ │ ├── DeriveResetClock_InputOutputResetUnused.bsv │ │ │ ├── DeriveResetClock_InputOutputResetUsedInSubmod.bsv │ │ │ ├── DeriveResetClock_NoBoundaryClock.bsv │ │ │ ├── DeriveResetClock_OneSubModNoClockOneSubModWithClock.bsv │ │ │ ├── DeriveResetClock_SubModClockedByNoClock.bsv │ │ │ ├── DeriveResetClock_SubModTwoArgsDiffDomain.bsv │ │ │ ├── DeriveResetClock_SubModTwoArgsSameDomain.bsv │ │ │ ├── DeriveResetClock_TwoSubModArgNoClock.bsv │ │ │ ├── DeriveResetClock_TwoSubModArgsDiffDomain.bsv │ │ │ ├── DeriveResetClock_TwoSubModArgsSameDomain.bsv │ │ │ ├── DeriveResetClock_Unused.bsv │ │ │ ├── InitialResetTest.bsv │ │ │ ├── MakeReset0.bsv │ │ │ ├── Makefile │ │ │ ├── MethodResetGuards.bsv │ │ │ ├── MultipleResetsForRule.bsv │ │ │ ├── OutputReset.bsv │ │ │ ├── OutputReset_BoundaryClockInSameFamily.bsv │ │ │ ├── OutputReset_NoBoundaryClock.bsv │ │ │ ├── Reset.exp │ │ │ ├── ResetEither.bsv │ │ │ ├── ResetMux.bsv │ │ │ ├── sysInitialResetTest.out.expected │ │ │ ├── sysMakeReset0.out.expected │ │ │ ├── sysMethodResetGuards.out.expected │ │ │ ├── sysMultipleResetsForRule.out.expected │ │ │ ├── sysResetEither.out.expected │ │ │ ├── sysResetEither.v.out.expected │ │ │ ├── sysResetMux.out.expected │ │ │ └── sysResetMux.v.out.expected │ │ ├── SyncReset │ │ │ ├── Makefile │ │ │ ├── RstTest.bsv │ │ │ ├── RstTest_E1.bsv │ │ │ ├── RstTest_V1.bsv │ │ │ ├── RstTest_V2.bsv │ │ │ ├── SyncReset.exp │ │ │ ├── sysRstTest.out.expected │ │ │ ├── sysRstTest.v.out.expected │ │ │ ├── sysRstTest_V1.out.expected │ │ │ ├── sysRstTest_V1.v.out.expected │ │ │ ├── sysRstTest_V2.out.expected │ │ │ └── sysRstTest_V2.v.out.expected │ │ ├── nameclash │ │ │ ├── Clash1.bsv │ │ │ ├── Clash2.bsv │ │ │ ├── Makefile │ │ │ ├── Test3.bsv │ │ │ ├── Test4.bsv │ │ │ ├── nameclash.exp │ │ │ ├── sysTest3.out.expected │ │ │ └── sysTest4.out.expected │ │ └── simulation │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── NoReset.bsv │ │ │ ├── simulation.exp │ │ │ └── sysNoReset.out.expected │ ├── quirks │ │ ├── Makefile │ │ ├── NonConstantBitExtraction.bsv │ │ ├── SRAConst.bsv │ │ ├── SRADynamic.bsv │ │ ├── SRAZero.bsv │ │ ├── UnaryOps.bsv │ │ ├── ZeroWidth.bsv │ │ ├── mkSRAZero.v.expected │ │ ├── quirks.exp │ │ ├── sysNonConstantBitExtraction.out.expected │ │ ├── sysSRAConst.out.expected │ │ └── sysSRADynamic.out.expected │ ├── schedule │ │ ├── EspositoPreempt.bsv │ │ ├── Makefile │ │ ├── MethodNeverEnabled.bsv │ │ ├── schedule.exp │ │ └── sysEspositoPreempt.out.expected │ ├── sysCase.out.expected │ ├── sysCase.v.out.expected │ ├── sysExpectedExclusiveActions.out.expected │ ├── sysInitialBlocks.v.expected │ ├── sysLib.out.expected │ ├── sysMips.out.expected │ ├── sysMips.v.out.expected │ ├── sysMisc.out.expected │ ├── sysMisc.v.out.expected │ ├── sysOper.out.expected │ ├── sysOper.v.out.expected │ ├── sysSimple.out.expected │ ├── sysSimple.v.out.expected │ ├── sysSimpleFF.out.expected │ ├── sysSimpleFF.v.out.expected │ ├── tasks │ │ ├── AssertTasks.bsv │ │ ├── DynamicFormat.bsv │ │ ├── DynamicFormat2.bsv │ │ ├── EmptyTasks.bsv │ │ ├── EmptyTasksWorkaround.bsv │ │ ├── ErrorTest.bsv │ │ ├── EvenMoreDisplay.bsv │ │ ├── ExitTest.bs │ │ ├── FFMuxTest.bs │ │ ├── FFReadTest.bs │ │ ├── FFReadTest2.bs │ │ ├── ForeignGroupTest.bs │ │ ├── FourDisplay.bsv │ │ ├── GetDisplay.bsv │ │ ├── LineDisplay.bs │ │ ├── LogicBetweenTasks.bsv │ │ ├── LogicBetweenTasks2.bsv │ │ ├── LogicBetweenTasks3.bsv │ │ ├── LogicBetweenTasks4.bsv │ │ ├── Makefile │ │ ├── ModuleDisplay.bsv │ │ ├── MoreDisplay.bsv │ │ ├── NegativeDisplay.bsv │ │ ├── NegativeDisplay2.bsv │ │ ├── PolymorphicTaskInPolymorphicFunc.bsv │ │ ├── RepeatedTask_OneRule.bsv │ │ ├── RepeatedTask_OneRule2.bsv │ │ ├── RepeatedTask_OneRule3.bsv │ │ ├── RepeatedTask_TwoRules.bsv │ │ ├── SWrite64.bsv │ │ ├── SimpleTaskTest.bs │ │ ├── StopFinish.bs │ │ ├── StopFinishV.bsv │ │ ├── StringDisplay.bsv │ │ ├── StringFormat.bsv │ │ ├── StringFormat2.bsv │ │ ├── StringFormat3.bsv │ │ ├── TestROM.bs │ │ ├── WideDisplay.bsv │ │ ├── ZeroDisplay.bsv │ │ ├── ZeroUnsignedDisplay.bsv │ │ ├── data.txt │ │ ├── interfacecalls │ │ │ ├── InterfaceTaskCalls.bs │ │ │ ├── LiftedInterfaceTaskCalls.bs │ │ │ ├── Makefile │ │ │ ├── interfacecalls.exp │ │ │ ├── sysInterfaceTaskCalls.out.expected │ │ │ ├── sysInterfaceTaskCalls.sysBar.v.out.bug-expected │ │ │ ├── sysLiftedInterfaceTaskCalls.out.expected │ │ │ ├── sysLiftedInterfaceTaskCalls.sysLiftedBar.c.out.bug-expected │ │ │ └── sysLiftedInterfaceTaskCalls.sysLiftedBar.v.out.bug-expected │ │ ├── plusargs │ │ │ ├── Makefile │ │ │ ├── TestPlusargsSimple.bsv │ │ │ ├── TestPlusargsTwoUseDiffArg.bsv │ │ │ ├── TestPlusargsTwoUseSameArg.bsv │ │ │ ├── plusargs.exp │ │ │ ├── sysTestPlusargsSimple.out.expected │ │ │ ├── sysTestPlusargsSimple.test1.out.expected │ │ │ ├── sysTestPlusargsSimple.test2.out.expected │ │ │ ├── sysTestPlusargsTwoUseDiffArg.out.expected │ │ │ ├── sysTestPlusargsTwoUseDiffArg.test1.out.expected │ │ │ ├── sysTestPlusargsTwoUseDiffArg.test2.out.expected │ │ │ ├── sysTestPlusargsTwoUseDiffArg.test3.out.expected │ │ │ ├── sysTestPlusargsTwoUseSameArg.out.expected │ │ │ └── sysTestPlusargsTwoUseSameArg.test1.out.expected │ │ ├── real │ │ │ ├── Makefile │ │ │ ├── RealDisplay.bsv │ │ │ ├── RealDisplay2.bsv │ │ │ ├── RealDisplayErr1.bsv │ │ │ ├── RealDisplayErr2.bsv │ │ │ ├── real_tasks.exp │ │ │ ├── sysRealDisplay.out.expected │ │ │ ├── sysRealDisplay2.out.expected │ │ │ ├── sysRealDisplayErr1.out.expected │ │ │ └── sysRealDisplayErr2.out.expected │ │ ├── sysDynamicFormat.out.expected │ │ ├── sysDynamicFormat2.out.expected │ │ ├── sysErrorTest.out.expected │ │ ├── sysEvenMoreDisplay.out.expected │ │ ├── sysExitTest.out.expected │ │ ├── sysFFMuxTest.out.expected │ │ ├── sysFFReadTest.out.expected │ │ ├── sysFFReadTest2.out.expected │ │ ├── sysFourDisplay.out.expected │ │ ├── sysGetDisplay.out.expected │ │ ├── sysLineDisplay.out.expected │ │ ├── sysLogicBetweenTasks.out.expected │ │ ├── sysLogicBetweenTasks2.c.out.expected │ │ ├── sysLogicBetweenTasks2.v.out.expected │ │ ├── sysLogicBetweenTasks3.out.expected │ │ ├── sysLogicBetweenTasks3.v-bug.out.expected │ │ ├── sysLogicBetweenTasks4.out.expected │ │ ├── sysModuleDisplay.out.expected │ │ ├── sysMoreDisplay.out.expected │ │ ├── sysNegativeDisplay.out.expected │ │ ├── sysNegativeDisplay2.out.expected │ │ ├── sysRepeatedTask_OneRule.out.expected │ │ ├── sysRepeatedTask_OneRule2.out.expected │ │ ├── sysRepeatedTask_OneRule3.out.expected │ │ ├── sysRepeatedTask_TwoRules.out.expected │ │ ├── sysSWrite64.out.expected │ │ ├── sysSimpleTaskTest.out.expected │ │ ├── sysStringDisplay.out.expected │ │ ├── sysStringFormat.out.expected │ │ ├── sysStringFormat2.out.expected │ │ ├── sysStringFormat3.out.expected │ │ ├── sysWideDisplay.out.expected │ │ ├── sysZeroDisplay.out.expected │ │ ├── sysZeroUnsignedDisplay.out.expected │ │ ├── tasks.exp │ │ └── time │ │ │ ├── DisplayTime.bsv │ │ │ ├── Makefile │ │ │ ├── PrintTime.bsv │ │ │ ├── RegTime.bsv │ │ │ ├── sysDisplayTime.out.expected │ │ │ ├── sysDisplayTime.v.out.expected │ │ │ ├── sysPrintTime.out.expected │ │ │ ├── sysPrintTime.v.out.expected │ │ │ ├── sysRegTime.out.expected │ │ │ ├── sysRegTime.v.out.expected │ │ │ └── time.exp │ ├── undet │ │ ├── Makefile │ │ ├── MaybeMux.bsv │ │ ├── Undet1.bs │ │ ├── Undet2.bs │ │ ├── Undet3.bs │ │ ├── UndetComp.bs │ │ ├── UndetIf.bsv │ │ ├── sysUndet1.out.expected │ │ ├── sysUndet2.out.expected │ │ ├── sysUndet3.out.expected │ │ └── undet.exp │ ├── v95 │ │ ├── Makefile │ │ ├── Param.v │ │ ├── ParamOrder.bsv │ │ ├── Signed.bsv │ │ ├── sysParamOrder.out.expected │ │ ├── sysSigned.out.expected │ │ ├── sysSigned.v95.out.expected │ │ └── v95.exp │ ├── vcd │ │ ├── DumpFlushTest.bsv │ │ ├── DumpOnOff.bsv │ │ ├── Makefile │ │ └── vcd.exp │ └── verilog.exp ├── clean.mk ├── cleanonly.mk ├── config │ ├── bluetcl.tcl │ ├── mkclean.Makefile │ ├── unix.exp │ └── verilog.tcl ├── findfailures.csh ├── lib │ └── bsc.exp ├── norealclean.mk ├── parallel.mk ├── realclean.mk ├── scripts │ ├── collapse.pl │ ├── double-directory.pl │ ├── process-summary-file.pl │ ├── sort-by-time.pl │ ├── times-by-directory.pl │ └── tool-find.sh ├── site.exp ├── suitemake.mk └── test_list.sh └── util ├── bluetcl-scripts ├── InstSynth.tcl ├── dumpStructures.tcl ├── expandPorts.tcl ├── listVlogFiles.tcl ├── portUtil.tcl ├── types.tcl └── utils.tcl ├── bsim_standalone ├── Makefile ├── Makefile.bsim_standalone └── main.cxx.template ├── emacs ├── Makefile ├── README ├── bsc-mode-1 │ └── bluespec-mode.el ├── bsc-mode-2 │ ├── README │ ├── bluespec-decl-scan.el │ ├── bluespec-doc.el │ ├── bluespec-font-lock.el │ ├── bluespec-indent.el │ ├── bluespec-mode.el │ └── bluespec-simple-indent.el ├── bsv-mode │ ├── bsv-mode-22.el │ ├── bsv-mode-23.el │ └── bsv-mode.el ├── bsv-snippets │ ├── .emacs │ ├── BsvSnippetSummary.txt │ ├── Makefile │ ├── README.txt │ ├── bsv-mode │ │ ├── Bit │ │ ├── Connectable │ │ ├── Def │ │ ├── DefaultValue │ │ ├── FIFO.1 │ │ ├── FIFO.2 │ │ ├── FIFOF.1 │ │ ├── FIFOF.2 │ │ ├── Makefile │ │ ├── Pulse │ │ ├── RWire │ │ ├── Reg.1 │ │ ├── Reg.2 │ │ ├── Reg.3 │ │ ├── RegFile.full │ │ ├── Stmt │ │ ├── Vector.Reg │ │ ├── Wire.1 │ │ ├── Wire.2 │ │ ├── Wire.3 │ │ ├── action │ │ ├── actionv │ │ ├── actionvalue │ │ ├── begin │ │ ├── case.1 │ │ ├── case.2 │ │ ├── com │ │ ├── disp │ │ ├── doc.file │ │ ├── endme │ │ ├── for.Integer │ │ ├── fromI │ │ ├── fshow.1 │ │ ├── fshow.2 │ │ ├── function.action │ │ ├── function.actionvalue │ │ ├── function.rules │ │ ├── function.stmt │ │ ├── function.value │ │ ├── if.else │ │ ├── if.plain │ │ ├── import │ │ ├── interface.def │ │ ├── interface.sub │ │ ├── matches │ │ ├── method.1 │ │ ├── method.2 │ │ ├── method.3 │ │ ├── method.4 │ │ ├── method.5 │ │ ├── method.6 │ │ ├── module.1 │ │ ├── module.2 │ │ ├── module.3 │ │ ├── newfile.basic │ │ ├── newfile.poly │ │ ├── newfile.testbench │ │ ├── par │ │ ├── pragma.firing │ │ ├── pragma.ordering │ │ ├── repeat │ │ ├── ret │ │ ├── rule │ │ ├── seq │ │ ├── typedef.enum │ │ ├── typedef.struct │ │ └── typedef.union │ ├── bsv-snippets.el │ ├── dropdown-list.el │ ├── makedoc.pl │ └── yasnippet.el ├── bsvtags ├── emacs20-extras.el └── mark.el ├── enscript ├── Makefile ├── README └── bsv.st ├── haskell-language-server ├── README.md └── gen_hie.py ├── jedit ├── Makefile ├── README └── bsv.xml ├── scripts ├── .gitignore ├── basicinout.pl └── btags ├── vagrant ├── Vagrantfile └── provision.sh └── vim ├── Makefile ├── README ├── ftdetect └── bsv.vim ├── indent └── bsv.vim └── syntax └── bsv.vim /.cirrus.yml: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /.editorconfig: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/.editorconfig -------------------------------------------------------------------------------- /.gitattributes: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/.gitattributes -------------------------------------------------------------------------------- /.github/workflows/allow_whitespace.pats: -------------------------------------------------------------------------------- 1 | testsuite/bsc.bugs/bluespec_inc/b600/Bug600.bsv 2 | -------------------------------------------------------------------------------- /.github/workflows/ci.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/.github/workflows/ci.yml -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/.gitignore -------------------------------------------------------------------------------- /.gitmodules: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/.gitmodules -------------------------------------------------------------------------------- /COPYING: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/COPYING -------------------------------------------------------------------------------- /DEVELOP.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/DEVELOP.md -------------------------------------------------------------------------------- /Dockerfile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/Dockerfile -------------------------------------------------------------------------------- /GNUmakefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/GNUmakefile -------------------------------------------------------------------------------- /INSTALL.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/INSTALL.md -------------------------------------------------------------------------------- /LICENSE.txt: -------------------------------------------------------------------------------- 1 | See COPYING for copyright and license details. 2 | -------------------------------------------------------------------------------- /LICENSES/LICENSE.ghc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/LICENSES/LICENSE.ghc -------------------------------------------------------------------------------- /LICENSES/LICENSE.hbc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/LICENSES/LICENSE.hbc -------------------------------------------------------------------------------- /LICENSES/LICENSE.parsec: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/LICENSES/LICENSE.parsec -------------------------------------------------------------------------------- /LICENSES/LICENSE.parsec_html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/LICENSES/LICENSE.parsec_html -------------------------------------------------------------------------------- /LICENSES/LICENSE.stp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/LICENSES/LICENSE.stp -------------------------------------------------------------------------------- /LICENSES/LICENSE.yices: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/LICENSES/LICENSE.yices -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/README.md -------------------------------------------------------------------------------- /doc/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/.gitignore -------------------------------------------------------------------------------- /doc/BH_ref_guide/BH_lang.bib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/BH_ref_guide/BH_lang.bib -------------------------------------------------------------------------------- /doc/BH_ref_guide/BH_lang.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/BH_ref_guide/BH_lang.tex -------------------------------------------------------------------------------- /doc/BH_ref_guide/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/BH_ref_guide/Makefile -------------------------------------------------------------------------------- /doc/BSV_ref_guide/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/BSV_ref_guide/Makefile -------------------------------------------------------------------------------- /doc/BSV_ref_guide/lang.bib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/BSV_ref_guide/lang.bib -------------------------------------------------------------------------------- /doc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/Makefile -------------------------------------------------------------------------------- /doc/common/B-Lang.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/common/B-Lang.png -------------------------------------------------------------------------------- /doc/user_guide/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/user_guide/Makefile -------------------------------------------------------------------------------- /doc/user_guide/bluetcl.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/user_guide/bluetcl.tex -------------------------------------------------------------------------------- /doc/user_guide/version.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/doc/user_guide/version.tex -------------------------------------------------------------------------------- /platform.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/platform.mk -------------------------------------------------------------------------------- /platform.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/platform.sh -------------------------------------------------------------------------------- /release/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/release/.gitignore -------------------------------------------------------------------------------- /release/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/release/Makefile -------------------------------------------------------------------------------- /release/ReleaseNotes.adoc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/release/ReleaseNotes.adoc -------------------------------------------------------------------------------- /release/tarball-COPYING: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/release/tarball-COPYING -------------------------------------------------------------------------------- /release/tarball-README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/release/tarball-README -------------------------------------------------------------------------------- /src/Libraries/Base1/Environment.bs: -------------------------------------------------------------------------------- 1 | package Environment( ) where { } 2 | 3 | -------------------------------------------------------------------------------- /src/Libraries/Base2/Mcp.bs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Libraries/Base2/Mcp.bs -------------------------------------------------------------------------------- /src/Libraries/Base2/ModuleAugmented.bs: -------------------------------------------------------------------------------- 1 | package ModuleAugmented() where 2 | {} 3 | -------------------------------------------------------------------------------- /src/Libraries/Base2/RAM.bs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Libraries/Base2/RAM.bs -------------------------------------------------------------------------------- /src/Libraries/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Libraries/Makefile -------------------------------------------------------------------------------- /src/Libraries/common.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Libraries/common.mk -------------------------------------------------------------------------------- /src/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Makefile -------------------------------------------------------------------------------- /src/Parsec/Parsec.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Parsec/Parsec.hs -------------------------------------------------------------------------------- /src/Parsec/ParsecChar.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Parsec/ParsecChar.hs -------------------------------------------------------------------------------- /src/Parsec/ParsecExpr.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Parsec/ParsecExpr.hs -------------------------------------------------------------------------------- /src/Parsec/ParsecPerm.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Parsec/ParsecPerm.hs -------------------------------------------------------------------------------- /src/Parsec/ParsecPrim.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Parsec/ParsecPrim.hs -------------------------------------------------------------------------------- /src/Parsec/ParsecToken.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Parsec/ParsecToken.hs -------------------------------------------------------------------------------- /src/VPI/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/VPI/.gitignore -------------------------------------------------------------------------------- /src/VPI/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/VPI/Makefile -------------------------------------------------------------------------------- /src/VPI/bdpi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/VPI/bdpi.h -------------------------------------------------------------------------------- /src/VPI/libbdpi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/VPI/libbdpi.c -------------------------------------------------------------------------------- /src/VPI/vpi_user.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/VPI/vpi_user.h -------------------------------------------------------------------------------- /src/Verilator/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilator/Makefile -------------------------------------------------------------------------------- /src/Verilator/sim_main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilator/sim_main.cpp -------------------------------------------------------------------------------- /src/Verilog.Vivado/BRAM1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog.Vivado/BRAM1.v -------------------------------------------------------------------------------- /src/Verilog.Vivado/BRAM2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog.Vivado/BRAM2.v -------------------------------------------------------------------------------- /src/Verilog/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/.gitignore -------------------------------------------------------------------------------- /src/Verilog/BRAM1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/BRAM1.v -------------------------------------------------------------------------------- /src/Verilog/BRAM1BE.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/BRAM1BE.v -------------------------------------------------------------------------------- /src/Verilog/BRAM1BELoad.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/BRAM1BELoad.v -------------------------------------------------------------------------------- /src/Verilog/BRAM1Load.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/BRAM1Load.v -------------------------------------------------------------------------------- /src/Verilog/BRAM2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/BRAM2.v -------------------------------------------------------------------------------- /src/Verilog/BRAM2BE.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/BRAM2BE.v -------------------------------------------------------------------------------- /src/Verilog/BRAM2BELoad.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/BRAM2BELoad.v -------------------------------------------------------------------------------- /src/Verilog/BRAM2Load.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/BRAM2Load.v -------------------------------------------------------------------------------- /src/Verilog/Bluespec.xcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/Bluespec.xcf -------------------------------------------------------------------------------- /src/Verilog/BypassWire.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/BypassWire.v -------------------------------------------------------------------------------- /src/Verilog/BypassWire0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/BypassWire0.v -------------------------------------------------------------------------------- /src/Verilog/CRegA5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/CRegA5.v -------------------------------------------------------------------------------- /src/Verilog/CRegN5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/CRegN5.v -------------------------------------------------------------------------------- /src/Verilog/CRegUN5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/CRegUN5.v -------------------------------------------------------------------------------- /src/Verilog/ClockDiv.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ClockDiv.v -------------------------------------------------------------------------------- /src/Verilog/ClockGen.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ClockGen.v -------------------------------------------------------------------------------- /src/Verilog/ClockMux.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ClockMux.v -------------------------------------------------------------------------------- /src/Verilog/ClockSelect.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ClockSelect.v -------------------------------------------------------------------------------- /src/Verilog/ConvertFromZ.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ConvertFromZ.v -------------------------------------------------------------------------------- /src/Verilog/ConvertToZ.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ConvertToZ.v -------------------------------------------------------------------------------- /src/Verilog/Counter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/Counter.v -------------------------------------------------------------------------------- /src/Verilog/CrossingRegA.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/CrossingRegA.v -------------------------------------------------------------------------------- /src/Verilog/CrossingRegN.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/CrossingRegN.v -------------------------------------------------------------------------------- /src/Verilog/DualPortRam.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/DualPortRam.v -------------------------------------------------------------------------------- /src/Verilog/Empty.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/Empty.v -------------------------------------------------------------------------------- /src/Verilog/FIFO1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/FIFO1.v -------------------------------------------------------------------------------- /src/Verilog/FIFO10.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/FIFO10.v -------------------------------------------------------------------------------- /src/Verilog/FIFO2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/FIFO2.v -------------------------------------------------------------------------------- /src/Verilog/FIFO20.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/FIFO20.v -------------------------------------------------------------------------------- /src/Verilog/FIFOL1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/FIFOL1.v -------------------------------------------------------------------------------- /src/Verilog/FIFOL10.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/FIFOL10.v -------------------------------------------------------------------------------- /src/Verilog/FIFOL2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/FIFOL2.v -------------------------------------------------------------------------------- /src/Verilog/FIFOL20.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/FIFOL20.v -------------------------------------------------------------------------------- /src/Verilog/Fork.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/Fork.v -------------------------------------------------------------------------------- /src/Verilog/GatedClock.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/GatedClock.v -------------------------------------------------------------------------------- /src/Verilog/InitialReset.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/InitialReset.v -------------------------------------------------------------------------------- /src/Verilog/InoutConnect.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/InoutConnect.v -------------------------------------------------------------------------------- /src/Verilog/MakeClock.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/MakeClock.v -------------------------------------------------------------------------------- /src/Verilog/MakeReset.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/MakeReset.v -------------------------------------------------------------------------------- /src/Verilog/MakeReset0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/MakeReset0.v -------------------------------------------------------------------------------- /src/Verilog/MakeResetA.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/MakeResetA.v -------------------------------------------------------------------------------- /src/Verilog/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/Makefile -------------------------------------------------------------------------------- /src/Verilog/McpRegUN.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/McpRegUN.v -------------------------------------------------------------------------------- /src/Verilog/ProbeCapture.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ProbeCapture.v -------------------------------------------------------------------------------- /src/Verilog/ProbeHook.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ProbeHook.v -------------------------------------------------------------------------------- /src/Verilog/ProbeMux.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ProbeMux.v -------------------------------------------------------------------------------- /src/Verilog/ProbeTrigger.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ProbeTrigger.v -------------------------------------------------------------------------------- /src/Verilog/ProbeValue.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ProbeValue.v -------------------------------------------------------------------------------- /src/Verilog/ProbeWire.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ProbeWire.v -------------------------------------------------------------------------------- /src/Verilog/RWire.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RWire.v -------------------------------------------------------------------------------- /src/Verilog/RWire0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RWire0.v -------------------------------------------------------------------------------- /src/Verilog/RegA.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RegA.v -------------------------------------------------------------------------------- /src/Verilog/RegFile.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RegFile.v -------------------------------------------------------------------------------- /src/Verilog/RegFileLoad.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RegFileLoad.v -------------------------------------------------------------------------------- /src/Verilog/RegN.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RegN.v -------------------------------------------------------------------------------- /src/Verilog/RegTwoA.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RegTwoA.v -------------------------------------------------------------------------------- /src/Verilog/RegTwoN.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RegTwoN.v -------------------------------------------------------------------------------- /src/Verilog/RegTwoUN.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RegTwoUN.v -------------------------------------------------------------------------------- /src/Verilog/RegUN.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RegUN.v -------------------------------------------------------------------------------- /src/Verilog/ResetEither.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ResetEither.v -------------------------------------------------------------------------------- /src/Verilog/ResetMux.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ResetMux.v -------------------------------------------------------------------------------- /src/Verilog/ResetToBool.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ResetToBool.v -------------------------------------------------------------------------------- /src/Verilog/ResolveZ.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ResolveZ.v -------------------------------------------------------------------------------- /src/Verilog/RevertReg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/RevertReg.v -------------------------------------------------------------------------------- /src/Verilog/SampleReg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SampleReg.v -------------------------------------------------------------------------------- /src/Verilog/ScanIn.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/ScanIn.v -------------------------------------------------------------------------------- /src/Verilog/SizedFIFO.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SizedFIFO.v -------------------------------------------------------------------------------- /src/Verilog/SizedFIFO0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SizedFIFO0.v -------------------------------------------------------------------------------- /src/Verilog/SizedFIFOL.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SizedFIFOL.v -------------------------------------------------------------------------------- /src/Verilog/SizedFIFOL0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SizedFIFOL0.v -------------------------------------------------------------------------------- /src/Verilog/SyncBit.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncBit.v -------------------------------------------------------------------------------- /src/Verilog/SyncBit05.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncBit05.v -------------------------------------------------------------------------------- /src/Verilog/SyncBit1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncBit1.v -------------------------------------------------------------------------------- /src/Verilog/SyncBit15.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncBit15.v -------------------------------------------------------------------------------- /src/Verilog/SyncFIFO.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncFIFO.v -------------------------------------------------------------------------------- /src/Verilog/SyncFIFO0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncFIFO0.v -------------------------------------------------------------------------------- /src/Verilog/SyncFIFO1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncFIFO1.v -------------------------------------------------------------------------------- /src/Verilog/SyncFIFO10.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncFIFO10.v -------------------------------------------------------------------------------- /src/Verilog/SyncPulse.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncPulse.v -------------------------------------------------------------------------------- /src/Verilog/SyncRegister.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncRegister.v -------------------------------------------------------------------------------- /src/Verilog/SyncReset.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncReset.v -------------------------------------------------------------------------------- /src/Verilog/SyncReset0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncReset0.v -------------------------------------------------------------------------------- /src/Verilog/SyncResetA.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncResetA.v -------------------------------------------------------------------------------- /src/Verilog/SyncWire.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/SyncWire.v -------------------------------------------------------------------------------- /src/Verilog/TriState.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/TriState.v -------------------------------------------------------------------------------- /src/Verilog/common.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/common.mk -------------------------------------------------------------------------------- /src/Verilog/copy_module.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/copy_module.pl -------------------------------------------------------------------------------- /src/Verilog/main.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/Verilog/main.v -------------------------------------------------------------------------------- /src/bluesim/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/.gitignore -------------------------------------------------------------------------------- /src/bluesim/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/Makefile -------------------------------------------------------------------------------- /src/bluesim/bs_mem_file.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/bs_mem_file.h -------------------------------------------------------------------------------- /src/bluesim/bs_model.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/bs_model.h -------------------------------------------------------------------------------- /src/bluesim/bs_module.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/bs_module.h -------------------------------------------------------------------------------- /src/bluesim/bs_prim_ops.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/bs_prim_ops.h -------------------------------------------------------------------------------- /src/bluesim/bs_reset.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/bs_reset.h -------------------------------------------------------------------------------- /src/bluesim/bs_symbol.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/bs_symbol.h -------------------------------------------------------------------------------- /src/bluesim/bs_target.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/bs_target.h -------------------------------------------------------------------------------- /src/bluesim/bs_vcd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/bs_vcd.h -------------------------------------------------------------------------------- /src/bluesim/bs_wide_data.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/bs_wide_data.h -------------------------------------------------------------------------------- /src/bluesim/event_queue.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/event_queue.h -------------------------------------------------------------------------------- /src/bluesim/gen_version_h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/gen_version_h -------------------------------------------------------------------------------- /src/bluesim/kernel.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/kernel.cxx -------------------------------------------------------------------------------- /src/bluesim/kernel.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/kernel.h -------------------------------------------------------------------------------- /src/bluesim/mem_alloc.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/mem_alloc.cxx -------------------------------------------------------------------------------- /src/bluesim/mem_alloc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/mem_alloc.h -------------------------------------------------------------------------------- /src/bluesim/mem_file.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/mem_file.cxx -------------------------------------------------------------------------------- /src/bluesim/module.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/module.cxx -------------------------------------------------------------------------------- /src/bluesim/plusargs.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/plusargs.cxx -------------------------------------------------------------------------------- /src/bluesim/plusargs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/plusargs.h -------------------------------------------------------------------------------- /src/bluesim/portability.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/portability.h -------------------------------------------------------------------------------- /src/bluesim/prim_ops.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/prim_ops.cxx -------------------------------------------------------------------------------- /src/bluesim/priority.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/priority.cxx -------------------------------------------------------------------------------- /src/bluesim/priority.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/priority.h -------------------------------------------------------------------------------- /src/bluesim/rand32.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/rand32.cxx -------------------------------------------------------------------------------- /src/bluesim/rand32.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/rand32.h -------------------------------------------------------------------------------- /src/bluesim/reset.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/reset.cxx -------------------------------------------------------------------------------- /src/bluesim/symbol.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/symbol.cxx -------------------------------------------------------------------------------- /src/bluesim/target.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/target.cxx -------------------------------------------------------------------------------- /src/bluesim/vcd.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/vcd.cxx -------------------------------------------------------------------------------- /src/bluesim/wide_data.cxx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluesim/wide_data.cxx -------------------------------------------------------------------------------- /src/bluetcl/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluetcl/.gitignore -------------------------------------------------------------------------------- /src/bluetcl/BluesimP.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluetcl/BluesimP.tcl -------------------------------------------------------------------------------- /src/bluetcl/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluetcl/Makefile -------------------------------------------------------------------------------- /src/bluetcl/bluesim.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluetcl/bluesim.tcl -------------------------------------------------------------------------------- /src/bluetcl/bluespec.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluetcl/bluespec.tcl -------------------------------------------------------------------------------- /src/bluetcl/makedepend.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluetcl/makedepend.tcl -------------------------------------------------------------------------------- /src/bluetcl/tclIndex.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluetcl/tclIndex.tcl -------------------------------------------------------------------------------- /src/bluetcl/utils.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/bluetcl/utils.tcl -------------------------------------------------------------------------------- /src/comp/.cvsignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/.cvsignore -------------------------------------------------------------------------------- /src/comp/.ghci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/.ghci -------------------------------------------------------------------------------- /src/comp/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/.gitignore -------------------------------------------------------------------------------- /src/comp/ABin.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ABin.hs -------------------------------------------------------------------------------- /src/comp/ABinUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ABinUtil.hs -------------------------------------------------------------------------------- /src/comp/ACheck.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ACheck.hs -------------------------------------------------------------------------------- /src/comp/ACleanup.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ACleanup.hs -------------------------------------------------------------------------------- /src/comp/AConv.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AConv.hs -------------------------------------------------------------------------------- /src/comp/ADropDefs.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ADropDefs.hs -------------------------------------------------------------------------------- /src/comp/ADropUndet.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ADropUndet.hs -------------------------------------------------------------------------------- /src/comp/ADumpSchedule.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ADumpSchedule.hs -------------------------------------------------------------------------------- /src/comp/AExpand.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AExpand.hs -------------------------------------------------------------------------------- /src/comp/AExpr2STP.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AExpr2STP.hs -------------------------------------------------------------------------------- /src/comp/AExpr2Util.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AExpr2Util.hs -------------------------------------------------------------------------------- /src/comp/AExpr2Yices.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AExpr2Yices.hs -------------------------------------------------------------------------------- /src/comp/ANoInline.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ANoInline.hs -------------------------------------------------------------------------------- /src/comp/AOpt.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AOpt.hs -------------------------------------------------------------------------------- /src/comp/APaths.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/APaths.hs -------------------------------------------------------------------------------- /src/comp/APrims.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/APrims.hs -------------------------------------------------------------------------------- /src/comp/AProofs.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AProofs.hs -------------------------------------------------------------------------------- /src/comp/ARankMethCalls.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ARankMethCalls.hs -------------------------------------------------------------------------------- /src/comp/ARemoveAssumps.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ARemoveAssumps.hs -------------------------------------------------------------------------------- /src/comp/ARenameIO.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ARenameIO.hs -------------------------------------------------------------------------------- /src/comp/ASchedule.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ASchedule.hs -------------------------------------------------------------------------------- /src/comp/AScheduleInfo.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AScheduleInfo.hs -------------------------------------------------------------------------------- /src/comp/AState.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AState.hs -------------------------------------------------------------------------------- /src/comp/ASyntax.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ASyntax.hs -------------------------------------------------------------------------------- /src/comp/ASyntaxUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ASyntaxUtil.hs -------------------------------------------------------------------------------- /src/comp/ATaskSplice.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ATaskSplice.hs -------------------------------------------------------------------------------- /src/comp/AUses.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AUses.hs -------------------------------------------------------------------------------- /src/comp/AVeriQuirks.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AVeriQuirks.hs -------------------------------------------------------------------------------- /src/comp/AVerilog.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AVerilog.hs -------------------------------------------------------------------------------- /src/comp/AVerilogUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/AVerilogUtil.hs -------------------------------------------------------------------------------- /src/comp/Assump.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Assump.hs -------------------------------------------------------------------------------- /src/comp/BDD.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/BDD.hs -------------------------------------------------------------------------------- /src/comp/BExpr.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/BExpr.hs -------------------------------------------------------------------------------- /src/comp/Backend.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Backend.hs -------------------------------------------------------------------------------- /src/comp/Bag.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Bag.hs -------------------------------------------------------------------------------- /src/comp/Balanced.lhs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Balanced.lhs -------------------------------------------------------------------------------- /src/comp/BinData.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/BinData.hs -------------------------------------------------------------------------------- /src/comp/BinParse.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/BinParse.hs -------------------------------------------------------------------------------- /src/comp/BinUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/BinUtil.hs -------------------------------------------------------------------------------- /src/comp/BluesimLoader.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/BluesimLoader.hs -------------------------------------------------------------------------------- /src/comp/BoolExp.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/BoolExp.hs -------------------------------------------------------------------------------- /src/comp/BoolOpt.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/BoolOpt.hs -------------------------------------------------------------------------------- /src/comp/CCSyntax.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/CCSyntax.hs -------------------------------------------------------------------------------- /src/comp/CFreeVars.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/CFreeVars.hs -------------------------------------------------------------------------------- /src/comp/CSubst.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/CSubst.hs -------------------------------------------------------------------------------- /src/comp/CSyntax.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/CSyntax.hs -------------------------------------------------------------------------------- /src/comp/CSyntaxTypes.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/CSyntaxTypes.hs -------------------------------------------------------------------------------- /src/comp/CSyntaxUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/CSyntaxUtil.hs -------------------------------------------------------------------------------- /src/comp/CType.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/CType.hs -------------------------------------------------------------------------------- /src/comp/CVPrint.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/CVPrint.hs -------------------------------------------------------------------------------- /src/comp/Classic.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Classic.hs -------------------------------------------------------------------------------- /src/comp/ConTagInfo.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ConTagInfo.hs -------------------------------------------------------------------------------- /src/comp/CondTree.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/CondTree.hs -------------------------------------------------------------------------------- /src/comp/ContextErrors.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ContextErrors.hs -------------------------------------------------------------------------------- /src/comp/CtxRed.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/CtxRed.hs -------------------------------------------------------------------------------- /src/comp/DOT.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/DOT.hs -------------------------------------------------------------------------------- /src/comp/DPIWrappers.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/DPIWrappers.hs -------------------------------------------------------------------------------- /src/comp/Depend.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Depend.hs -------------------------------------------------------------------------------- /src/comp/Deriving.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Deriving.hs -------------------------------------------------------------------------------- /src/comp/DisjointTest.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/DisjointTest.hs -------------------------------------------------------------------------------- /src/comp/DynamicMap.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/DynamicMap.hs -------------------------------------------------------------------------------- /src/comp/Error.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Error.hs -------------------------------------------------------------------------------- /src/comp/ErrorMonad.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ErrorMonad.hs -------------------------------------------------------------------------------- /src/comp/ErrorUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ErrorUtil.hs -------------------------------------------------------------------------------- /src/comp/Eval.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Eval.hs -------------------------------------------------------------------------------- /src/comp/Exceptions.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Exceptions.hs -------------------------------------------------------------------------------- /src/comp/FStringCompat.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/FStringCompat.hs -------------------------------------------------------------------------------- /src/comp/FileIOUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/FileIOUtil.hs -------------------------------------------------------------------------------- /src/comp/FileNameUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/FileNameUtil.hs -------------------------------------------------------------------------------- /src/comp/Fixity.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Fixity.hs -------------------------------------------------------------------------------- /src/comp/FixupDefs.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/FixupDefs.hs -------------------------------------------------------------------------------- /src/comp/Flags.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Flags.hs -------------------------------------------------------------------------------- /src/comp/FlagsDecode.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/FlagsDecode.hs -------------------------------------------------------------------------------- /src/comp/GHC/.cvsignore: -------------------------------------------------------------------------------- 1 | *.hi 2 | *.o 3 | -------------------------------------------------------------------------------- /src/comp/GHC/posix/.cvsignore: -------------------------------------------------------------------------------- 1 | *.hi 2 | *.o 3 | -------------------------------------------------------------------------------- /src/comp/GHCPretty.lhs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GHCPretty.lhs -------------------------------------------------------------------------------- /src/comp/GenABin.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GenABin.hs -------------------------------------------------------------------------------- /src/comp/GenBin.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GenBin.hs -------------------------------------------------------------------------------- /src/comp/GenForeign.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GenForeign.hs -------------------------------------------------------------------------------- /src/comp/GenFuncWrap.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GenFuncWrap.hs -------------------------------------------------------------------------------- /src/comp/GenSign.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GenSign.hs -------------------------------------------------------------------------------- /src/comp/GenWrap.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GenWrap.hs -------------------------------------------------------------------------------- /src/comp/GenWrapUtils.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GenWrapUtils.hs -------------------------------------------------------------------------------- /src/comp/GlobPattern.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GlobPattern.hs -------------------------------------------------------------------------------- /src/comp/GraphMap.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GraphMap.hs -------------------------------------------------------------------------------- /src/comp/GraphPaths.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GraphPaths.hs -------------------------------------------------------------------------------- /src/comp/GraphUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GraphUtil.hs -------------------------------------------------------------------------------- /src/comp/GraphWrapper.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/GraphWrapper.hs -------------------------------------------------------------------------------- /src/comp/IConv.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IConv.hs -------------------------------------------------------------------------------- /src/comp/IConvLet.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IConvLet.hs -------------------------------------------------------------------------------- /src/comp/IDropRules.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IDropRules.hs -------------------------------------------------------------------------------- /src/comp/IExpand.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IExpand.hs -------------------------------------------------------------------------------- /src/comp/IExpandUtils.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IExpandUtils.hs -------------------------------------------------------------------------------- /src/comp/IInline.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IInline.hs -------------------------------------------------------------------------------- /src/comp/IInlineFmt.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IInlineFmt.hs -------------------------------------------------------------------------------- /src/comp/IInlineUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IInlineUtil.hs -------------------------------------------------------------------------------- /src/comp/ILift.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ILift.hs -------------------------------------------------------------------------------- /src/comp/IPrims.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IPrims.hs -------------------------------------------------------------------------------- /src/comp/ISimplify.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ISimplify.hs -------------------------------------------------------------------------------- /src/comp/ISplitIf.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ISplitIf.hs -------------------------------------------------------------------------------- /src/comp/IStateLoc.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IStateLoc.hs -------------------------------------------------------------------------------- /src/comp/ISyntax.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ISyntax.hs -------------------------------------------------------------------------------- /src/comp/ISyntaxCheck.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ISyntaxCheck.hs -------------------------------------------------------------------------------- /src/comp/ISyntaxUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ISyntaxUtil.hs -------------------------------------------------------------------------------- /src/comp/ISyntaxXRef.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ISyntaxXRef.hs -------------------------------------------------------------------------------- /src/comp/ITransform.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ITransform.hs -------------------------------------------------------------------------------- /src/comp/IType.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IType.hs -------------------------------------------------------------------------------- /src/comp/IWireSet.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IWireSet.hs -------------------------------------------------------------------------------- /src/comp/Id.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Id.hs -------------------------------------------------------------------------------- /src/comp/IdPrint.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IdPrint.hs -------------------------------------------------------------------------------- /src/comp/IfcBetterInfo.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IfcBetterInfo.hs -------------------------------------------------------------------------------- /src/comp/InferKind.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/InferKind.hs -------------------------------------------------------------------------------- /src/comp/InlineCReg.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/InlineCReg.hs -------------------------------------------------------------------------------- /src/comp/InlineReg.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/InlineReg.hs -------------------------------------------------------------------------------- /src/comp/InlineWires.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/InlineWires.hs -------------------------------------------------------------------------------- /src/comp/InstNodes.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/InstNodes.hs -------------------------------------------------------------------------------- /src/comp/IntLit.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IntLit.hs -------------------------------------------------------------------------------- /src/comp/IntegerUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/IntegerUtil.hs -------------------------------------------------------------------------------- /src/comp/Intervals.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Intervals.hs -------------------------------------------------------------------------------- /src/comp/KIMisc.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/KIMisc.hs -------------------------------------------------------------------------------- /src/comp/LambdaCalc.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/LambdaCalc.hs -------------------------------------------------------------------------------- /src/comp/LambdaCalcUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/LambdaCalcUtil.hs -------------------------------------------------------------------------------- /src/comp/Lex.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Lex.hs -------------------------------------------------------------------------------- /src/comp/Libs/.cvsignore: -------------------------------------------------------------------------------- 1 | *.hi 2 | *.o 3 | -------------------------------------------------------------------------------- /src/comp/Libs/IOMutVar.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Libs/IOMutVar.hs -------------------------------------------------------------------------------- /src/comp/Libs/IOUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Libs/IOUtil.hs -------------------------------------------------------------------------------- /src/comp/Libs/ListMap.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Libs/ListMap.hs -------------------------------------------------------------------------------- /src/comp/Libs/ListUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Libs/ListUtil.hs -------------------------------------------------------------------------------- /src/comp/Libs/Parse.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Libs/Parse.hs -------------------------------------------------------------------------------- /src/comp/Libs/Sort.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Libs/Sort.hs -------------------------------------------------------------------------------- /src/comp/Literal.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Literal.hs -------------------------------------------------------------------------------- /src/comp/Log2.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Log2.hs -------------------------------------------------------------------------------- /src/comp/MakeSymTab.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/MakeSymTab.hs -------------------------------------------------------------------------------- /src/comp/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Makefile -------------------------------------------------------------------------------- /src/comp/PFPrint.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/PFPrint.hs -------------------------------------------------------------------------------- /src/comp/PPrint.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/PPrint.hs -------------------------------------------------------------------------------- /src/comp/PVPrint.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/PVPrint.hs -------------------------------------------------------------------------------- /src/comp/Params.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Params.hs -------------------------------------------------------------------------------- /src/comp/ParseOp.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/ParseOp.hs -------------------------------------------------------------------------------- /src/comp/Parser/BSV.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Parser/BSV.hs -------------------------------------------------------------------------------- /src/comp/Parser/Classic.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Parser/Classic.hs -------------------------------------------------------------------------------- /src/comp/PoisonUtils.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/PoisonUtils.hs -------------------------------------------------------------------------------- /src/comp/Position.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Position.hs -------------------------------------------------------------------------------- /src/comp/Pragma.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Pragma.hs -------------------------------------------------------------------------------- /src/comp/PragmaCheck.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/PragmaCheck.hs -------------------------------------------------------------------------------- /src/comp/PreIds.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/PreIds.hs -------------------------------------------------------------------------------- /src/comp/PreStrings.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/PreStrings.hs -------------------------------------------------------------------------------- /src/comp/Pred.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Pred.hs -------------------------------------------------------------------------------- /src/comp/Pred2STP.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Pred2STP.hs -------------------------------------------------------------------------------- /src/comp/Pred2Yices.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Pred2Yices.hs -------------------------------------------------------------------------------- /src/comp/Pretty.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Pretty.hs -------------------------------------------------------------------------------- /src/comp/Prim.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Prim.hs -------------------------------------------------------------------------------- /src/comp/RSchedule.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/RSchedule.hs -------------------------------------------------------------------------------- /src/comp/RealUtil.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/RealUtil.hs -------------------------------------------------------------------------------- /src/comp/SAL.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SAL.hs -------------------------------------------------------------------------------- /src/comp/SAT.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SAT.hs -------------------------------------------------------------------------------- /src/comp/SATPred.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SATPred.hs -------------------------------------------------------------------------------- /src/comp/SCC.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SCC.hs -------------------------------------------------------------------------------- /src/comp/SEMonad.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SEMonad.hs -------------------------------------------------------------------------------- /src/comp/SchedInfo.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SchedInfo.hs -------------------------------------------------------------------------------- /src/comp/Scheme.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Scheme.hs -------------------------------------------------------------------------------- /src/comp/SignalNaming.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SignalNaming.hs -------------------------------------------------------------------------------- /src/comp/SimBlocksToC.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SimBlocksToC.hs -------------------------------------------------------------------------------- /src/comp/SimCCBlock.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SimCCBlock.hs -------------------------------------------------------------------------------- /src/comp/SimCOpt.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SimCOpt.hs -------------------------------------------------------------------------------- /src/comp/SimDomainInfo.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SimDomainInfo.hs -------------------------------------------------------------------------------- /src/comp/SimExpand.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SimExpand.hs -------------------------------------------------------------------------------- /src/comp/SimFileUtils.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SimFileUtils.hs -------------------------------------------------------------------------------- /src/comp/SimMakeCBlocks.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SimMakeCBlocks.hs -------------------------------------------------------------------------------- /src/comp/SimPackage.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SimPackage.hs -------------------------------------------------------------------------------- /src/comp/SimPackageOpt.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SimPackageOpt.hs -------------------------------------------------------------------------------- /src/comp/Simplify.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Simplify.hs -------------------------------------------------------------------------------- /src/comp/SpeedyString.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SpeedyString.hs -------------------------------------------------------------------------------- /src/comp/StdPrel.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/StdPrel.hs -------------------------------------------------------------------------------- /src/comp/Subst.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Subst.hs -------------------------------------------------------------------------------- /src/comp/SymTab.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SymTab.hs -------------------------------------------------------------------------------- /src/comp/Synthesize.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Synthesize.hs -------------------------------------------------------------------------------- /src/comp/SystemCWrapper.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SystemCWrapper.hs -------------------------------------------------------------------------------- /src/comp/SystemCheck.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/SystemCheck.hs -------------------------------------------------------------------------------- /src/comp/TCMisc.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/TCMisc.hs -------------------------------------------------------------------------------- /src/comp/TCPat.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/TCPat.hs -------------------------------------------------------------------------------- /src/comp/TCheck.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/TCheck.hs -------------------------------------------------------------------------------- /src/comp/TIMonad.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/TIMonad.hs -------------------------------------------------------------------------------- /src/comp/TclParseUtils.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/TclParseUtils.hs -------------------------------------------------------------------------------- /src/comp/TclUtils.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/TclUtils.hs -------------------------------------------------------------------------------- /src/comp/TopUtils.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/TopUtils.hs -------------------------------------------------------------------------------- /src/comp/Type.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Type.hs -------------------------------------------------------------------------------- /src/comp/TypeAnalysis.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/TypeAnalysis.hs -------------------------------------------------------------------------------- /src/comp/TypeCheck.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/TypeCheck.hs -------------------------------------------------------------------------------- /src/comp/TypeOps.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/TypeOps.hs -------------------------------------------------------------------------------- /src/comp/Undefined.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Undefined.hs -------------------------------------------------------------------------------- /src/comp/Unify.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Unify.hs -------------------------------------------------------------------------------- /src/comp/Util.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Util.hs -------------------------------------------------------------------------------- /src/comp/VCD.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/VCD.hs -------------------------------------------------------------------------------- /src/comp/VFileName.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/VFileName.hs -------------------------------------------------------------------------------- /src/comp/VFinalCleanup.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/VFinalCleanup.hs -------------------------------------------------------------------------------- /src/comp/VIOProps.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/VIOProps.hs -------------------------------------------------------------------------------- /src/comp/VModInfo.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/VModInfo.hs -------------------------------------------------------------------------------- /src/comp/VPIWrappers.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/VPIWrappers.hs -------------------------------------------------------------------------------- /src/comp/VPrims.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/VPrims.hs -------------------------------------------------------------------------------- /src/comp/VVerilogDollar.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/VVerilogDollar.hs -------------------------------------------------------------------------------- /src/comp/Verilog.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Verilog.hs -------------------------------------------------------------------------------- /src/comp/Version.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Version.hs -------------------------------------------------------------------------------- /src/comp/Wires.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/Wires.hs -------------------------------------------------------------------------------- /src/comp/bluetcl.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/bluetcl.hs -------------------------------------------------------------------------------- /src/comp/bluetcl_Main.hsc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/bluetcl_Main.hsc -------------------------------------------------------------------------------- /src/comp/bsc.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/bsc.hs -------------------------------------------------------------------------------- /src/comp/bsc2bsv.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/bsc2bsv.hs -------------------------------------------------------------------------------- /src/comp/bsv2bsc.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/bsv2bsc.hs -------------------------------------------------------------------------------- /src/comp/dumpba.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/dumpba.hs -------------------------------------------------------------------------------- /src/comp/dumpbo.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/dumpbo.hs -------------------------------------------------------------------------------- /src/comp/showrules.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/showrules.hs -------------------------------------------------------------------------------- /src/comp/vcdcheck.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/vcdcheck.hs -------------------------------------------------------------------------------- /src/comp/wrapper.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/comp/wrapper.sh -------------------------------------------------------------------------------- /src/exec/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/exec/Makefile -------------------------------------------------------------------------------- /src/vendor/htcl/.gitignore: -------------------------------------------------------------------------------- 1 | *.o 2 | *.a 3 | -------------------------------------------------------------------------------- /src/vendor/htcl/HTcl.hs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/vendor/htcl/HTcl.hs -------------------------------------------------------------------------------- /src/vendor/htcl/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/vendor/htcl/Makefile -------------------------------------------------------------------------------- /src/vendor/htcl/haskell.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/vendor/htcl/haskell.c -------------------------------------------------------------------------------- /src/vendor/stp/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/vendor/stp/.gitignore -------------------------------------------------------------------------------- /src/vendor/stp/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/vendor/stp/Makefile -------------------------------------------------------------------------------- /src/vendor/stp/src_stub/.gitignore: -------------------------------------------------------------------------------- 1 | libstp_stub.so 2 | -------------------------------------------------------------------------------- /src/vendor/yices/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/src/vendor/yices/Makefile -------------------------------------------------------------------------------- /src/vendor/yices/v2.6/.gitignore: -------------------------------------------------------------------------------- 1 | yices2-inst 2 | -------------------------------------------------------------------------------- /testsuite/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/.gitignore -------------------------------------------------------------------------------- /testsuite/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/Makefile -------------------------------------------------------------------------------- /testsuite/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/README.md -------------------------------------------------------------------------------- /testsuite/archive_logs.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/archive_logs.sh -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/select/sysArrayInBounds1.out.expected: -------------------------------------------------------------------------------- 1 | 3 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/select/sysArrayInBounds2.out.expected: -------------------------------------------------------------------------------- 1 | 4 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/select/sysBitInBounds1.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/select/sysBitInBounds2.out.expected: -------------------------------------------------------------------------------- 1 | 0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/select/sysListInBounds1.out.expected: -------------------------------------------------------------------------------- 1 | 3 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/select/sysListInBounds2.out.expected: -------------------------------------------------------------------------------- 1 | 2 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/select/sysListNInBounds1.out.expected: -------------------------------------------------------------------------------- 1 | 3 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/select/sysListNInBounds2.out.expected: -------------------------------------------------------------------------------- 1 | 2 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/select/sysVectorInBounds1.out.expected: -------------------------------------------------------------------------------- 1 | 2 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/select/sysVectorInBounds2.out.expected: -------------------------------------------------------------------------------- 1 | 3 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/update/sysArrayInBounds1.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 2 3 | 17 4 | 4 5 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/update/sysArrayInBounds2.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 2 3 | 3 4 | 17 5 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/update/sysBitInBounds1.out.expected: -------------------------------------------------------------------------------- 1 | 0100 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/update/sysBitInBounds2.out.expected: -------------------------------------------------------------------------------- 1 | 1000 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/update/sysListInBounds1.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 2 3 | 17 4 | 4 5 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/update/sysListInBounds2.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 17 3 | 3 4 | 4 5 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/update/sysListNInBounds1.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 2 3 | 17 4 | 4 5 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/update/sysListNInBounds2.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 17 3 | 3 4 | 4 5 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/update/sysVectorInBounds1.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 17 3 | 3 4 | 4 5 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/bounds/update/sysVectorInBounds2.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 2 3 | 17 4 | 4 5 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/undefined/sysSelectArrayUndefinedIndex.out.expected: -------------------------------------------------------------------------------- 1 | -1431655766 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/undefined/sysSelectListUndefinedIndex.out.expected: -------------------------------------------------------------------------------- 1 | 4 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/undefined/sysSelectUndefinedArrayStatic.out.expected: -------------------------------------------------------------------------------- 1 | -21846 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/undefined/sysSelectUndefinedListStatic.out.expected: -------------------------------------------------------------------------------- 1 | 2 2 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/undefined/sysSelectUndefinedVector.out.expected: -------------------------------------------------------------------------------- 1 | 170 2 | 170 3 | -------------------------------------------------------------------------------- /testsuite/bsc.arrays/undefined/sysSelectUndefinedVectorStatic.out.expected: -------------------------------------------------------------------------------- 1 | 170 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/config: -------------------------------------------------------------------------------- 1 | ../config -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/interactive/mkTest_exit.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/interactive/mkTest_quit.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/interactive/timescale.cmd: -------------------------------------------------------------------------------- 1 | sim timescale "10 ns/1 ps" 2 | sim run 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/interactive/timescale2.cmd: -------------------------------------------------------------------------------- 1 | sim timescale "1 s/100 fs" 2 | sim run 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/misc/.gitignore: -------------------------------------------------------------------------------- 1 | c_func.c 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/misc/c_func.c.keep: -------------------------------------------------------------------------------- 1 | unsigned int c_func() 2 | { 3 | return 0; 4 | } 5 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/misc/sysShowVersionTimestamps.out.expected: -------------------------------------------------------------------------------- 1 | Success 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/misc/sysWideSched.out.expected: -------------------------------------------------------------------------------- 1 | hi 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/misc/sysWindowsRF.out.expected: -------------------------------------------------------------------------------- 1 | 68 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/vcd/sysVCDTest1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.bluesim/vcd/sysVCDTest2.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.bluetcl/commands/Dep1.bsv: -------------------------------------------------------------------------------- 1 | import Test:: *; 2 | 3 | `include "IncDep1.bsv" 4 | -------------------------------------------------------------------------------- /testsuite/bsc.bluetcl/commands/IncDep2.bsv: -------------------------------------------------------------------------------- 1 | 2 | import Foo :: * ; 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bluetcl/commands/subdir/Foo.bs: -------------------------------------------------------------------------------- 1 | 2 | package Foo () where 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.bluetcl/packages/makedepend/Dep1.bsv: -------------------------------------------------------------------------------- 1 | import Test:: *; 2 | 3 | `include "IncDep1.bsv" 4 | -------------------------------------------------------------------------------- /testsuite/bsc.bluetcl/packages/makedepend/IncDep2.bsv: -------------------------------------------------------------------------------- 1 | 2 | import Foo :: * ; 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bluetcl/packages/makedepend/minus_o.bluetcl-out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.bluetcl/packages/makedepend/subinclude.inc: -------------------------------------------------------------------------------- 1 | // Empty 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/AmbaSynthesis/.gitignore: -------------------------------------------------------------------------------- 1 | defaultSlave.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/GlibcRandom/systbFast.out.expected: -------------------------------------------------------------------------------- 1 | 89057537 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/GlibcRandom/systbSlow.out.expected: -------------------------------------------------------------------------------- 1 | 89057537 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/Misc/example_misc.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv TestShifter64 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/RAMS/.gitignore: -------------------------------------------------------------------------------- 1 | mkTop.exe 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/SHA1/SHA1.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv KenSha1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/SHA256/SHA2.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv KenSha2 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/SHA512/SHA2.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv KenSha2 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/gcd/mkTbGCD.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/mesa/course_lab/.gitignore: -------------------------------------------------------------------------------- 1 | Mesa.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/stepcounter/stepcounter.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv TestStepCounter 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/typeclasses/typeclasses.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bitwise.bsv 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bsv_examples/xbar/xbar.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv Tb 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1037/b1037.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv Foo 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1037/sysFoo.out.expected: -------------------------------------------------------------------------------- 1 | Rule fired and finished 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1040/Bug1040.bsv: -------------------------------------------------------------------------------- 1 | let x = 5; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1040/b1040.exp: -------------------------------------------------------------------------------- 1 | compile_fail_error Bug1040.bsv P0127 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1044/b1044.exp: -------------------------------------------------------------------------------- 1 | compile_fail_error Bug4.bsv T0118 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1045/b1045.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv Design 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1048/b1048.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1118/b1118.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv_multi continueInForLoop forTest {} 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1121/b1121.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv_multi Bug1121 testSizedFIFO {} 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1163/.gitignore: -------------------------------------------------------------------------------- 1 | test.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1163/b1163.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass g.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1198/b1198.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass Bug1198.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1213/b1213.exp: -------------------------------------------------------------------------------- 1 | compile_pass Example.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1229/b1229.exp: -------------------------------------------------------------------------------- 1 | compile_pass ActionValueStructBind.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1235/.gitignore: -------------------------------------------------------------------------------- 1 | transport_layer.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1265/b1265.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass Test2.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1267/b1267.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass VectorBug.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1294/b1294.exp: -------------------------------------------------------------------------------- 1 | compile_pass HasTupleFailFastBug.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1302/b1302.exp: -------------------------------------------------------------------------------- 1 | 2 | test_c_veri_bsv RFile2 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1314/b1314.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv Test 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1314/sysTest.out.expected: -------------------------------------------------------------------------------- 1 | hi 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1318/b1318.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_fail_error Test.bsv G0008 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1332/b1332.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass Bug1332.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1353/b1353.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv Bug1353 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1356/b1356.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass Bug.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1389/b1389.exp: -------------------------------------------------------------------------------- 1 | compile_pass Test0.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1396/b1396.exp: -------------------------------------------------------------------------------- 1 | compile_pass Example.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1470/b1470.exp: -------------------------------------------------------------------------------- 1 | 2 | compile_pass Bug1470.bsv 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1599/b1599.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug1599.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b1666/sysBug1666.out.expected: -------------------------------------------------------------------------------- 1 | rg = 2a 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b198/b198.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug198.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b257/b257.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_fail Bug257.bsv G0027 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b265/b265.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass Design_1.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b271/b271.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug271.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b289/b289.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug289.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b290/b290.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass Bug290.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b308/b308.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug308.bs 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b316/b316.exp: -------------------------------------------------------------------------------- 1 | compile_pass PPC_BranchPredictor.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b340/b340.exp: -------------------------------------------------------------------------------- 1 | compile_fail_error Bug340.bsv P0005 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b373/b373.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_fail_error Temp.bsv S0015 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b384/b384.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug384_1.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b394/b394.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug394.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b402/b402.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv_modules Test {mkDesign} 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b41/b41.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug41.bs 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b417/b417.exp: -------------------------------------------------------------------------------- 1 | compile_fail_error Bug417.bsv S0007 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b423/b423.exp: -------------------------------------------------------------------------------- 1 | compile_fail_error Bug423_1.bsv P0005 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b431/b431.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv Bug431 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b436/b436.exp: -------------------------------------------------------------------------------- 1 | compile_pass ArrayReg.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b437/Bug437BSV.bs: -------------------------------------------------------------------------------- 1 | Integer foo = True; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b459/b459.exp: -------------------------------------------------------------------------------- 1 | compile_fail_error Bug459.bsv T0011 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b460/b460.exp: -------------------------------------------------------------------------------- 1 | compile_fail_error Bug460.bsv T0011 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b461/b461.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug461.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b492/b492.exp: -------------------------------------------------------------------------------- 1 | compile_fail_error Bug492_1.bs T0046 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b522/b522.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug522_1.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b530/.gitignore: -------------------------------------------------------------------------------- 1 | sysDesignReg.final-state 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b532/b532.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug532.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b535/.gitignore: -------------------------------------------------------------------------------- 1 | sysUnsetRWire.v.out.inline-rwire 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b535/sysUnsetRWire.out.expected: -------------------------------------------------------------------------------- 1 | InvalidNothing 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b540/sysBug540_1.out.expected: -------------------------------------------------------------------------------- 1 | r: 0 2 | r: 3 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b542/b542.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug542.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b547/b547.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug547.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b561/b561.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug561_1.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b600/Bug600.bsv: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b600/b600.exp: -------------------------------------------------------------------------------- 1 | compile_pass Bug600.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b610/b610.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass Test20.bsv 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b611/b611.exp: -------------------------------------------------------------------------------- 1 | run_bsc2bsv Bug611.bs 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b633/b633.exp: -------------------------------------------------------------------------------- 1 | compile_pass TestIVec1.bsv 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b637/b637.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass Bug637.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b671/Bug671_1.bsv: -------------------------------------------------------------------------------- 1 | let x = 5; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b671/b671.exp: -------------------------------------------------------------------------------- 1 | compile_fail_error Bug671_1.bsv P0127 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b676/SelfRecursiveSyn.bsv: -------------------------------------------------------------------------------- 1 | 2 | typedef List#(A) A; 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b719/b719.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass Bug719.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b791/.gitignore: -------------------------------------------------------------------------------- 1 | loop.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b791/sysBug791.out.expected: -------------------------------------------------------------------------------- 1 | 15 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/b851/b851.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass Bug851.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/bluespec_inc/ek/ek_bug.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass ParitySwitch2.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/github/gh334/gh334.exp: -------------------------------------------------------------------------------- 1 | 2 | # GitHub Issue #334 3 | 4 | compile_pass IPv4.bsv 5 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/github/gh435/gh435.exp: -------------------------------------------------------------------------------- 1 | 2 | # GitHub Issue #435 3 | 4 | compile_pass Top.bs 5 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/github/gh678/gh678.exp: -------------------------------------------------------------------------------- 1 | 2 | # GitHub Issue #678 3 | 4 | compile_pass Test.bs 5 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/github/gh839/gh839.exp: -------------------------------------------------------------------------------- 1 | compile_verilog_pass OneHotSelectZero.bs 2 | -------------------------------------------------------------------------------- /testsuite/bsc.bugs/pre_bluespec_inc/sysBug122.out.expected: -------------------------------------------------------------------------------- 1 | 0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/case/sysIfElseCase_Func.out.expected: -------------------------------------------------------------------------------- 1 | 00 2 | cc 3 | bb 4 | aa 5 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/case/sysIfElseCase_Inline.out.expected: -------------------------------------------------------------------------------- 1 | 00 2 | cc 3 | bb 4 | aa 5 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/case/sysNoOp_LargerRes.out.expected: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 2 4 | 3 5 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/case/sysNoOp_SameSize.out.expected: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 2 4 | 3 5 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/foreign/battery/.gitignore: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/foreign/convert.mk: -------------------------------------------------------------------------------- 1 | CFLAGS +=-fPIC 2 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/foreign/mkBDPIAction.out.expected: -------------------------------------------------------------------------------- 1 | 45 2 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/foreign/mkBDPIActionValueIgnoreReturn.out.expected: -------------------------------------------------------------------------------- 1 | my_time(0) 2 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/foreign/mkBDPIActionValueIgnoreWideReturn.out.expected: -------------------------------------------------------------------------------- 1 | my_wide_time() 2 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/foreign/mkBDPIBit8.out.expected: -------------------------------------------------------------------------------- 1 | 0 2 | 3 3 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/foreign/mkBDPIPrimAction.out.expected: -------------------------------------------------------------------------------- 1 | 45 2 | -------------------------------------------------------------------------------- /testsuite/bsc.codegen/foreign/mkBDPI_CapitalLinkName.out.expected: -------------------------------------------------------------------------------- 1 | 0 2 | 2 3 | -------------------------------------------------------------------------------- /testsuite/bsc.compile/.gitignore: -------------------------------------------------------------------------------- 1 | Five.bs 2 | -------------------------------------------------------------------------------- /testsuite/bsc.compile/letrec/sysDerive1.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 0 3 | 1 4 | 0 5 | -------------------------------------------------------------------------------- /testsuite/bsc.compile/letrec/sysDerive2.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 0 3 | 1 4 | 0 5 | -------------------------------------------------------------------------------- /testsuite/bsc.compile/letrec/sysLetrecbit.out.expected: -------------------------------------------------------------------------------- 1 | 3 2 | -------------------------------------------------------------------------------- /testsuite/bsc.compile/letrec/sysList1.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 0 3 | 1 4 | 0 5 | -------------------------------------------------------------------------------- /testsuite/bsc.compile/letrec/sysList2.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | 0 3 | 1 4 | 0 5 | -------------------------------------------------------------------------------- /testsuite/bsc.doc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/bsc.doc/Makefile -------------------------------------------------------------------------------- /testsuite/bsc.doc/doc.exp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/bsc.doc/doc.exp -------------------------------------------------------------------------------- /testsuite/bsc.driver/cpp/Cpreprocess1.bsv: -------------------------------------------------------------------------------- 1 | Cpreprocess.bsv -------------------------------------------------------------------------------- /testsuite/bsc.driver/cpp/number.h: -------------------------------------------------------------------------------- 1 | #ifdef KEN123 2 | #define NUMBER 100 3 | #endif 4 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/depend/DupObjTop.bsv: -------------------------------------------------------------------------------- 1 | import DupObj::*; 2 | 3 | Bool z = x; 4 | 5 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/depend/DupTop.bsv: -------------------------------------------------------------------------------- 1 | import DupPkg::*; 2 | 3 | Bool y = x; 4 | 5 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/gensign/TestKeywords.bsv: -------------------------------------------------------------------------------- 1 | import Keywords::*; 2 | 3 | Bool x = False; 4 | 5 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/gensign/UnboundPackage.bsv: -------------------------------------------------------------------------------- 1 | 2 | export Foo::*; 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/imports/.gitignore: -------------------------------------------------------------------------------- 1 | CircPkg.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/imports/DupTop.bsv: -------------------------------------------------------------------------------- 1 | import DupPkg::*; 2 | 3 | Bool y = x; 4 | 5 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/imports/UnreadableTop.bsv: -------------------------------------------------------------------------------- 1 | import DupPkg::*; 2 | 3 | Bool z = x; 4 | 5 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/imports/WrongTop.bsv: -------------------------------------------------------------------------------- 1 | import RightPkg::*; 2 | 3 | Bool y = x; 4 | 5 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/no_filenames/vlog_build.out.expected: -------------------------------------------------------------------------------- 1 | Verilog binary file created: mkTop.vexe 2 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/symtab/ConDup_Leaf.bsv: -------------------------------------------------------------------------------- 1 | typedef union tagged { Bool C; } U; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.driver/symtab/FieldDup_Leaf.bsv: -------------------------------------------------------------------------------- 1 | typedef struct { Bool f; } S; 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/.gitignore: -------------------------------------------------------------------------------- 1 | sysShiftMult.ats 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/dynamic/sysDynamicInteger.out.expected: -------------------------------------------------------------------------------- 1 | x: 2 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/dynamic/sysDynamicIntegerNested.out.expected: -------------------------------------------------------------------------------- 1 | x: 4 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/dynamic/sysDynamicNeg.out.expected: -------------------------------------------------------------------------------- 1 | i: -7 2 | i: 5 3 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/fileIO/sysBasicRead.txt: -------------------------------------------------------------------------------- 1 | Hello World 2 | Hi, there 3 | the end -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/literal/sysPositiveIntOK.out.expected: -------------------------------------------------------------------------------- 1 | x: 1 y: 15 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/performance/.gitignore: -------------------------------------------------------------------------------- 1 | test.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/prims/impcondof/sysNoInlineCond.out.expected: -------------------------------------------------------------------------------- 1 | Cond: True 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/prims/impcondof/sysPolyFieldCond.out.expected: -------------------------------------------------------------------------------- 1 | Cond: True 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/prims/impcondof/sysPolyFunctionFieldCond.out.expected: -------------------------------------------------------------------------------- 1 | Cond: True 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/reginit/sysInit128Bit.out.expected: -------------------------------------------------------------------------------- 1 | 0xfedcba98765432100123456789abcdef 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/reginit/sysInit64Bit.out.expected: -------------------------------------------------------------------------------- 1 | 0xfedcba9876543210 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/reginit/sysInitExp.out.expected: -------------------------------------------------------------------------------- 1 | 8 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/reginit/sysInitUndet.out.expected: -------------------------------------------------------------------------------- 1 | 173 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/sysFullMult.out.expected: -------------------------------------------------------------------------------- 1 | 465 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/sysNormalMult.out.expected: -------------------------------------------------------------------------------- 1 | 17 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/sysStaticInteger.out.expected: -------------------------------------------------------------------------------- 1 | x: 1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/undefined/sysDataAction2.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | da1 3 | 1 4 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/undefined/sysNewtypeAction.out.expected: -------------------------------------------------------------------------------- 1 | m1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/undefined/sysUndefinedString.out.expected: -------------------------------------------------------------------------------- 1 | A 2 | BC 3 | D 4 | D 5 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/uninit/sysIfUninit.out.expected: -------------------------------------------------------------------------------- 1 | 5 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/uninit/sysStructUninitOK2.out.expected: -------------------------------------------------------------------------------- 1 | -1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/uninit/sysUninitBit1OK2.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/uninit/sysUninitBitOK1.out.expected: -------------------------------------------------------------------------------- 1 | 25 2 | 32 3 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/uninit/sysUninitBitRangeUpdOK.out.expected: -------------------------------------------------------------------------------- 1 | 15 2 | -------------------------------------------------------------------------------- /testsuite/bsc.evaluator/uninit/sysUninitStructBitOK.out.expected: -------------------------------------------------------------------------------- 1 | 576 2 | (1001, 0) 3 | -------------------------------------------------------------------------------- /testsuite/bsc.if/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/bsc.if/Makefile -------------------------------------------------------------------------------- /testsuite/bsc.if/config: -------------------------------------------------------------------------------- 1 | ../config -------------------------------------------------------------------------------- /testsuite/bsc.if/if.exp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/bsc.if/if.exp -------------------------------------------------------------------------------- /testsuite/bsc.if/split-execution/TurboFIFO/attribute/execute.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv TurboFIFOTest 2 | -------------------------------------------------------------------------------- /testsuite/bsc.if/split-execution/TurboFIFO/original/execute.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv TurboFIFOTest 2 | -------------------------------------------------------------------------------- /testsuite/bsc.if/split/.gitignore: -------------------------------------------------------------------------------- 1 | mymodule.v 2 | usesGo.v 3 | -------------------------------------------------------------------------------- /testsuite/bsc.if/sysBasicIf.out.expected: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 2 4 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/Library_latency/ClientServer/mkTestbench_SplitServer.final-state.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlways1/.gitignore: -------------------------------------------------------------------------------- 1 | assertAlways1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlways1/assertAlways1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlways2/.gitignore: -------------------------------------------------------------------------------- 1 | assertAlways2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlwaysOnEdge1/.gitignore: -------------------------------------------------------------------------------- 1 | assertAlwaysOnEdge1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlwaysOnEdge1/assertAlwaysOnEdge1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlwaysOnEdge2/.gitignore: -------------------------------------------------------------------------------- 1 | assertAlwaysOnEdge2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlwaysOnEdge3/.gitignore: -------------------------------------------------------------------------------- 1 | assertAlwaysOnEdge3.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlwaysOnEdge3/assertAlwaysOnEdge3.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlwaysOnEdge4/.gitignore: -------------------------------------------------------------------------------- 1 | assertAlwaysOnEdge4.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlwaysOnEdge5/.gitignore: -------------------------------------------------------------------------------- 1 | assertAlwaysOnEdge5.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlwaysOnEdge5/assertAlwaysOnEdge5.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertAlwaysOnEdge6/.gitignore: -------------------------------------------------------------------------------- 1 | assertAlwaysOnEdge6.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertChange1/.gitignore: -------------------------------------------------------------------------------- 1 | assertChange1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertChange1/assertChange1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertChange2/.gitignore: -------------------------------------------------------------------------------- 1 | assertChange2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertCycleSequence1/.gitignore: -------------------------------------------------------------------------------- 1 | assertCycleSequence1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertCycleSequence1/assertCycleSequence1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertCycleSequence2/.gitignore: -------------------------------------------------------------------------------- 1 | assertCycleSequence2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertDecrement1/.gitignore: -------------------------------------------------------------------------------- 1 | assertDecrement1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertDecrement1/assertDecrement1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertDecrement2/.gitignore: -------------------------------------------------------------------------------- 1 | assertDecrement2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertDelta1/.gitignore: -------------------------------------------------------------------------------- 1 | assertDelta1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertDelta1/assertDelta1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertDelta2/.gitignore: -------------------------------------------------------------------------------- 1 | assertDelta2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertEvenParity1/.gitignore: -------------------------------------------------------------------------------- 1 | assertEvenParity1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertEvenParity1/assertEvenParity1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertEvenParity2/.gitignore: -------------------------------------------------------------------------------- 1 | assertEvenParity2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertFifoIndex1/.gitignore: -------------------------------------------------------------------------------- 1 | assertFifoIndex1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertFifoIndex1/assertFifoIndex1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertFifoIndex2/.gitignore: -------------------------------------------------------------------------------- 1 | assertFifoIndex2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertFifoIndex3/.gitignore: -------------------------------------------------------------------------------- 1 | assertFifoIndex3.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertFifoIndex4/.gitignore: -------------------------------------------------------------------------------- 1 | assertFifoIndex4.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertFrame1/.gitignore: -------------------------------------------------------------------------------- 1 | assertFrame1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertFrame1/assertFrame1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertFrame2/.gitignore: -------------------------------------------------------------------------------- 1 | assertFrame2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertFrame2/.v: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertFrame3/.gitignore: -------------------------------------------------------------------------------- 1 | assertFrame3.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertHandshake1/.gitignore: -------------------------------------------------------------------------------- 1 | assertHandshake1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertHandshake1/assertHandshake1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertHandshake2/.gitignore: -------------------------------------------------------------------------------- 1 | assertHandshake2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertImplication1/.gitignore: -------------------------------------------------------------------------------- 1 | assertImplication1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertImplication1/assertImplication1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertImplication2/.gitignore: -------------------------------------------------------------------------------- 1 | assertImplication2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertIncrement1/.gitignore: -------------------------------------------------------------------------------- 1 | assertIncrement1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertIncrement1/assertIncrement1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertIncrement2/.gitignore: -------------------------------------------------------------------------------- 1 | assertIncrement2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNever1/.gitignore: -------------------------------------------------------------------------------- 1 | assertNever1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNever1/assertNever1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNever2/.gitignore: -------------------------------------------------------------------------------- 1 | assertNever2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNeverUnknown1/.gitignore: -------------------------------------------------------------------------------- 1 | assertNeverUnknown1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNeverUnknown1/assertNeverUnknown1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNeverUnknown2/.gitignore: -------------------------------------------------------------------------------- 1 | assertNeverUnknown2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNeverUnknownAsync1/.gitignore: -------------------------------------------------------------------------------- 1 | assertNeverUnknownAsync1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNeverUnknownAsync1/assertNeverUnknownAsync1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNeverUnknownAsync2/.gitignore: -------------------------------------------------------------------------------- 1 | assertNeverUnknownAsync2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNext1/.gitignore: -------------------------------------------------------------------------------- 1 | assertNext1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNext1/assertNext1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNext2/.gitignore: -------------------------------------------------------------------------------- 1 | assertNext2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNoOverflow1/.gitignore: -------------------------------------------------------------------------------- 1 | assertNoOverflow1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNoOverflow1/assertNoOverflow1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNoOverflow2/.gitignore: -------------------------------------------------------------------------------- 1 | assertNoOverflow2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNoTransition1/.gitignore: -------------------------------------------------------------------------------- 1 | assertNoTransition1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNoTransition1/assertNoTransition1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNoTransition2/.gitignore: -------------------------------------------------------------------------------- 1 | assertNoTransition2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNoUnderflow1/.gitignore: -------------------------------------------------------------------------------- 1 | assertNoUnderflow1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNoUnderflow1/assertNoUnderflow1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertNoUnderflow2/.gitignore: -------------------------------------------------------------------------------- 1 | assertNoUnderflow2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertOddParity1/.gitignore: -------------------------------------------------------------------------------- 1 | assertOddParity1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertOddParity1/assertOddParity1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertOddParity2/.gitignore: -------------------------------------------------------------------------------- 1 | assertOddParity2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertOneCold1/.gitignore: -------------------------------------------------------------------------------- 1 | assertOneCold1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertOneCold1/assertOneCold1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertOneCold2/.gitignore: -------------------------------------------------------------------------------- 1 | assertOneCold2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertOneHot1/.gitignore: -------------------------------------------------------------------------------- 1 | assertOneHot1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertOneHot1/assertOneHot1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertOneHot2/.gitignore: -------------------------------------------------------------------------------- 1 | assertOneHot2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertProposition1/.gitignore: -------------------------------------------------------------------------------- 1 | assertProposition1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertProposition1/assertProposition1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertProposition2/.gitignore: -------------------------------------------------------------------------------- 1 | assertProposition2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertQuiescent1/.gitignore: -------------------------------------------------------------------------------- 1 | assertQuiescent1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertQuiescent1/assertQuiescent1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertQuiescent2/.gitignore: -------------------------------------------------------------------------------- 1 | assertQuiescent2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertRange1/.gitignore: -------------------------------------------------------------------------------- 1 | assertRange1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertRange1/assertRange1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertRange2/.gitignore: -------------------------------------------------------------------------------- 1 | assertRange2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertRange3/.gitignore: -------------------------------------------------------------------------------- 1 | assertRange3.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertTime1/.gitignore: -------------------------------------------------------------------------------- 1 | assertTime1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertTime1/assertTime1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertTime2/.gitignore: -------------------------------------------------------------------------------- 1 | assertTime2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertTransition1/.gitignore: -------------------------------------------------------------------------------- 1 | assertTransition1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertTransition1/assertTransition1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertTransition2/.gitignore: -------------------------------------------------------------------------------- 1 | assertTransition2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertUnchange1/.gitignore: -------------------------------------------------------------------------------- 1 | assertUnchange1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertUnchange1/assertUnchange1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertUnchange2/.gitignore: -------------------------------------------------------------------------------- 1 | assertUnchange2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWidth1/.gitignore: -------------------------------------------------------------------------------- 1 | assertWidth1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWidth1/assertWidth1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWidth2/.gitignore: -------------------------------------------------------------------------------- 1 | assertWidth2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWidth3/.gitignore: -------------------------------------------------------------------------------- 1 | assertWidth3.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWinChange1/.gitignore: -------------------------------------------------------------------------------- 1 | assertWinChange1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWinChange1/assertWinChange1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWinChange2/.gitignore: -------------------------------------------------------------------------------- 1 | assertWinChange2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWinUnchange1/.gitignore: -------------------------------------------------------------------------------- 1 | assertWinUnchange1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWinUnchange1/assertWinUnchange1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWinUnchange2/.gitignore: -------------------------------------------------------------------------------- 1 | assertWinUnchange2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWindow1/.gitignore: -------------------------------------------------------------------------------- 1 | assertWindow1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWindow1/assertWindow1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertWindow2/.gitignore: -------------------------------------------------------------------------------- 1 | assertWindow2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertZeroOneHot1/.gitignore: -------------------------------------------------------------------------------- 1 | assertZeroOneHot1.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertZeroOneHot1/assertZeroOneHot1.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/assertZeroOneHot2/.gitignore: -------------------------------------------------------------------------------- 1 | assertZeroOneHot2.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/std_ovl/psl11: -------------------------------------------------------------------------------- 1 | ./psl05 -------------------------------------------------------------------------------- /testsuite/bsc.interra/OVL/std_ovl/sva31a: -------------------------------------------------------------------------------- 1 | ./sva05 -------------------------------------------------------------------------------- /testsuite/bsc.interra/bluesim/commandline_options/traffic_light_controller_separate/reFile1: -------------------------------------------------------------------------------- 1 | ! 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/config: -------------------------------------------------------------------------------- 1 | ../config -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Enum/mkTestbench_EnumAll.v.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Enum/mkTestbench_EnumFromTo.v.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Environment/mkTestbench_Env.v.out.expected: -------------------------------------------------------------------------------- 1 | testAssert = 0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Environment/mkTestbench_Env2.c.out.expected: -------------------------------------------------------------------------------- 1 | GenC = 1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Environment/mkTestbench_Env2.v.out.expected: -------------------------------------------------------------------------------- 1 | GenC = 0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/EqFunction/mkTestbench_EqTest.v.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/List/mkTestbench_All.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/List/mkTestbench_Any.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/List/mkTestbench_Elem.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/List/mkTestbench_Equality.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/List/mkTestbench_JoinActions.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/List/mkTestbench_JoinRules.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/List/mkTestbench_Length.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/List/mkTestbench_Transpose.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListFIFO/mkTestbench_MkListFifo.v.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListN/mkTestbench_All.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListN/mkTestbench_Any.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListN/mkTestbench_Elem.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListN/mkTestbench_Equality.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListN/mkTestbench_GenList.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListN/mkTestbench_GenWith.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListN/mkTestbench_GenWithM.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListN/mkTestbench_ToList.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListN/mkTestbench_ToListN.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/ListN/mkTestbench_TransposeLN.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Oint/mkTestbench_MkOInt.v.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Push/mkTestbench_Sink.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Push/mkTestbench_Spew.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Reserved/mkTestbench_Reserved_test.v.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Vector/mkTestbench_All.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Vector/mkTestbench_Any.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Vector/mkTestbench_Elem.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Vector/mkTestbench_Equality.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Vector/mkTestbench_GenList.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Vector/mkTestbench_GenWith.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Vector/mkTestbench_GenWithM.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Vector/mkTestbench_ToList.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Vector/mkTestbench_ToListN.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Vector/mkTestbench_TransposeLN.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Wallace/mkTestbench_WallaceAdd.v.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/libraries/Wallace/mkTestbench_WallaceAddBags.v.out.expected: -------------------------------------------------------------------------------- 1 | Simulation Passes 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/messages/EResources/.gitignore: -------------------------------------------------------------------------------- 1 | subtractor.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/operators/Arith/.gitignore: -------------------------------------------------------------------------------- 1 | Vectors.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/operators/BitSel/.gitignore: -------------------------------------------------------------------------------- 1 | Vectors.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/operators/Logic/.gitignore: -------------------------------------------------------------------------------- 1 | Vectors.bsv 2 | -------------------------------------------------------------------------------- /testsuite/bsc.interra/preprocessorTestcases/include/myDef: -------------------------------------------------------------------------------- 1 | `define MAX 10 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/BRAM/BRAM0Test/BRAM0Test.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv BRAM0Test 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/BRAM/BRAM0Test/bram2.txt: -------------------------------------------------------------------------------- 1 | 50 2 | 51 3 | 52 4 | 53 5 | 54 6 | 55 7 | 56 8 | 57 9 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/BRAM/BRAMTest/bram2.txt: -------------------------------------------------------------------------------- 1 | 50 2 | 51 3 | 52 4 | 53 5 | 54 6 | 55 7 | 56 8 | 57 9 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/BRAM/bram.txt: -------------------------------------------------------------------------------- 1 | 90 2 | 91 3 | 92 4 | 93 5 | 94 6 | 95 7 | 96 8 | 97 9 | 10 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/BRAM/bram2.txt: -------------------------------------------------------------------------------- 1 | 50 2 | 51 3 | 52 4 | 53 5 | 54 6 | 55 7 | 56 8 | 57 9 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/BRAM/sysSyncBRAM2PortBETest.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/FShow/FShow.exp: -------------------------------------------------------------------------------- 1 | 2 | test_c_veri_bsv FShowTest 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/bsc.lib/Makefile -------------------------------------------------------------------------------- /testsuite/bsc.lib/Memory/Memory.exp: -------------------------------------------------------------------------------- 1 | 2 | test_c_veri_bsv MemoryTest 3 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/PAClib/RadixSort/rev1/paclib_radix_rev1.exp: -------------------------------------------------------------------------------- 1 | 2 | test_c_only_bsv Tb 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/PAClib/RadixSort/rev2/paclib_radix_rev2.exp: -------------------------------------------------------------------------------- 1 | 2 | test_c_only_bsv Tb 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/PAClib/RadixSort/rev3/paclib_radix_rev3.exp: -------------------------------------------------------------------------------- 1 | 2 | test_c_only_bsv Tb 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/PAClib/RadixSort/rev4/paclib_radix_rev4.exp: -------------------------------------------------------------------------------- 1 | 2 | test_c_only_bsv Tb 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/Prelude/sysTuplePack.out.expected: -------------------------------------------------------------------------------- 1 | TEST PASSED 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/RegA/rega.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv TestRegA 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/RegA/sysTestRegA.out.expected: -------------------------------------------------------------------------------- 1 | r: 17 2 | r: 18 3 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/Reserved/Reserved.exp: -------------------------------------------------------------------------------- 1 | 2 | test_c_veri_bsv ReservedTest 3 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/Tieoff/Tieoff.exp: -------------------------------------------------------------------------------- 1 | 2 | test_c_veri_bsv TieOffTest 3 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/config: -------------------------------------------------------------------------------- 1 | ../config -------------------------------------------------------------------------------- /testsuite/bsc.lib/fifo/.gitignore: -------------------------------------------------------------------------------- 1 | sysFIFOErrors.c.out.expected 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/fifo/depth_param/fifo_verilog_error2.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/fifo/depth_param/lfifo_verilog_error2.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/fork/fork.exp: -------------------------------------------------------------------------------- 1 | test_veri_only_bsv ForkTest 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/fork/sysForkTest.out.expected: -------------------------------------------------------------------------------- 1 | Forked 1 1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/list_ops/list_ops.exp: -------------------------------------------------------------------------------- 1 | test_c_only_bsv SortGroupTest 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/regfile/TestRegFileLoad.hex.input: -------------------------------------------------------------------------------- 1 | 4 2 | 7 3 | 1 4 | 1 5 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/regfile/sysParseTest.out.expected: -------------------------------------------------------------------------------- 1 | 400 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/regtwo/regtwo.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv RegTwoTest 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/regtwo/sysRegTwoTest.out.expected: -------------------------------------------------------------------------------- 1 | Result: 1 2 | Pass 3 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/rwire/sysPulseTest.out.expected: -------------------------------------------------------------------------------- 1 | a: 0 b: 1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/rwire/sysRWireBoundary.out.expected: -------------------------------------------------------------------------------- 1 | Pass 2 | -------------------------------------------------------------------------------- /testsuite/bsc.lib/rwire/sysRWireNothing.out.expected: -------------------------------------------------------------------------------- 1 | Pass 2 | -------------------------------------------------------------------------------- /testsuite/bsc.long_tests/MPEG4/VLC_TABLE/usable_vlc_inter_table9.txt: -------------------------------------------------------------------------------- 1 | b2 2 | a3 3 | 8b 4 | 8a 5 | -------------------------------------------------------------------------------- /testsuite/bsc.long_tests/MPEG4/VLC_TABLE/usable_vlc_intra_table8.txt: -------------------------------------------------------------------------------- 1 | d22 2 | d13 3 | d05 4 | cd1 5 | -------------------------------------------------------------------------------- /testsuite/bsc.long_tests/conflict_free_large/.gitignore: -------------------------------------------------------------------------------- 1 | conflict_free_large.exp 2 | -------------------------------------------------------------------------------- /testsuite/bsc.long_tests/log2_loop/actionvalue/.gitignore: -------------------------------------------------------------------------------- 1 | log2_loop.exp 2 | -------------------------------------------------------------------------------- /testsuite/bsc.long_tests/log2_loop/actionvalue/out.expected: -------------------------------------------------------------------------------- 1 | Test passed 2 | -------------------------------------------------------------------------------- /testsuite/bsc.long_tests/log2_loop/module/.gitignore: -------------------------------------------------------------------------------- 1 | log2_loop.exp 2 | -------------------------------------------------------------------------------- /testsuite/bsc.long_tests/log2_loop/module/out.expected: -------------------------------------------------------------------------------- 1 | Test passed 2 | -------------------------------------------------------------------------------- /testsuite/bsc.long_tests/log2_loop/pure/.gitignore: -------------------------------------------------------------------------------- 1 | log2_loop.exp 2 | -------------------------------------------------------------------------------- /testsuite/bsc.long_tests/log2_loop/pure/out.expected: -------------------------------------------------------------------------------- 1 | Test passed 2 | -------------------------------------------------------------------------------- /testsuite/bsc.mcd/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/bsc.mcd/Makefile -------------------------------------------------------------------------------- /testsuite/bsc.mcd/Misc/sysClockOf.out.expected: -------------------------------------------------------------------------------- 1 | PASS 2 | -------------------------------------------------------------------------------- /testsuite/bsc.mcd/Misc/sysClocksOf.out.expected: -------------------------------------------------------------------------------- 1 | PASS 2 | -------------------------------------------------------------------------------- /testsuite/bsc.mcd/Misc/sysLaunderClock.out.expected: -------------------------------------------------------------------------------- 1 | Test Passed 2 | -------------------------------------------------------------------------------- /testsuite/bsc.mcd/Misc/sysResetOf.out.expected: -------------------------------------------------------------------------------- 1 | PASS 2 | -------------------------------------------------------------------------------- /testsuite/bsc.mcd/Misc/sysResetsOf.out.expected: -------------------------------------------------------------------------------- 1 | PASS 2 | -------------------------------------------------------------------------------- /testsuite/bsc.misc/bitextract/sysBitExtractInRange.out.expected: -------------------------------------------------------------------------------- 1 | beef 2 | -------------------------------------------------------------------------------- /testsuite/bsc.misc/bitextract/sysBitUpdateInRange.out.expected: -------------------------------------------------------------------------------- 1 | dead0000baadf00d 2 | -------------------------------------------------------------------------------- /testsuite/bsc.misc/crc/crc.exp: -------------------------------------------------------------------------------- 1 | test_c_veri_bsv CRCTest1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.misc/fwrite/FCloseTypes1.dat.out.expected: -------------------------------------------------------------------------------- 1 | writing this to all open files 1,2,3. 2 | -------------------------------------------------------------------------------- /testsuite/bsc.misc/fwrite/FCloseTypes2.dat.out.expected: -------------------------------------------------------------------------------- 1 | writing this to all open files 1,2,3. 2 | -------------------------------------------------------------------------------- /testsuite/bsc.misc/fwrite/FOpen2.dat.out.expected: -------------------------------------------------------------------------------- 1 | sysFOpen2 wrote. 2 | -------------------------------------------------------------------------------- /testsuite/bsc.misc/fwrite/FOpen_MCD2.dat.out.expected: -------------------------------------------------------------------------------- 1 | sysFOpen2_MCD wrote. 2 | -------------------------------------------------------------------------------- /testsuite/bsc.misc/fwrite/gettests.dat: -------------------------------------------------------------------------------- 1 | The quick brown fox 2 | jumped over the lazy dogs. 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.misc/lambda_calculus/lc-sysDynamicInstArg.out.expected: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /testsuite/bsc.names/config: -------------------------------------------------------------------------------- 1 | ../config -------------------------------------------------------------------------------- /testsuite/bsc.options/empty.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.options/verilog-e/sysHello.out.expected: -------------------------------------------------------------------------------- 1 | hello world 2 | -------------------------------------------------------------------------------- /testsuite/bsc.preprocessor/include/DupInclude.defines: -------------------------------------------------------------------------------- 1 | Bool x = True; 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.preprocessor/misc/Define_NonTermComment_EOF.bsv: -------------------------------------------------------------------------------- 1 | `define foo // This is a commment \ -------------------------------------------------------------------------------- /testsuite/bsc.preprocessor/misc/FuncMacro_MissingParen_EOF.bsv: -------------------------------------------------------------------------------- 1 | 2 | `define m(x 3 | -------------------------------------------------------------------------------- /testsuite/bsc.preprocessor/misc/Line_MissingArg_EOF.bsv: -------------------------------------------------------------------------------- 1 | // Comment 2 | 3 | `line(/file/path -------------------------------------------------------------------------------- /testsuite/bsc.preprocessor/misc/NoId_Macro_Include.bsv: -------------------------------------------------------------------------------- 1 | `include ` 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.preprocessor/misc/NoId_Macro_Main.bsv: -------------------------------------------------------------------------------- 1 | 2 | ` 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.preprocessor/misc/NoId_Undef.bsv: -------------------------------------------------------------------------------- 1 | 2 | `undef - 3 | 4 | Bool x = True; 5 | 6 | -------------------------------------------------------------------------------- /testsuite/bsc.scheduler/conflict_free/sysCFExecOrder2.out.expected: -------------------------------------------------------------------------------- 1 | b: 0 2 | a 3 | -------------------------------------------------------------------------------- /testsuite/bsc.scheduler/rulesort/rulesort.exp: -------------------------------------------------------------------------------- 1 | test_c_veri RuleSort 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bh/Infix.bs.bsc-out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bh/NoSign.bs: -------------------------------------------------------------------------------- 1 | package NoSign where 2 | 3 | x = 17 4 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bh/sysSizedLiteralMono.out.expected: -------------------------------------------------------------------------------- 1 | 273 12 -1 2 | PASS 3 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bh/underscore/Export.bs: -------------------------------------------------------------------------------- 1 | package Export(_) where 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bh/underscore/Expr.bs: -------------------------------------------------------------------------------- 1 | package Expr () where 2 | 3 | x :: Bool 4 | x = _ 5 | 6 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bh/風呂敷.bs: -------------------------------------------------------------------------------- 1 | package 風呂敷 where 2 | 3 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bh_parse_pretty/.gitignore: -------------------------------------------------------------------------------- 1 | *.bs-pretty-out.bs 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/ImperativeFunctionEq.bsv: -------------------------------------------------------------------------------- 1 | function Bool f() = False; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/ImportAll.bsv: -------------------------------------------------------------------------------- 1 | import Prelude::*; -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/ImportAllSpace.bsv: -------------------------------------------------------------------------------- 1 | import Prelude :: *; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/ImportSome.bsv: -------------------------------------------------------------------------------- 1 | import Prelude::mkReg; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/NegativeLit.bsv: -------------------------------------------------------------------------------- 1 | Integer foo; 2 | foo = -5; 3 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/NumberMixed.bsv: -------------------------------------------------------------------------------- 1 | Integer x = 'h5xx7; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/NumberReal.bsv: -------------------------------------------------------------------------------- 1 | Real x = 1.57; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/NumberRepeatedX.bsv: -------------------------------------------------------------------------------- 1 | Integer x = 'x; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/NumberSpaceAfterBase.bsv: -------------------------------------------------------------------------------- 1 | Bit#(5) x; 2 | x = 5'd 3; 3 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/NumberSpaceBeforeBase.bsv: -------------------------------------------------------------------------------- 1 | Bit#(5) x; 2 | x = 5 'd3; 3 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/NumberSpacesAroundBase.bsv: -------------------------------------------------------------------------------- 1 | Bit#(5) x; 2 | x = 5 'd 3; 3 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/PositiveLit.bsv: -------------------------------------------------------------------------------- 1 | Integer foo; 2 | foo = +5; 3 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/TopLevelDefInit.bsv: -------------------------------------------------------------------------------- 1 | Bit#(8) x = 5; -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/UnterminatedBlockComment.bsv: -------------------------------------------------------------------------------- 1 | /* 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/strings/BadStringEscape.bsv: -------------------------------------------------------------------------------- 1 | "foo bar \z glurph" 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/strings/UnterminatedString.bsv: -------------------------------------------------------------------------------- 1 | " 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/underscore/.gitignore: -------------------------------------------------------------------------------- 1 | synBar.v 2 | -------------------------------------------------------------------------------- /testsuite/bsc.syntax/bsv05/underscore/TopDef_Var_NoType.bsv: -------------------------------------------------------------------------------- 1 | let _ = True; 2 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/NoType.bs: -------------------------------------------------------------------------------- 1 | package NoType where 2 | 3 | x = 5 -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/config: -------------------------------------------------------------------------------- 1 | ../config -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/context-errors/ContextTooWeak2.bsv: -------------------------------------------------------------------------------- 1 | a foo = 1; -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/deriving/sysDerivingMod.out.expected: -------------------------------------------------------------------------------- 1 | Pass 2 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/display/sysDisplayBits.out.expected: -------------------------------------------------------------------------------- 1 | 1 0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/display/sysDisplaySizedLiteral.out.expected: -------------------------------------------------------------------------------- 1 | 15 16 1 0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/elab_typeclasses/elab_typeclasses.exp: -------------------------------------------------------------------------------- 1 | compile_pass ElabTypeclasses.bs 2 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/instances/sysOverlap.out.expected: -------------------------------------------------------------------------------- 1 | Invalid 2 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/instances/sysOverlapCtxReduce.out.expected: -------------------------------------------------------------------------------- 1 | 1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/literals/BinaryLiteral.bsv: -------------------------------------------------------------------------------- 1 | Bit#(8) x; 2 | x = 8'b0; -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/literals/SizedLiteral_TooLarge.bsv: -------------------------------------------------------------------------------- 1 | 2 | Bit#(11) x = 11'h800; 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/literals/SizedLiteral_TooLargeNeg.bsv: -------------------------------------------------------------------------------- 1 | 2 | Bit#(11) x = -11'h401; 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/literals/SizedLiteral_ZeroSize.bsv: -------------------------------------------------------------------------------- 1 | 2 | Bit#(0) x = 0'h0; 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/literals/SizedLiteral_ZeroSize_TooLarge.bsv: -------------------------------------------------------------------------------- 1 | 2 | Bit#(11) x = 0'h1; 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/read_desugaring/sysListDesugar.out.expected: -------------------------------------------------------------------------------- 1 | deadbeef00 2 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/reflect/sysTypeEQ.out.expected: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 0 4 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/reflect/sysTypeOf.out.expected: -------------------------------------------------------------------------------- 1 | Integer -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/string/sysStringOf.out.expected: -------------------------------------------------------------------------------- 1 | aaa 2 | bbb 3 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/string/sysStringOfBSV.out.expected: -------------------------------------------------------------------------------- 1 | aaa 2 | bbb 3 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/string/sysTNumToStr.out.expected: -------------------------------------------------------------------------------- 1 | 42 2 | 1_22_333 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/string/sysTStrCat.out.expected: -------------------------------------------------------------------------------- 1 | aaabbb 2 | aaa_bbb_ccc 3 | 4 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/string/sysTypeClassString.out.expected: -------------------------------------------------------------------------------- 1 | a 2 | b c 3 | -------------------------------------------------------------------------------- /testsuite/bsc.typechecker/typeclasses/InstanceVariablePositions.bsv: -------------------------------------------------------------------------------- 1 | Bit#(8) x = truncate(1); 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/astate/.gitignore: -------------------------------------------------------------------------------- 1 | sysPriMux_SharedValue.astate 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/dollar/renaming/mkTbGCD.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/dollar/renaming2/mkTbGCD.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/dollar/renaming4/mkTbGCD.out.expected: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/filter/simple.sed: -------------------------------------------------------------------------------- 1 | s/CLK/CLOCK/ 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/inout/out3737.expected: -------------------------------------------------------------------------------- 1 | SPcscs. -------------------------------------------------------------------------------- /testsuite/bsc.verilog/inout/sysInoutUsed.out.expected: -------------------------------------------------------------------------------- 1 | Val 96 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/noinline/sysNoInline.out.expected: -------------------------------------------------------------------------------- 1 | Pass 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/noinline/sysNoInlineInSched.out.expected: -------------------------------------------------------------------------------- 1 | Rule fired 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/noinline/sysNoInline_LessPatternsThanArgs.out.expected: -------------------------------------------------------------------------------- 1 | Pass 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/noinline/sysNoInline_NoArgs.out.expected: -------------------------------------------------------------------------------- 1 | 340C. -------------------------------------------------------------------------------- /testsuite/bsc.verilog/parameters/real/sysSimpleRealImport.out.expected: -------------------------------------------------------------------------------- 1 | real number: 17.23 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/parameters/real/sysTwoLevelReal.out.expected: -------------------------------------------------------------------------------- 1 | real number: 99.17 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/parameters/real/sysTwoLevelReal2.out.expected: -------------------------------------------------------------------------------- 1 | real number: 243.2 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/parameters/string/sysStringParam.out.expected: -------------------------------------------------------------------------------- 1 | Did this work? 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/parameters/sysModulePort_WithStaticValue_TopLevel.v.out.expected: -------------------------------------------------------------------------------- 1 | b = z 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/parameters/sysModulePort_WithStaticValue_TwoLevel.out.expected: -------------------------------------------------------------------------------- 1 | b = 1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/parameters/sysParamExpr_Param.out.expected: -------------------------------------------------------------------------------- 1 | b = 1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/parameters/sysParamTest.out.expected: -------------------------------------------------------------------------------- 1 | res = 17 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/positivereset/nameclash/sysTest3.out.expected: -------------------------------------------------------------------------------- 1 | final rule 0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/positivereset/nameclash/sysTest4.out.expected: -------------------------------------------------------------------------------- 1 | final rule 0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/schedule/sysEspositoPreempt.out.expected: -------------------------------------------------------------------------------- 1 | b 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/sysSimpleFF.out.expected: -------------------------------------------------------------------------------- 1 | 0x00 2 | 0x01 3 | 30 4 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/sysSimpleFF.v.out.expected: -------------------------------------------------------------------------------- 1 | 0x00 2 | 0x01 3 | 25 4 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/data.txt: -------------------------------------------------------------------------------- 1 | 172 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/plusargs/sysTestPlusargsSimple.out.expected: -------------------------------------------------------------------------------- 1 | v = 0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/plusargs/sysTestPlusargsSimple.test1.out.expected: -------------------------------------------------------------------------------- 1 | v = 1 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/plusargs/sysTestPlusargsSimple.test2.out.expected: -------------------------------------------------------------------------------- 1 | v = 0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/sysErrorTest.out.expected: -------------------------------------------------------------------------------- 1 | foo 2 | bar 3 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/sysExitTest.out.expected: -------------------------------------------------------------------------------- 1 | before exit 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/sysFFReadTest.out.expected: -------------------------------------------------------------------------------- 1 | 0x0007 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/sysFFReadTest2.out.expected: -------------------------------------------------------------------------------- 1 | 0x0007 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/sysLineDisplay.out.expected: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/sysNegativeDisplay.out.expected: -------------------------------------------------------------------------------- 1 | -5 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/sysNegativeDisplay2.out.expected: -------------------------------------------------------------------------------- 1 | -5 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/sysSWrite64.out.expected: -------------------------------------------------------------------------------- 1 | 3132333435363738 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/sysZeroDisplay.out.expected: -------------------------------------------------------------------------------- 1 | Test: ed0 2 | -------------------------------------------------------------------------------- /testsuite/bsc.verilog/tasks/sysZeroUnsignedDisplay.out.expected: -------------------------------------------------------------------------------- 1 | Test: 0 2 | -------------------------------------------------------------------------------- /testsuite/clean.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/clean.mk -------------------------------------------------------------------------------- /testsuite/cleanonly.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/cleanonly.mk -------------------------------------------------------------------------------- /testsuite/config/unix.exp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/config/unix.exp -------------------------------------------------------------------------------- /testsuite/findfailures.csh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/findfailures.csh -------------------------------------------------------------------------------- /testsuite/lib/bsc.exp: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /testsuite/norealclean.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/norealclean.mk -------------------------------------------------------------------------------- /testsuite/parallel.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/parallel.mk -------------------------------------------------------------------------------- /testsuite/realclean.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/realclean.mk -------------------------------------------------------------------------------- /testsuite/site.exp: -------------------------------------------------------------------------------- 1 | set tool bsc 2 | -------------------------------------------------------------------------------- /testsuite/suitemake.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/suitemake.mk -------------------------------------------------------------------------------- /testsuite/test_list.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/testsuite/test_list.sh -------------------------------------------------------------------------------- /util/emacs/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/emacs/Makefile -------------------------------------------------------------------------------- /util/emacs/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/emacs/README -------------------------------------------------------------------------------- /util/emacs/bsvtags: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/emacs/bsvtags -------------------------------------------------------------------------------- /util/emacs/mark.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/emacs/mark.el -------------------------------------------------------------------------------- /util/enscript/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/enscript/Makefile -------------------------------------------------------------------------------- /util/enscript/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/enscript/README -------------------------------------------------------------------------------- /util/enscript/bsv.st: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/enscript/bsv.st -------------------------------------------------------------------------------- /util/jedit/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/jedit/Makefile -------------------------------------------------------------------------------- /util/jedit/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/jedit/README -------------------------------------------------------------------------------- /util/jedit/bsv.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/jedit/bsv.xml -------------------------------------------------------------------------------- /util/scripts/.gitignore: -------------------------------------------------------------------------------- 1 | enum.exe 2 | -------------------------------------------------------------------------------- /util/scripts/basicinout.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/scripts/basicinout.pl -------------------------------------------------------------------------------- /util/scripts/btags: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/scripts/btags -------------------------------------------------------------------------------- /util/vagrant/Vagrantfile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/vagrant/Vagrantfile -------------------------------------------------------------------------------- /util/vagrant/provision.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/vagrant/provision.sh -------------------------------------------------------------------------------- /util/vim/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/vim/Makefile -------------------------------------------------------------------------------- /util/vim/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/vim/README -------------------------------------------------------------------------------- /util/vim/ftdetect/bsv.vim: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/vim/ftdetect/bsv.vim -------------------------------------------------------------------------------- /util/vim/indent/bsv.vim: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/vim/indent/bsv.vim -------------------------------------------------------------------------------- /util/vim/syntax/bsv.vim: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/B-Lang-org/bsc/HEAD/util/vim/syntax/bsv.vim --------------------------------------------------------------------------------