├── report ├── Booth乘法器.docx ├── Booth乘法器.pdf ├── No.01 第一条CPU指令(ORI).docx ├── No.01 第一条CPU指令(ORI).pdf ├── No.02 解决数据相关问题并添加逻辑和移位指令.docx ├── No.02 解决数据相关问题并添加逻辑和移位指令.pdf ├── No.03 移动操作指令的添加.docx ├── No.03 移动操作指令的添加.pdf ├── No.04 算数操作指令的实现.docx ├── No.05 流水线暂停机制的设计与实现.docx ├── No.05 流水线暂停机制的设计与实现.pdf ├── No.06 乘累加和乘累减指令的实现.docx ├── No.06 乘累加和乘累减指令的实现.pdf ├── No.07 除法指令的实现.docx ├── No.07 除法指令的实现.pdf ├── No.08 转移指令的实现.docx ├── No.08 转移指令的实现.pdf ├── No.09 加载存储指令的实现.docx ├── No.09 加载存储指令的实现.pdf ├── No.10 协处理器访问指令的实现.docx ├── No.10 协处理器访问指令的实现.pdf ├── No.11 异常相关指令的实现.docx ├── No.11 异常相关指令的实现.pdf ├── verilog设计乘法器01.docx ├── 一位半加器和一位全加器——包敏杨.docx ├── 一位半加器和一位全加器——包敏杨.pdf ├── 三周学习的感悟.docx ├── 三周学习的感悟.pdf ├── 串口通信——包敏杨.docx ├── 串口通信——包敏杨.pdf ├── 乘法器——包敏杨.docx ├── 乘法器——包敏杨.pdf ├── 在Linux环境下用gcc工具进行链接和编译.docx ├── 在Linux环境下用gcc工具进行链接和编译.pdf ├── 移位相加乘法器(Debug后).docx ├── 移位相加乘法器(Debug后).pdf ├── 调试错误结果.txt ├── 超前进位加法器和乘法器——包敏杨.docx └── 超前进位加法器和乘法器——包敏杨.pdf ├── src ├── OpenMIPS五级流水结构图.jpg ├── multiplier │ ├── multiplier.cache │ │ └── wt │ │ │ ├── gui_handlers.wdf │ │ │ ├── java_command_handlers.wdf │ │ │ ├── project.wpc │ │ │ ├── webtalk_pa.xml │ │ │ └── xsim.wdf │ ├── multiplier.hw │ │ └── multiplier.lpr │ ├── multiplier.ip_user_files │ │ └── README.txt │ ├── multiplier.sim │ │ └── sim_1 │ │ │ └── behav │ │ │ └── xsim │ │ │ ├── compile.bat │ │ │ ├── compile.log │ │ │ ├── elaborate.bat │ │ │ ├── elaborate.log │ │ │ ├── glbl.v │ │ │ ├── simulate.bat │ │ │ ├── simulate.log │ │ │ ├── tb_mac.tcl │ │ │ ├── tb_mac_behav.wdb │ │ │ ├── tb_mac_vlog.prj │ │ │ ├── tb_mul_Booth.tcl │ │ │ ├── tb_mul_Booth_behav.wdb │ │ │ ├── tb_mul_Booth_vlog.prj │ │ │ ├── tb_mult_shift_add.tcl │ │ │ ├── tb_mult_shift_add_behav.wdb │ │ │ ├── tb_mult_shift_add_vlog.prj │ │ │ ├── webtalk.jou │ │ │ ├── webtalk.log │ │ │ ├── webtalk_11348.backup.jou │ │ │ ├── webtalk_11348.backup.log │ │ │ ├── webtalk_12212.backup.jou │ │ │ ├── webtalk_12212.backup.log │ │ │ ├── webtalk_14676.backup.jou │ │ │ ├── webtalk_14676.backup.log │ │ │ ├── webtalk_3532.backup.jou │ │ │ ├── webtalk_3532.backup.log │ │ │ ├── webtalk_5456.backup.jou │ │ │ ├── webtalk_5456.backup.log │ │ │ ├── xelab.pb │ │ │ ├── xsim.dir │ │ │ ├── tb_mac_behav │ │ │ │ ├── Compile_Options.txt │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ ├── obj │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ ├── webtalk │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ │ │ └── xsim_webtalk.tcl │ │ │ │ ├── xsim.dbg │ │ │ │ ├── xsim.mem │ │ │ │ ├── xsim.reloc │ │ │ │ ├── xsim.rlx │ │ │ │ ├── xsim.rtti │ │ │ │ ├── xsim.svtype │ │ │ │ ├── xsim.type │ │ │ │ ├── xsim.xdbg │ │ │ │ ├── xsimSettings.ini │ │ │ │ ├── xsimcrash.log │ │ │ │ ├── xsimk.exe │ │ │ │ └── xsimkernel.log │ │ │ ├── tb_mul_Booth_behav │ │ │ │ ├── Compile_Options.txt │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ ├── obj │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ ├── webtalk │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ │ │ └── xsim_webtalk.tcl │ │ │ │ ├── xsim.dbg │ │ │ │ ├── xsim.mem │ │ │ │ ├── xsim.reloc │ │ │ │ ├── xsim.rlx │ │ │ │ ├── xsim.rtti │ │ │ │ ├── xsim.svtype │ │ │ │ ├── xsim.type │ │ │ │ ├── xsim.xdbg │ │ │ │ ├── xsimSettings.ini │ │ │ │ ├── xsimcrash.log │ │ │ │ ├── xsimk.exe │ │ │ │ └── xsimkernel.log │ │ │ ├── tb_mult_shift_add_behav │ │ │ │ ├── Compile_Options.txt │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ ├── obj │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ ├── webtalk │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ │ │ └── xsim_webtalk.tcl │ │ │ │ ├── xsim.dbg │ │ │ │ ├── xsim.mem │ │ │ │ ├── xsim.reloc │ │ │ │ ├── xsim.rlx │ │ │ │ ├── xsim.rtti │ │ │ │ ├── xsim.svtype │ │ │ │ ├── xsim.type │ │ │ │ ├── xsim.xdbg │ │ │ │ ├── xsimSettings.ini │ │ │ │ ├── xsimcrash.log │ │ │ │ ├── xsimk.exe │ │ │ │ └── xsimkernel.log │ │ │ └── xil_defaultlib │ │ │ │ ├── glbl.sdb │ │ │ │ ├── mac.sdb │ │ │ │ ├── mul_@booth.sdb │ │ │ │ ├── mult_shift_add.sdb │ │ │ │ ├── tb_mac.sdb │ │ │ │ ├── tb_mul_@booth.sdb │ │ │ │ ├── tb_mult_shift_add.sdb │ │ │ │ └── xil_defaultlib.rlx │ │ │ ├── xsim.ini │ │ │ ├── xvlog.log │ │ │ └── xvlog.pb │ ├── multiplier.srcs │ │ ├── sim_1 │ │ │ └── new │ │ │ │ ├── tb_mac.v │ │ │ │ ├── tb_mul_Booth.v │ │ │ │ └── tb_mult_shift_add.v │ │ └── sources_1 │ │ │ └── new │ │ │ ├── mac.v │ │ │ ├── mul_Booth.v │ │ │ ├── mult_shift_add.v │ │ │ ├── multiply1.v │ │ │ └── multiply2.v │ ├── multiplier.xpr │ ├── tb_mac_behav.wcfg │ └── tb_mul_Booth_behav.wcfg ├── mux4_1 │ ├── mux4_1.cache │ │ └── wt │ │ │ ├── gui_handlers.wdf │ │ │ ├── java_command_handlers.wdf │ │ │ ├── project.wpc │ │ │ ├── webtalk_pa.xml │ │ │ └── xsim.wdf │ ├── mux4_1.hw │ │ └── mux4_1.lpr │ ├── mux4_1.ip_user_files │ │ └── README.txt │ ├── mux4_1.sim │ │ └── sim_1 │ │ │ └── behav │ │ │ └── xsim │ │ │ ├── compile.bat │ │ │ ├── compile.log │ │ │ ├── elaborate.bat │ │ │ ├── elaborate.log │ │ │ ├── glbl.v │ │ │ ├── simulate.bat │ │ │ ├── simulate.log │ │ │ ├── tb_full4_adder.tcl │ │ │ ├── tb_full4_adder_behav.wdb │ │ │ ├── tb_full4_adder_vlog.prj │ │ │ ├── tb_full_adder.tcl │ │ │ ├── tb_full_adder_behav.wdb │ │ │ ├── tb_full_adder_vlog.prj │ │ │ ├── tb_half_adder.tcl │ │ │ ├── tb_half_adder_behav.wdb │ │ │ ├── tb_half_adder_vlog.prj │ │ │ ├── webtalk.jou │ │ │ ├── webtalk.log │ │ │ ├── webtalk_13896.backup.jou │ │ │ ├── webtalk_13896.backup.log │ │ │ ├── webtalk_14300.backup.jou │ │ │ ├── webtalk_14300.backup.log │ │ │ ├── webtalk_5272.backup.jou │ │ │ ├── webtalk_5272.backup.log │ │ │ ├── webtalk_8424.backup.jou │ │ │ ├── webtalk_8424.backup.log │ │ │ ├── webtalk_9208.backup.jou │ │ │ ├── webtalk_9208.backup.log │ │ │ ├── xelab.pb │ │ │ ├── xsim.dir │ │ │ ├── tb_full4_adder_behav │ │ │ │ ├── Compile_Options.txt │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ ├── obj │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ ├── webtalk │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ │ │ └── xsim_webtalk.tcl │ │ │ │ ├── xsim.dbg │ │ │ │ ├── xsim.mem │ │ │ │ ├── xsim.reloc │ │ │ │ ├── xsim.rlx │ │ │ │ ├── xsim.rtti │ │ │ │ ├── xsim.svtype │ │ │ │ ├── xsim.type │ │ │ │ ├── xsim.xdbg │ │ │ │ ├── xsimSettings.ini │ │ │ │ ├── xsimcrash.log │ │ │ │ ├── xsimk.exe │ │ │ │ └── xsimkernel.log │ │ │ ├── tb_full_adder_behav │ │ │ │ ├── Compile_Options.txt │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ ├── obj │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ ├── webtalk │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ │ │ └── xsim_webtalk.tcl │ │ │ │ ├── xsim.dbg │ │ │ │ ├── xsim.mem │ │ │ │ ├── xsim.reloc │ │ │ │ ├── xsim.rlx │ │ │ │ ├── xsim.rtti │ │ │ │ ├── xsim.svtype │ │ │ │ ├── xsim.type │ │ │ │ ├── xsim.xdbg │ │ │ │ ├── xsimSettings.ini │ │ │ │ ├── xsimcrash.log │ │ │ │ ├── xsimk.exe │ │ │ │ └── xsimkernel.log │ │ │ ├── tb_half_adder_behav │ │ │ │ ├── Compile_Options.txt │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ ├── obj │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ ├── webtalk │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ │ │ └── xsim_webtalk.tcl │ │ │ │ ├── xsim.dbg │ │ │ │ ├── xsim.mem │ │ │ │ ├── xsim.reloc │ │ │ │ ├── xsim.rlx │ │ │ │ ├── xsim.rtti │ │ │ │ ├── xsim.svtype │ │ │ │ ├── xsim.type │ │ │ │ ├── xsim.xdbg │ │ │ │ ├── xsimSettings.ini │ │ │ │ ├── xsimcrash.log │ │ │ │ ├── xsimk.exe │ │ │ │ └── xsimkernel.log │ │ │ └── xil_defaultlib │ │ │ │ ├── full4_adder3.sdb │ │ │ │ ├── full4_adder_serial.sdb │ │ │ │ ├── full_adder1.sdb │ │ │ │ ├── full_adder2.sdb │ │ │ │ ├── full_adder3.sdb │ │ │ │ ├── glbl.sdb │ │ │ │ ├── half_adder1.sdb │ │ │ │ ├── half_adder2.sdb │ │ │ │ ├── half_adder3.sdb │ │ │ │ ├── tb_full4_adder.sdb │ │ │ │ ├── tb_full_adder.sdb │ │ │ │ ├── tb_half_adder.sdb │ │ │ │ └── xil_defaultlib.rlx │ │ │ ├── xsim.ini │ │ │ ├── xvlog.log │ │ │ └── xvlog.pb │ ├── mux4_1.srcs │ │ ├── sim_1 │ │ │ └── new │ │ │ │ ├── tb_full4_adder.v │ │ │ │ ├── tb_full_adder.v │ │ │ │ └── tb_half_adder.v │ │ └── sources_1 │ │ │ └── new │ │ │ ├── full4_adder3.v │ │ │ ├── full4_adder_serial.v │ │ │ ├── full_adder1.v │ │ │ ├── full_adder2.v │ │ │ ├── full_adder3.v │ │ │ ├── half_adder1.v │ │ │ ├── half_adder2.v │ │ │ ├── half_adder3.v │ │ │ └── mux4_1.v │ ├── mux4_1.xpr │ └── tb_full4_adder_behav.wcfg ├── mymips │ ├── mymips.cache │ │ └── wt │ │ │ ├── gui_handlers.wdf │ │ │ ├── java_command_handlers.wdf │ │ │ ├── project.wpc │ │ │ ├── synthesis.wdf │ │ │ ├── synthesis_details.wdf │ │ │ ├── webtalk_pa.xml │ │ │ └── xsim.wdf │ ├── mymips.hw │ │ └── mymips.lpr │ ├── mymips.ip_user_files │ │ └── README.txt │ ├── mymips.runs │ │ ├── .jobs │ │ │ ├── vrs_config_1.xml │ │ │ └── vrs_config_2.xml │ │ └── synth_1 │ │ │ ├── .stop.rst │ │ │ ├── .vivado.end.rst │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── __synthesis_is_complete__ │ │ │ ├── exception.log │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── openmips.tcl │ │ │ ├── openmips_min_sopc.dcp │ │ │ ├── openmips_min_sopc.tcl │ │ │ ├── openmips_min_sopc.vds │ │ │ ├── openmips_min_sopc_utilization_synth.pb │ │ │ ├── openmips_min_sopc_utilization_synth.rpt │ │ │ ├── project.wdf │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── vivado.jou │ │ │ └── vivado.pb │ ├── mymips.sim │ │ └── sim_1 │ │ │ └── behav │ │ │ └── xsim │ │ │ ├── compile.bat │ │ │ ├── compile.log │ │ │ ├── elaborate.bat │ │ │ ├── elaborate.log │ │ │ ├── glbl.v │ │ │ ├── inst_rom.data │ │ │ ├── simulate.bat │ │ │ ├── simulate.log │ │ │ ├── tb_openmips_min_sopc.tcl │ │ │ ├── tb_openmips_min_sopc_behav.wdb │ │ │ ├── tb_openmips_min_sopc_vlog.prj │ │ │ ├── webtalk.jou │ │ │ ├── webtalk.log │ │ │ ├── webtalk_10768.backup.jou │ │ │ ├── webtalk_10768.backup.log │ │ │ ├── webtalk_12884.backup.jou │ │ │ ├── webtalk_12884.backup.log │ │ │ ├── webtalk_13360.backup.jou │ │ │ ├── webtalk_13360.backup.log │ │ │ ├── webtalk_2276.backup.jou │ │ │ ├── webtalk_2276.backup.log │ │ │ ├── webtalk_2408.backup.jou │ │ │ ├── webtalk_2408.backup.log │ │ │ ├── xelab.pb │ │ │ ├── xsim.dir │ │ │ ├── tb_openmips_min_sopc_behav │ │ │ │ ├── Compile_Options.txt │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ ├── obj │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ ├── webtalk │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ │ │ └── xsim_webtalk.tcl │ │ │ │ ├── xsim.dbg │ │ │ │ ├── xsim.mem │ │ │ │ ├── xsim.reloc │ │ │ │ ├── xsim.rlx │ │ │ │ ├── xsim.rtti │ │ │ │ ├── xsim.svtype │ │ │ │ ├── xsim.type │ │ │ │ ├── xsim.xdbg │ │ │ │ ├── xsimSettings.ini │ │ │ │ ├── xsimcrash.log │ │ │ │ ├── xsimk.exe │ │ │ │ └── xsimkernel.log │ │ │ └── xil_defaultlib │ │ │ │ ├── @l@lbit_reg.sdb │ │ │ │ ├── cp0_reg.sdb │ │ │ │ ├── ctrl.sdb │ │ │ │ ├── data_ram.sdb │ │ │ │ ├── div.sdb │ │ │ │ ├── ex.sdb │ │ │ │ ├── ex_mem.sdb │ │ │ │ ├── glbl.sdb │ │ │ │ ├── hilo_reg.sdb │ │ │ │ ├── id.sdb │ │ │ │ ├── id_ex.sdb │ │ │ │ ├── if_id.sdb │ │ │ │ ├── inst_rom.sdb │ │ │ │ ├── mem.sdb │ │ │ │ ├── mem_wb.sdb │ │ │ │ ├── openmips.sdb │ │ │ │ ├── openmips_min_sopc.sdb │ │ │ │ ├── pc_reg.sdb │ │ │ │ ├── regfile.sdb │ │ │ │ ├── tb_openmips_min_sopc.sdb │ │ │ │ └── xil_defaultlib.rlx │ │ │ ├── xsim.ini │ │ │ ├── xvlog.log │ │ │ └── xvlog.pb │ ├── mymips.srcs │ │ ├── constrs_1 │ │ │ └── new │ │ │ │ └── mycpu.xdc │ │ ├── sim_1 │ │ │ └── new │ │ │ │ └── tb_openmips_min_sopc.v │ │ └── sources_1 │ │ │ └── new │ │ │ ├── LLbit_reg.v │ │ │ ├── cp0_reg.v │ │ │ ├── ctrl.v │ │ │ ├── data_ram.v │ │ │ ├── define.v │ │ │ ├── div.v │ │ │ ├── ex.v │ │ │ ├── ex_mem.v │ │ │ ├── hilo_reg.v │ │ │ ├── id.v │ │ │ ├── id_ex.v │ │ │ ├── if_id.v │ │ │ ├── inst_rom.v │ │ │ ├── mem.v │ │ │ ├── mem_wb.v │ │ │ ├── openmips.v │ │ │ ├── openmips_min_sopc.v │ │ │ ├── pc_reg.v │ │ │ └── regfile.v │ ├── mymips.xpr │ └── tb_openmips_min_sopc_behav.wcfg ├── uart │ ├── tb_uart_tx_top_behav.wcfg │ ├── uart.cache │ │ └── wt │ │ │ ├── gui_handlers.wdf │ │ │ ├── java_command_handlers.wdf │ │ │ ├── project.wpc │ │ │ ├── synthesis.wdf │ │ │ ├── synthesis_details.wdf │ │ │ ├── webtalk_pa.xml │ │ │ └── xsim.wdf │ ├── uart.hw │ │ ├── hw_1 │ │ │ └── hw.xml │ │ └── uart.lpr │ ├── uart.ip_user_files │ │ └── README.txt │ ├── uart.runs │ │ ├── .jobs │ │ │ ├── vrs_config_1.xml │ │ │ ├── vrs_config_10.xml │ │ │ ├── vrs_config_11.xml │ │ │ ├── vrs_config_12.xml │ │ │ ├── vrs_config_13.xml │ │ │ ├── vrs_config_14.xml │ │ │ ├── vrs_config_15.xml │ │ │ ├── vrs_config_16.xml │ │ │ ├── vrs_config_17.xml │ │ │ ├── vrs_config_18.xml │ │ │ ├── vrs_config_19.xml │ │ │ ├── vrs_config_2.xml │ │ │ ├── vrs_config_20.xml │ │ │ ├── vrs_config_3.xml │ │ │ ├── vrs_config_4.xml │ │ │ ├── vrs_config_5.xml │ │ │ ├── vrs_config_6.xml │ │ │ ├── vrs_config_7.xml │ │ │ ├── vrs_config_8.xml │ │ │ └── vrs_config_9.xml │ │ ├── impl_1 │ │ │ ├── .Vivado_Implementation.queue.rst │ │ │ ├── .init_design.begin.rst │ │ │ ├── .init_design.end.rst │ │ │ ├── .opt_design.begin.rst │ │ │ ├── .opt_design.end.rst │ │ │ ├── .place_design.begin.rst │ │ │ ├── .place_design.end.rst │ │ │ ├── .route_design.begin.rst │ │ │ ├── .route_design.end.rst │ │ │ ├── .vivado.begin.rst │ │ │ ├── .vivado.error.rst │ │ │ ├── .write_bitstream.begin.rst │ │ │ ├── .write_bitstream.error.rst │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── baudrate_gen.dcp │ │ │ ├── baudrate_gen.tcl │ │ │ ├── baudrate_gen.vdi │ │ │ ├── baudrate_gen_1376.backup.vdi │ │ │ ├── baudrate_gen_bus_skew_routed.pb │ │ │ ├── baudrate_gen_bus_skew_routed.rpt │ │ │ ├── baudrate_gen_bus_skew_routed.rpx │ │ │ ├── baudrate_gen_clock_utilization_routed.rpt │ │ │ ├── baudrate_gen_control_sets_placed.rpt │ │ │ ├── baudrate_gen_drc_opted.pb │ │ │ ├── baudrate_gen_drc_opted.rpt │ │ │ ├── baudrate_gen_drc_opted.rpx │ │ │ ├── baudrate_gen_drc_routed.pb │ │ │ ├── baudrate_gen_drc_routed.rpt │ │ │ ├── baudrate_gen_drc_routed.rpx │ │ │ ├── baudrate_gen_io_placed.rpt │ │ │ ├── baudrate_gen_methodology_drc_routed.pb │ │ │ ├── baudrate_gen_methodology_drc_routed.rpt │ │ │ ├── baudrate_gen_methodology_drc_routed.rpx │ │ │ ├── baudrate_gen_opt.dcp │ │ │ ├── baudrate_gen_placed.dcp │ │ │ ├── baudrate_gen_power_routed.rpt │ │ │ ├── baudrate_gen_power_routed.rpx │ │ │ ├── baudrate_gen_power_summary_routed.pb │ │ │ ├── baudrate_gen_route_status.pb │ │ │ ├── baudrate_gen_route_status.rpt │ │ │ ├── baudrate_gen_routed.dcp │ │ │ ├── baudrate_gen_timing_summary_routed.pb │ │ │ ├── baudrate_gen_timing_summary_routed.rpt │ │ │ ├── baudrate_gen_timing_summary_routed.rpx │ │ │ ├── baudrate_gen_utilization_placed.pb │ │ │ ├── baudrate_gen_utilization_placed.rpt │ │ │ ├── exception.log │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── init_design.pb │ │ │ ├── opt_design.pb │ │ │ ├── place_design.pb │ │ │ ├── project.wdf │ │ │ ├── route_design.pb │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── vivado.jou │ │ │ ├── vivado.pb │ │ │ ├── vivado_13588.backup.jou │ │ │ ├── vivado_1376.backup.jou │ │ │ ├── vivado_2668.backup.jou │ │ │ └── write_bitstream.pb │ │ ├── impl_2 │ │ │ ├── .Vivado_Implementation.queue.rst │ │ │ ├── .init_design.begin.rst │ │ │ ├── .init_design.end.rst │ │ │ ├── .opt_design.begin.rst │ │ │ ├── .opt_design.end.rst │ │ │ ├── .place_design.begin.rst │ │ │ ├── .place_design.end.rst │ │ │ ├── .route_design.begin.rst │ │ │ ├── .route_design.end.rst │ │ │ ├── .vivado.begin.rst │ │ │ ├── .vivado.error.rst │ │ │ ├── .write_bitstream.begin.rst │ │ │ ├── .write_bitstream.error.rst │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── baudrate_gen.dcp │ │ │ ├── baudrate_gen.tcl │ │ │ ├── baudrate_gen.vdi │ │ │ ├── baudrate_gen_13128.backup.vdi │ │ │ ├── baudrate_gen_3408.backup.vdi │ │ │ ├── baudrate_gen_bus_skew_routed.pb │ │ │ ├── baudrate_gen_bus_skew_routed.rpt │ │ │ ├── baudrate_gen_bus_skew_routed.rpx │ │ │ ├── baudrate_gen_clock_utilization_routed.rpt │ │ │ ├── baudrate_gen_control_sets_placed.rpt │ │ │ ├── baudrate_gen_drc_opted.pb │ │ │ ├── baudrate_gen_drc_opted.rpt │ │ │ ├── baudrate_gen_drc_opted.rpx │ │ │ ├── baudrate_gen_drc_routed.pb │ │ │ ├── baudrate_gen_drc_routed.rpt │ │ │ ├── baudrate_gen_drc_routed.rpx │ │ │ ├── baudrate_gen_io_placed.rpt │ │ │ ├── baudrate_gen_methodology_drc_routed.pb │ │ │ ├── baudrate_gen_methodology_drc_routed.rpt │ │ │ ├── baudrate_gen_methodology_drc_routed.rpx │ │ │ ├── baudrate_gen_opt.dcp │ │ │ ├── baudrate_gen_placed.dcp │ │ │ ├── baudrate_gen_power_routed.rpt │ │ │ ├── baudrate_gen_power_routed.rpx │ │ │ ├── baudrate_gen_power_summary_routed.pb │ │ │ ├── baudrate_gen_route_status.pb │ │ │ ├── baudrate_gen_route_status.rpt │ │ │ ├── baudrate_gen_routed.dcp │ │ │ ├── baudrate_gen_timing_summary_routed.pb │ │ │ ├── baudrate_gen_timing_summary_routed.rpt │ │ │ ├── baudrate_gen_timing_summary_routed.rpx │ │ │ ├── baudrate_gen_utilization_placed.pb │ │ │ ├── baudrate_gen_utilization_placed.rpt │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── init_design.pb │ │ │ ├── opt_design.pb │ │ │ ├── place_design.pb │ │ │ ├── project.wdf │ │ │ ├── route_design.pb │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── vivado.jou │ │ │ ├── vivado.pb │ │ │ ├── vivado_13128.backup.jou │ │ │ ├── vivado_13276.backup.jou │ │ │ ├── vivado_3408.backup.jou │ │ │ └── write_bitstream.pb │ │ ├── impl_4 │ │ │ ├── .Vivado_Implementation.queue.rst │ │ │ ├── .init_design.begin.rst │ │ │ ├── .init_design.end.rst │ │ │ ├── .opt_design.begin.rst │ │ │ ├── .opt_design.end.rst │ │ │ ├── .place_design.begin.rst │ │ │ ├── .place_design.end.rst │ │ │ ├── .route_design.begin.rst │ │ │ ├── .route_design.end.rst │ │ │ ├── .vivado.begin.rst │ │ │ ├── .vivado.end.rst │ │ │ ├── .write_bitstream.begin.rst │ │ │ ├── .write_bitstream.end.rst │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── init_design.pb │ │ │ ├── opt_design.pb │ │ │ ├── place_design.pb │ │ │ ├── project.wdf │ │ │ ├── route_design.pb │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── uart_tx_top.bit │ │ │ ├── uart_tx_top.tcl │ │ │ ├── uart_tx_top.vdi │ │ │ ├── uart_tx_top_15656.backup.vdi │ │ │ ├── uart_tx_top_bus_skew_routed.pb │ │ │ ├── uart_tx_top_bus_skew_routed.rpt │ │ │ ├── uart_tx_top_bus_skew_routed.rpx │ │ │ ├── uart_tx_top_clock_utilization_routed.rpt │ │ │ ├── uart_tx_top_control_sets_placed.rpt │ │ │ ├── uart_tx_top_drc_opted.pb │ │ │ ├── uart_tx_top_drc_opted.rpt │ │ │ ├── uart_tx_top_drc_opted.rpx │ │ │ ├── uart_tx_top_drc_routed.pb │ │ │ ├── uart_tx_top_drc_routed.rpt │ │ │ ├── uart_tx_top_drc_routed.rpx │ │ │ ├── uart_tx_top_io_placed.rpt │ │ │ ├── uart_tx_top_methodology_drc_routed.pb │ │ │ ├── uart_tx_top_methodology_drc_routed.rpt │ │ │ ├── uart_tx_top_methodology_drc_routed.rpx │ │ │ ├── uart_tx_top_opt.dcp │ │ │ ├── uart_tx_top_placed.dcp │ │ │ ├── uart_tx_top_power_routed.rpt │ │ │ ├── uart_tx_top_power_routed.rpx │ │ │ ├── uart_tx_top_power_summary_routed.pb │ │ │ ├── uart_tx_top_route_status.pb │ │ │ ├── uart_tx_top_route_status.rpt │ │ │ ├── uart_tx_top_routed.dcp │ │ │ ├── uart_tx_top_timing_summary_routed.pb │ │ │ ├── uart_tx_top_timing_summary_routed.rpt │ │ │ ├── uart_tx_top_timing_summary_routed.rpx │ │ │ ├── uart_tx_top_utilization_placed.pb │ │ │ ├── uart_tx_top_utilization_placed.rpt │ │ │ ├── usage_statistics_webtalk.html │ │ │ ├── usage_statistics_webtalk.xml │ │ │ ├── vivado.jou │ │ │ ├── vivado.pb │ │ │ ├── vivado_15656.backup.jou │ │ │ └── write_bitstream.pb │ │ ├── synth_1 │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ ├── .Xil │ │ │ │ └── baudrate_gen_propImpl.xdc │ │ │ ├── .vivado.begin.rst │ │ │ ├── .vivado.end.rst │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── __synthesis_is_complete__ │ │ │ ├── baudrate_gen.dcp │ │ │ ├── baudrate_gen.tcl │ │ │ ├── baudrate_gen.vds │ │ │ ├── baudrate_gen_utilization_synth.pb │ │ │ ├── baudrate_gen_utilization_synth.rpt │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── project.wdf │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── vivado.jou │ │ │ └── vivado.pb │ │ ├── synth_2 │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ ├── .Xil │ │ │ │ └── baudrate_gen_propImpl.xdc │ │ │ ├── .vivado.begin.rst │ │ │ ├── .vivado.end.rst │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── __synthesis_is_complete__ │ │ │ ├── baudrate_gen.dcp │ │ │ ├── baudrate_gen.tcl │ │ │ ├── baudrate_gen.vds │ │ │ ├── baudrate_gen_utilization_synth.pb │ │ │ ├── baudrate_gen_utilization_synth.rpt │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── vivado.jou │ │ │ └── vivado.pb │ │ └── synth_4 │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ ├── .Xil │ │ │ └── uart_tx_top_propImpl.xdc │ │ │ ├── .vivado.begin.rst │ │ │ ├── .vivado.end.rst │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── __synthesis_is_complete__ │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── uart_tx_top.dcp │ │ │ ├── uart_tx_top.tcl │ │ │ ├── uart_tx_top.vds │ │ │ ├── uart_tx_top_utilization_synth.pb │ │ │ ├── uart_tx_top_utilization_synth.rpt │ │ │ ├── vivado.jou │ │ │ └── vivado.pb │ ├── uart.sim │ │ └── sim_1 │ │ │ ├── behav │ │ │ └── xsim │ │ │ │ ├── compile.bat │ │ │ │ ├── compile.log │ │ │ │ ├── elaborate.bat │ │ │ │ ├── elaborate.log │ │ │ │ ├── glbl.v │ │ │ │ ├── simulate.bat │ │ │ │ ├── simulate.log │ │ │ │ ├── tb_baudrate_gen.tcl │ │ │ │ ├── tb_baudrate_gen_behav.wdb │ │ │ │ ├── tb_baudrate_gen_vlog.prj │ │ │ │ ├── tb_uart_tx_top.tcl │ │ │ │ ├── tb_uart_tx_top_behav.wdb │ │ │ │ ├── tb_uart_tx_top_vlog.prj │ │ │ │ ├── webtalk.jou │ │ │ │ ├── webtalk.log │ │ │ │ ├── webtalk_3936.backup.jou │ │ │ │ ├── webtalk_3936.backup.log │ │ │ │ ├── webtalk_6420.backup.jou │ │ │ │ ├── webtalk_6420.backup.log │ │ │ │ ├── webtalk_6476.backup.jou │ │ │ │ ├── webtalk_6476.backup.log │ │ │ │ ├── webtalk_728.backup.jou │ │ │ │ ├── webtalk_728.backup.log │ │ │ │ ├── webtalk_9796.backup.jou │ │ │ │ ├── webtalk_9796.backup.log │ │ │ │ ├── xelab.pb │ │ │ │ ├── xsim.dir │ │ │ │ ├── tb_baudrate_gen_behav │ │ │ │ │ ├── Compile_Options.txt │ │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ │ ├── obj │ │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ │ ├── webtalk │ │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ │ │ │ └── xsim_webtalk.tcl │ │ │ │ │ ├── xsim.dbg │ │ │ │ │ ├── xsim.mem │ │ │ │ │ ├── xsim.reloc │ │ │ │ │ ├── xsim.rlx │ │ │ │ │ ├── xsim.rtti │ │ │ │ │ ├── xsim.svtype │ │ │ │ │ ├── xsim.type │ │ │ │ │ ├── xsim.xdbg │ │ │ │ │ ├── xsimSettings.ini │ │ │ │ │ ├── xsimcrash.log │ │ │ │ │ ├── xsimk.exe │ │ │ │ │ └── xsimkernel.log │ │ │ │ ├── tb_uart_tx_top_behav │ │ │ │ │ ├── Compile_Options.txt │ │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ │ ├── obj │ │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ │ ├── webtalk │ │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ │ │ │ └── xsim_webtalk.tcl │ │ │ │ │ ├── xsim.dbg │ │ │ │ │ ├── xsim.mem │ │ │ │ │ ├── xsim.reloc │ │ │ │ │ ├── xsim.rlx │ │ │ │ │ ├── xsim.rtti │ │ │ │ │ ├── xsim.svtype │ │ │ │ │ ├── xsim.type │ │ │ │ │ ├── xsim.xdbg │ │ │ │ │ ├── xsimSettings.ini │ │ │ │ │ ├── xsimcrash.log │ │ │ │ │ ├── xsimk.exe │ │ │ │ │ └── xsimkernel.log │ │ │ │ └── xil_defaultlib │ │ │ │ │ ├── baudrate_gen.sdb │ │ │ │ │ ├── glbl.sdb │ │ │ │ │ ├── tb_baudrate_gen.sdb │ │ │ │ │ ├── tb_uart_tx_top.sdb │ │ │ │ │ ├── uart_tx_top.sdb │ │ │ │ │ ├── uart_txd.sdb │ │ │ │ │ └── xil_defaultlib.rlx │ │ │ │ ├── xsim.ini │ │ │ │ ├── xvlog.log │ │ │ │ └── xvlog.pb │ │ │ ├── impl │ │ │ └── timing │ │ │ │ └── xsim │ │ │ │ ├── compile.bat │ │ │ │ ├── compile.log │ │ │ │ ├── elaborate.bat │ │ │ │ ├── elaborate.log │ │ │ │ ├── simulate.bat │ │ │ │ ├── simulate.log │ │ │ │ ├── tb_uart_tx_top.tcl │ │ │ │ ├── tb_uart_tx_top_time_impl.sdf │ │ │ │ ├── tb_uart_tx_top_time_impl.v │ │ │ │ ├── tb_uart_tx_top_time_impl.wdb │ │ │ │ ├── tb_uart_tx_top_vlog.prj │ │ │ │ ├── webtalk.jou │ │ │ │ ├── webtalk.log │ │ │ │ ├── webtalk_6784.backup.jou │ │ │ │ ├── webtalk_6784.backup.log │ │ │ │ ├── xelab.pb │ │ │ │ ├── xsim.dir │ │ │ │ ├── tb_uart_tx_top_time_impl │ │ │ │ │ ├── Compile_Options.txt │ │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ │ ├── obj │ │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ │ ├── webtalk │ │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ │ └── usage_statistics_ext_xsim.xml │ │ │ │ │ ├── xsim.dbg │ │ │ │ │ ├── xsim.mem │ │ │ │ │ ├── xsim.reloc │ │ │ │ │ ├── xsim.rlx │ │ │ │ │ ├── xsim.rtti │ │ │ │ │ ├── xsim.svtype │ │ │ │ │ ├── xsim.type │ │ │ │ │ ├── xsim.xdbg │ │ │ │ │ ├── xsimSettings.ini │ │ │ │ │ ├── xsimcrash.log │ │ │ │ │ ├── xsimk.exe │ │ │ │ │ └── xsimkernel.log │ │ │ │ └── xil_defaultlib │ │ │ │ │ ├── baudrate_gen.sdb │ │ │ │ │ ├── glbl.sdb │ │ │ │ │ ├── tb_uart_tx_top.sdb │ │ │ │ │ ├── uart_tx_top.sdb │ │ │ │ │ ├── uart_txd.sdb │ │ │ │ │ └── xil_defaultlib.rlx │ │ │ │ ├── xsim.ini │ │ │ │ ├── xvlog.log │ │ │ │ └── xvlog.pb │ │ │ └── synth │ │ │ └── timing │ │ │ └── xsim │ │ │ ├── compile.bat │ │ │ ├── compile.log │ │ │ ├── elaborate.bat │ │ │ ├── elaborate.log │ │ │ ├── simulate.bat │ │ │ ├── simulate.log │ │ │ ├── tb_uart_tx_top.tcl │ │ │ ├── tb_uart_tx_top_time_synth.sdf │ │ │ ├── tb_uart_tx_top_time_synth.v │ │ │ ├── tb_uart_tx_top_time_synth.wdb │ │ │ ├── tb_uart_tx_top_vlog.prj │ │ │ ├── webtalk.jou │ │ │ ├── webtalk.log │ │ │ ├── webtalk_7096.backup.jou │ │ │ ├── webtalk_7096.backup.log │ │ │ ├── xelab.pb │ │ │ ├── xsim.dir │ │ │ ├── tb_uart_tx_top_time_synth │ │ │ │ ├── Compile_Options.txt │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ ├── obj │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ ├── webtalk │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ │ │ └── xsim_webtalk.tcl │ │ │ │ ├── xsim.dbg │ │ │ │ ├── xsim.mem │ │ │ │ ├── xsim.reloc │ │ │ │ ├── xsim.rlx │ │ │ │ ├── xsim.rtti │ │ │ │ ├── xsim.svtype │ │ │ │ ├── xsim.type │ │ │ │ ├── xsim.xdbg │ │ │ │ ├── xsimSettings.ini │ │ │ │ ├── xsimcrash.log │ │ │ │ ├── xsimk.exe │ │ │ │ └── xsimkernel.log │ │ │ └── xil_defaultlib │ │ │ │ ├── baudrate_gen.sdb │ │ │ │ ├── glbl.sdb │ │ │ │ ├── tb_uart_tx_top.sdb │ │ │ │ ├── uart_tx_top.sdb │ │ │ │ ├── uart_txd.sdb │ │ │ │ └── xil_defaultlib.rlx │ │ │ ├── xsim.ini │ │ │ ├── xvlog.log │ │ │ └── xvlog.pb │ ├── uart.srcs │ │ ├── constrs_1 │ │ │ └── new │ │ │ │ └── uart_tx_top.xdc │ │ ├── sim_1 │ │ │ └── new │ │ │ │ ├── tb_baudrate_gen.v │ │ │ │ └── tb_uart_tx_top.v │ │ └── sources_1 │ │ │ └── new │ │ │ ├── baudrate_gen.v │ │ │ ├── uart_tx_top.v │ │ │ └── uart_txd.v │ └── uart.xpr ├── 串口传输.png └── 第二次纠错.zip ├── 普通基金立项 ├── 2020年度大学生创新创业项目编号.xlsx └── 关于开展2020年大学生创新创业项目中期检查的通知 │ ├── ~$1:东北大学秦皇岛分校大学生创新创业项目中期检查汇报书.docx │ ├── ~$开展2020年大学生创新创业项目中期检查的通知.docx │ ├── ~$附件2:2020年大学生创新创业项目中期检查情况汇总表.xlsx │ ├── 关于开展2020年大学生创新创业项目中期检查的通知.docx │ ├── 附件1:东北大学秦皇岛分校大学生创新创业项目中期检查汇报书.docx │ └── 附件2:2020年大学生创新创业项目中期检查情况汇总表.xlsx └── 重点基金立项 ├── 修改文件后请立即上传至Git.txt ├── 关于东北大学秦皇岛分校本科生创新创业重点支持项目立项的通知 ├── 关于东北大学秦皇岛分校本科生创新创业重点支持项目立项的通知.doc ├── 基于OpenMIPS的五级流水CPU的设计与实现-包敏杨-20178013.xlsx └── 附件2:东北大学秦皇岛分校本科生创新创业重点支持项目申请书.doc ├── 关于公布东北大学秦皇岛分校本科生创新创业重点支持项目立项结果的通知 └── 关于公布东北大学秦皇岛分校本科生创新创业重点支持项目立项结果的通知 │ ├── 关于公布东北大学秦皇岛分校本科生创新创业重点支持项目立项结果的通知.docx │ ├── 附件1:本科生创新创业重点支持项目项目名单.xlsx │ ├── 附件2:本科生创新创业重点支持项目合同书.docx │ └── 附件3:本科生创新创业重点支持项目信息表.xls ├── 关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知 ├── 关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知.doc ├── 附件1:东北大学秦皇岛分校大学生创新创业项目信息表.xls ├── 附件2:东北大学秦皇岛分校大学生科技创新项目申请表(创新训练项目).doc └── 附件3:东北大学秦皇岛分校大学生创业项目申请表(创业训练项目、创业实践项目).doc ├── 创新创业项目申请书(new).doc ├── 本科生创新创业重点支持项目立项答辩成绩.xlsx ├── 每次先pull再push.txt ├── 申请书.doc ├── 计工学院重点基金立项汇总表.xlsx ├── 重点项目立项顺序表.xlsx └── 项目答辩.pptx /report/Booth乘法器.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/Booth乘法器.docx -------------------------------------------------------------------------------- /report/Booth乘法器.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/Booth乘法器.pdf -------------------------------------------------------------------------------- /report/No.01 第一条CPU指令(ORI).docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.01 第一条CPU指令(ORI).docx -------------------------------------------------------------------------------- /report/No.01 第一条CPU指令(ORI).pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.01 第一条CPU指令(ORI).pdf -------------------------------------------------------------------------------- /report/No.02 解决数据相关问题并添加逻辑和移位指令.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.02 解决数据相关问题并添加逻辑和移位指令.docx -------------------------------------------------------------------------------- /report/No.02 解决数据相关问题并添加逻辑和移位指令.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.02 解决数据相关问题并添加逻辑和移位指令.pdf -------------------------------------------------------------------------------- /report/No.03 移动操作指令的添加.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.03 移动操作指令的添加.docx -------------------------------------------------------------------------------- /report/No.03 移动操作指令的添加.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.03 移动操作指令的添加.pdf -------------------------------------------------------------------------------- /report/No.04 算数操作指令的实现.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.04 算数操作指令的实现.docx -------------------------------------------------------------------------------- /report/No.05 流水线暂停机制的设计与实现.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.05 流水线暂停机制的设计与实现.docx -------------------------------------------------------------------------------- /report/No.05 流水线暂停机制的设计与实现.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.05 流水线暂停机制的设计与实现.pdf -------------------------------------------------------------------------------- /report/No.06 乘累加和乘累减指令的实现.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.06 乘累加和乘累减指令的实现.docx -------------------------------------------------------------------------------- /report/No.06 乘累加和乘累减指令的实现.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.06 乘累加和乘累减指令的实现.pdf -------------------------------------------------------------------------------- /report/No.07 除法指令的实现.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.07 除法指令的实现.docx -------------------------------------------------------------------------------- /report/No.07 除法指令的实现.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.07 除法指令的实现.pdf -------------------------------------------------------------------------------- /report/No.08 转移指令的实现.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.08 转移指令的实现.docx -------------------------------------------------------------------------------- /report/No.08 转移指令的实现.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.08 转移指令的实现.pdf -------------------------------------------------------------------------------- /report/No.09 加载存储指令的实现.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.09 加载存储指令的实现.docx -------------------------------------------------------------------------------- /report/No.09 加载存储指令的实现.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.09 加载存储指令的实现.pdf -------------------------------------------------------------------------------- /report/No.10 协处理器访问指令的实现.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.10 协处理器访问指令的实现.docx -------------------------------------------------------------------------------- /report/No.10 协处理器访问指令的实现.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.10 协处理器访问指令的实现.pdf -------------------------------------------------------------------------------- /report/No.11 异常相关指令的实现.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.11 异常相关指令的实现.docx -------------------------------------------------------------------------------- /report/No.11 异常相关指令的实现.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/No.11 异常相关指令的实现.pdf -------------------------------------------------------------------------------- /report/verilog设计乘法器01.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/verilog设计乘法器01.docx -------------------------------------------------------------------------------- /report/一位半加器和一位全加器——包敏杨.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/一位半加器和一位全加器——包敏杨.docx -------------------------------------------------------------------------------- /report/一位半加器和一位全加器——包敏杨.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/一位半加器和一位全加器——包敏杨.pdf -------------------------------------------------------------------------------- /report/三周学习的感悟.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/三周学习的感悟.docx -------------------------------------------------------------------------------- /report/三周学习的感悟.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/三周学习的感悟.pdf -------------------------------------------------------------------------------- /report/串口通信——包敏杨.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/串口通信——包敏杨.docx -------------------------------------------------------------------------------- /report/串口通信——包敏杨.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/串口通信——包敏杨.pdf -------------------------------------------------------------------------------- /report/乘法器——包敏杨.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/乘法器——包敏杨.docx -------------------------------------------------------------------------------- /report/乘法器——包敏杨.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/乘法器——包敏杨.pdf -------------------------------------------------------------------------------- /report/在Linux环境下用gcc工具进行链接和编译.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/在Linux环境下用gcc工具进行链接和编译.docx -------------------------------------------------------------------------------- /report/在Linux环境下用gcc工具进行链接和编译.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/在Linux环境下用gcc工具进行链接和编译.pdf -------------------------------------------------------------------------------- /report/移位相加乘法器(Debug后).docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/移位相加乘法器(Debug后).docx -------------------------------------------------------------------------------- /report/移位相加乘法器(Debug后).pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/移位相加乘法器(Debug后).pdf -------------------------------------------------------------------------------- /report/调试错误结果.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/调试错误结果.txt -------------------------------------------------------------------------------- /report/超前进位加法器和乘法器——包敏杨.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/超前进位加法器和乘法器——包敏杨.docx -------------------------------------------------------------------------------- /report/超前进位加法器和乘法器——包敏杨.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/report/超前进位加法器和乘法器——包敏杨.pdf -------------------------------------------------------------------------------- /src/OpenMIPS五级流水结构图.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/OpenMIPS五级流水结构图.jpg -------------------------------------------------------------------------------- /src/multiplier/multiplier.cache/wt/gui_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.cache/wt/gui_handlers.wdf -------------------------------------------------------------------------------- /src/multiplier/multiplier.cache/wt/java_command_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.cache/wt/java_command_handlers.wdf -------------------------------------------------------------------------------- /src/multiplier/multiplier.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.cache/wt/project.wpc -------------------------------------------------------------------------------- /src/multiplier/multiplier.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /src/multiplier/multiplier.cache/wt/xsim.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.cache/wt/xsim.wdf -------------------------------------------------------------------------------- /src/multiplier/multiplier.hw/multiplier.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.hw/multiplier.lpr -------------------------------------------------------------------------------- /src/multiplier/multiplier.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.ip_user_files/README.txt -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/compile.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/compile.bat -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/compile.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/elaborate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/elaborate.bat -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/elaborate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/elaborate.log -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/glbl.v -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/simulate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/simulate.bat -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/simulate.log: -------------------------------------------------------------------------------- 1 | Vivado Simulator 2018.3 2 | Time resolution is 1 ps 3 | -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mac.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mac.tcl -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mac_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mac_behav.wdb -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mac_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mac_vlog.prj -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mul_Booth.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mul_Booth.tcl -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mul_Booth_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mul_Booth_behav.wdb -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mul_Booth_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mul_Booth_vlog.prj -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mult_shift_add.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mult_shift_add.tcl -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mult_shift_add_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mult_shift_add_behav.wdb -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mult_shift_add_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/tb_mult_shift_add_vlog.prj -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk.jou -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk.log -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_11348.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_11348.backup.jou -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_11348.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_11348.backup.log -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_12212.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_12212.backup.jou -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_12212.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_12212.backup.log -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_14676.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_14676.backup.jou -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_14676.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_14676.backup.log -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_3532.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_3532.backup.jou -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_3532.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_3532.backup.log -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_5456.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_5456.backup.jou -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_5456.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/webtalk_5456.backup.log -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xelab.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xelab.pb -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.dbg -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.mem -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.reloc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.reloc -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.rlx -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.rtti: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.rtti -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.svtype: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.svtype -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.type: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.type -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.xdbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsim.xdbg -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsimk.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsimk.exe -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsimkernel.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mac_behav/xsimkernel.log -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mul_Booth_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mul_Booth_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mul_Booth_behav/xsim.dbg -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mul_Booth_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mul_Booth_behav/xsim.mem -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mul_Booth_behav/xsim.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mul_Booth_behav/xsim.rlx -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mul_Booth_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mult_shift_add_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/tb_mult_shift_add_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mac.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mac.sdb -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_mac.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_mac.sdb -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.sim/sim_1/behav/xsim/xsim.ini -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xvlog.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/multiplier/multiplier.sim/sim_1/behav/xsim/xvlog.pb: -------------------------------------------------------------------------------- 1 | 2 |  3 | 4 | End Record -------------------------------------------------------------------------------- /src/multiplier/multiplier.srcs/sim_1/new/tb_mac.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.srcs/sim_1/new/tb_mac.v -------------------------------------------------------------------------------- /src/multiplier/multiplier.srcs/sim_1/new/tb_mul_Booth.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.srcs/sim_1/new/tb_mul_Booth.v -------------------------------------------------------------------------------- /src/multiplier/multiplier.srcs/sim_1/new/tb_mult_shift_add.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.srcs/sim_1/new/tb_mult_shift_add.v -------------------------------------------------------------------------------- /src/multiplier/multiplier.srcs/sources_1/new/mac.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.srcs/sources_1/new/mac.v -------------------------------------------------------------------------------- /src/multiplier/multiplier.srcs/sources_1/new/mul_Booth.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.srcs/sources_1/new/mul_Booth.v -------------------------------------------------------------------------------- /src/multiplier/multiplier.srcs/sources_1/new/mult_shift_add.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.srcs/sources_1/new/mult_shift_add.v -------------------------------------------------------------------------------- /src/multiplier/multiplier.srcs/sources_1/new/multiply1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.srcs/sources_1/new/multiply1.v -------------------------------------------------------------------------------- /src/multiplier/multiplier.srcs/sources_1/new/multiply2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.srcs/sources_1/new/multiply2.v -------------------------------------------------------------------------------- /src/multiplier/multiplier.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/multiplier.xpr -------------------------------------------------------------------------------- /src/multiplier/tb_mac_behav.wcfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/tb_mac_behav.wcfg -------------------------------------------------------------------------------- /src/multiplier/tb_mul_Booth_behav.wcfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/multiplier/tb_mul_Booth_behav.wcfg -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.cache/wt/gui_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.cache/wt/gui_handlers.wdf -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.cache/wt/java_command_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.cache/wt/java_command_handlers.wdf -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.cache/wt/project.wpc -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.cache/wt/xsim.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.cache/wt/xsim.wdf -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.hw/mux4_1.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.hw/mux4_1.lpr -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.ip_user_files/README.txt -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/compile.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/compile.bat -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/compile.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/compile.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/elaborate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/elaborate.bat -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/elaborate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/elaborate.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/glbl.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/simulate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/simulate.bat -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/simulate.log: -------------------------------------------------------------------------------- 1 | Vivado Simulator 2018.3 2 | Time resolution is 1 ps 3 | -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full4_adder.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full4_adder.tcl -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full4_adder_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full4_adder_behav.wdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full4_adder_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full4_adder_vlog.prj -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full_adder.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full_adder.tcl -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full_adder_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full_adder_behav.wdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full_adder_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_full_adder_vlog.prj -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_half_adder.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_half_adder.tcl -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_half_adder_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_half_adder_behav.wdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_half_adder_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/tb_half_adder_vlog.prj -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk.jou -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_13896.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_13896.backup.jou -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_13896.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_13896.backup.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_14300.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_14300.backup.jou -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_14300.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_14300.backup.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_5272.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_5272.backup.jou -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_5272.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_5272.backup.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_8424.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_8424.backup.jou -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_8424.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_8424.backup.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_9208.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_9208.backup.jou -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_9208.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/webtalk_9208.backup.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xelab.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xelab.pb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.dbg -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.mem -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.reloc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.reloc -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.rlx -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.rtti: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.rtti -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.svtype: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.svtype -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.type: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.type -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.xdbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsim.xdbg -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsimk.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsimk.exe -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsimkernel.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full4_adder_behav/xsimkernel.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.dbg -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.mem -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.reloc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.reloc -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.rlx -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.rtti: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.rtti -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.svtype: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.svtype -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.type: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.type -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.xdbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsim.xdbg -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsimk.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsimk.exe -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsimkernel.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_full_adder_behav/xsimkernel.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.dbg -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.mem -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.reloc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.reloc -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.rlx -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.rtti: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.rtti -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.svtype: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.svtype -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.type: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.type -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.xdbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsim.xdbg -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsimk.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsimk.exe -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsimkernel.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/tb_half_adder_behav/xsimkernel.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/full4_adder3.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/full4_adder3.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/full_adder1.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/full_adder1.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/full_adder2.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/full_adder2.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/full_adder3.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/full_adder3.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/half_adder1.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/half_adder1.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/half_adder2.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/half_adder2.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/half_adder3.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/half_adder3.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_full4_adder.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_full4_adder.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_full_adder.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_full_adder.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_half_adder.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_half_adder.sdb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xsim.ini -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xvlog.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xvlog.log -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xvlog.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.sim/sim_1/behav/xsim/xvlog.pb -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sim_1/new/tb_full4_adder.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sim_1/new/tb_full4_adder.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sim_1/new/tb_full_adder.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sim_1/new/tb_full_adder.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sim_1/new/tb_half_adder.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sim_1/new/tb_half_adder.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sources_1/new/full4_adder3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sources_1/new/full4_adder3.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sources_1/new/full4_adder_serial.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sources_1/new/full4_adder_serial.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sources_1/new/full_adder1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sources_1/new/full_adder1.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sources_1/new/full_adder2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sources_1/new/full_adder2.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sources_1/new/full_adder3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sources_1/new/full_adder3.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sources_1/new/half_adder1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sources_1/new/half_adder1.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sources_1/new/half_adder2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sources_1/new/half_adder2.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sources_1/new/half_adder3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sources_1/new/half_adder3.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.srcs/sources_1/new/mux4_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.srcs/sources_1/new/mux4_1.v -------------------------------------------------------------------------------- /src/mux4_1/mux4_1.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/mux4_1.xpr -------------------------------------------------------------------------------- /src/mux4_1/tb_full4_adder_behav.wcfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mux4_1/tb_full4_adder_behav.wcfg -------------------------------------------------------------------------------- /src/mymips/mymips.cache/wt/gui_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.cache/wt/gui_handlers.wdf -------------------------------------------------------------------------------- /src/mymips/mymips.cache/wt/java_command_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.cache/wt/java_command_handlers.wdf -------------------------------------------------------------------------------- /src/mymips/mymips.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.cache/wt/project.wpc -------------------------------------------------------------------------------- /src/mymips/mymips.cache/wt/synthesis.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.cache/wt/synthesis.wdf -------------------------------------------------------------------------------- /src/mymips/mymips.cache/wt/synthesis_details.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.cache/wt/synthesis_details.wdf -------------------------------------------------------------------------------- /src/mymips/mymips.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /src/mymips/mymips.cache/wt/xsim.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.cache/wt/xsim.wdf -------------------------------------------------------------------------------- /src/mymips/mymips.hw/mymips.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.hw/mymips.lpr -------------------------------------------------------------------------------- /src/mymips/mymips.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.ip_user_files/README.txt -------------------------------------------------------------------------------- /src/mymips/mymips.runs/.jobs/vrs_config_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/.jobs/vrs_config_1.xml -------------------------------------------------------------------------------- /src/mymips/mymips.runs/.jobs/vrs_config_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/.jobs/vrs_config_2.xml -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/.stop.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/__synthesis_is_complete__: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/exception.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/exception.log -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/openmips.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/openmips.tcl -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/openmips_min_sopc.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/openmips_min_sopc.dcp -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/openmips_min_sopc.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/openmips_min_sopc.tcl -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/openmips_min_sopc.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/openmips_min_sopc.vds -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/openmips_min_sopc_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/openmips_min_sopc_utilization_synth.pb -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/openmips_min_sopc_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/openmips_min_sopc_utilization_synth.rpt -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/project.wdf -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/runme.log -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /src/mymips/mymips.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/compile.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/compile.bat -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/compile.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/compile.log -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/elaborate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/elaborate.bat -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/elaborate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/elaborate.log -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/glbl.v -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/inst_rom.data: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/inst_rom.data -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/simulate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/simulate.bat -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/simulate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/simulate.log -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/tb_openmips_min_sopc.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/tb_openmips_min_sopc.tcl -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/tb_openmips_min_sopc_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/tb_openmips_min_sopc_behav.wdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/tb_openmips_min_sopc_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/tb_openmips_min_sopc_vlog.prj -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk.jou -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk.log -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_10768.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_10768.backup.jou -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_10768.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_10768.backup.log -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_12884.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_12884.backup.jou -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_12884.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_12884.backup.log -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_13360.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_13360.backup.jou -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_13360.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_13360.backup.log -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_2276.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_2276.backup.jou -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_2276.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_2276.backup.log -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_2408.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_2408.backup.jou -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_2408.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/webtalk_2408.backup.log -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xelab.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xelab.pb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/tb_openmips_min_sopc_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/tb_openmips_min_sopc_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/tb_openmips_min_sopc_behav/xsim.dbg -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/tb_openmips_min_sopc_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/tb_openmips_min_sopc_behav/xsim.mem -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/tb_openmips_min_sopc_behav/xsim.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/tb_openmips_min_sopc_behav/xsim.rlx -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/tb_openmips_min_sopc_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@l@lbit_reg.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@l@lbit_reg.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/cp0_reg.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/cp0_reg.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ctrl.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ctrl.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/data_ram.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/data_ram.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/div.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/div.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ex.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ex.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ex_mem.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ex_mem.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/hilo_reg.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/hilo_reg.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/id.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/id.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/id_ex.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/id_ex.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/if_id.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/if_id.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/inst_rom.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/inst_rom.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mem.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mem.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mem_wb.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mem_wb.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/openmips.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/openmips.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pc_reg.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pc_reg.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/regfile.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/regfile.sdb -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xsim.ini -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xvlog.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xvlog.log -------------------------------------------------------------------------------- /src/mymips/mymips.sim/sim_1/behav/xsim/xvlog.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.sim/sim_1/behav/xsim/xvlog.pb -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/constrs_1/new/mycpu.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/constrs_1/new/mycpu.xdc -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sim_1/new/tb_openmips_min_sopc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sim_1/new/tb_openmips_min_sopc.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/LLbit_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/LLbit_reg.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/cp0_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/cp0_reg.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/ctrl.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/data_ram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/data_ram.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/define.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/define.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/div.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/div.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/ex.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/ex.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/ex_mem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/ex_mem.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/hilo_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/hilo_reg.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/id.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/id.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/id_ex.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/id_ex.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/if_id.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/if_id.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/inst_rom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/inst_rom.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/mem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/mem.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/mem_wb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/mem_wb.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/openmips.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/openmips.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/openmips_min_sopc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/openmips_min_sopc.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/pc_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/pc_reg.v -------------------------------------------------------------------------------- /src/mymips/mymips.srcs/sources_1/new/regfile.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.srcs/sources_1/new/regfile.v -------------------------------------------------------------------------------- /src/mymips/mymips.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/mymips.xpr -------------------------------------------------------------------------------- /src/mymips/tb_openmips_min_sopc_behav.wcfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/mymips/tb_openmips_min_sopc_behav.wcfg -------------------------------------------------------------------------------- /src/uart/tb_uart_tx_top_behav.wcfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/tb_uart_tx_top_behav.wcfg -------------------------------------------------------------------------------- /src/uart/uart.cache/wt/gui_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.cache/wt/gui_handlers.wdf -------------------------------------------------------------------------------- /src/uart/uart.cache/wt/java_command_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.cache/wt/java_command_handlers.wdf -------------------------------------------------------------------------------- /src/uart/uart.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.cache/wt/project.wpc -------------------------------------------------------------------------------- /src/uart/uart.cache/wt/synthesis.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.cache/wt/synthesis.wdf -------------------------------------------------------------------------------- /src/uart/uart.cache/wt/synthesis_details.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.cache/wt/synthesis_details.wdf -------------------------------------------------------------------------------- /src/uart/uart.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /src/uart/uart.cache/wt/xsim.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.cache/wt/xsim.wdf -------------------------------------------------------------------------------- /src/uart/uart.hw/hw_1/hw.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.hw/hw_1/hw.xml -------------------------------------------------------------------------------- /src/uart/uart.hw/uart.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.hw/uart.lpr -------------------------------------------------------------------------------- /src/uart/uart.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.ip_user_files/README.txt -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_1.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_10.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_10.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_11.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_11.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_12.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_12.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_13.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_13.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_14.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_14.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_15.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_15.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_16.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_16.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_17.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_17.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_18.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_18.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_19.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_19.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_2.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_20.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_20.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_3.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_3.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_4.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_4.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_5.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_5.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_6.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_6.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_7.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_7.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_8.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_8.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/.jobs/vrs_config_9.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/.jobs/vrs_config_9.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.Vivado_Implementation.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.init_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/.init_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.init_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.opt_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/.opt_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.place_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/.place_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.place_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.route_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/.route_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.route_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/.vivado.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.vivado.error.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.write_bitstream.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/.write_bitstream.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/.write_bitstream.error.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/ISEWrap.js -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/ISEWrap.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen.tcl -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen.vdi -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_1376.backup.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_1376.backup.vdi -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_bus_skew_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_bus_skew_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_bus_skew_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_bus_skew_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_bus_skew_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_bus_skew_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_clock_utilization_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_clock_utilization_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_control_sets_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_control_sets_placed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_drc_opted.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_drc_opted.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_drc_opted.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_drc_opted.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_drc_opted.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_drc_opted.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_drc_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_drc_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_drc_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_io_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_io_placed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_methodology_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_methodology_drc_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_methodology_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_methodology_drc_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_methodology_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_methodology_drc_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_opt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_opt.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_placed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_placed.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_power_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_power_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_power_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_power_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_power_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_power_summary_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_route_status.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_route_status.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_route_status.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_route_status.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_routed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_routed.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_timing_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_timing_summary_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_timing_summary_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_timing_summary_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_timing_summary_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_timing_summary_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_utilization_placed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_utilization_placed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/baudrate_gen_utilization_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/baudrate_gen_utilization_placed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/exception.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/exception.log -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/gen_run.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/htr.txt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/init_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/init_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/opt_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/place_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/place_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/project.wdf -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/route_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/route_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/rundef.js -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/runme.bat -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/runme.log -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/runme.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/vivado.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/vivado.pb: -------------------------------------------------------------------------------- 1 | 2 |  3 | 4 | End Record -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/vivado_13588.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/vivado_13588.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/vivado_1376.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/vivado_1376.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/vivado_2668.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/vivado_2668.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_1/write_bitstream.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_1/write_bitstream.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.Vivado_Implementation.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.init_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/.init_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.init_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.opt_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/.opt_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.place_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/.place_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.place_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.route_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/.route_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.route_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/.vivado.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.vivado.error.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.write_bitstream.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/.write_bitstream.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/.write_bitstream.error.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/ISEWrap.js -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/ISEWrap.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen.tcl -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen.vdi -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_13128.backup.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_13128.backup.vdi -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_3408.backup.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_3408.backup.vdi -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_bus_skew_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_bus_skew_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_bus_skew_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_bus_skew_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_bus_skew_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_bus_skew_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_clock_utilization_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_clock_utilization_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_control_sets_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_control_sets_placed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_drc_opted.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_drc_opted.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_drc_opted.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_drc_opted.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_drc_opted.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_drc_opted.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_drc_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_drc_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_drc_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_io_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_io_placed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_methodology_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_methodology_drc_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_methodology_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_methodology_drc_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_methodology_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_methodology_drc_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_opt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_opt.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_placed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_placed.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_power_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_power_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_power_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_power_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_power_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_power_summary_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_route_status.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_route_status.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_route_status.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_route_status.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_routed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_routed.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_timing_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_timing_summary_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_timing_summary_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_timing_summary_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_timing_summary_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_timing_summary_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_utilization_placed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_utilization_placed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/baudrate_gen_utilization_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/baudrate_gen_utilization_placed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/gen_run.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/htr.txt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/init_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/init_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/opt_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/place_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/place_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/project.wdf -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/route_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/route_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/rundef.js -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/runme.bat -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/runme.log -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/runme.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/vivado.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/vivado.pb: -------------------------------------------------------------------------------- 1 | 2 |  3 | 4 | End Record -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/vivado_13128.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/vivado_13128.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/vivado_13276.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/vivado_13276.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/vivado_3408.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/vivado_3408.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_2/write_bitstream.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_2/write_bitstream.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.Vivado_Implementation.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.init_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/.init_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.init_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.opt_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/.opt_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.place_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/.place_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.place_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.route_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/.route_design.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.route_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/.vivado.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.write_bitstream.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/.write_bitstream.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/.write_bitstream.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/ISEWrap.js -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/ISEWrap.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/gen_run.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/htr.txt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/init_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/init_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/opt_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/place_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/place_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/project.wdf -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/route_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/route_design.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/rundef.js -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/runme.bat -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/runme.log -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/runme.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top.bit -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top.tcl -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top.vdi -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_15656.backup.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_15656.backup.vdi -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_bus_skew_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_bus_skew_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_bus_skew_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_bus_skew_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_bus_skew_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_bus_skew_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_clock_utilization_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_clock_utilization_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_control_sets_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_control_sets_placed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_drc_opted.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_drc_opted.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_drc_opted.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_drc_opted.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_drc_opted.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_drc_opted.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_drc_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_drc_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_drc_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_io_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_io_placed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_methodology_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_methodology_drc_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_methodology_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_methodology_drc_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_methodology_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_methodology_drc_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_opt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_opt.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_placed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_placed.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_power_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_power_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_power_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_power_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_power_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_power_summary_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_route_status.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_route_status.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_route_status.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_route_status.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_routed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_routed.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_timing_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_timing_summary_routed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_timing_summary_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_timing_summary_routed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_timing_summary_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_timing_summary_routed.rpx -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_utilization_placed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_utilization_placed.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/uart_tx_top_utilization_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/uart_tx_top_utilization_placed.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/usage_statistics_webtalk.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/usage_statistics_webtalk.html -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/usage_statistics_webtalk.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/usage_statistics_webtalk.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/vivado.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/vivado.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/vivado_15656.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/vivado_15656.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/impl_4/write_bitstream.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/impl_4/write_bitstream.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/.Xil/baudrate_gen_propImpl.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/.Xil/baudrate_gen_propImpl.xdc -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/__synthesis_is_complete__: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/baudrate_gen.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/baudrate_gen.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/baudrate_gen.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/baudrate_gen.tcl -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/baudrate_gen.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/baudrate_gen.vds -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/baudrate_gen_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/baudrate_gen_utilization_synth.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/baudrate_gen_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/baudrate_gen_utilization_synth.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/project.wdf -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/runme.log -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/.Xil/baudrate_gen_propImpl.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/.Xil/baudrate_gen_propImpl.xdc -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/.vivado.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/ISEWrap.js -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/ISEWrap.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/__synthesis_is_complete__: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/baudrate_gen.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/baudrate_gen.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/baudrate_gen.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/baudrate_gen.tcl -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/baudrate_gen.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/baudrate_gen.vds -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/baudrate_gen_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/baudrate_gen_utilization_synth.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/baudrate_gen_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/baudrate_gen_utilization_synth.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/gen_run.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/htr.txt -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/rundef.js -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/runme.bat -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/runme.log -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/runme.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/vivado.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_2/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_2/vivado.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/.Xil/uart_tx_top_propImpl.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/.Xil/uart_tx_top_propImpl.xdc -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/.vivado.begin.rst -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/ISEWrap.js -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/ISEWrap.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/__synthesis_is_complete__: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/gen_run.xml -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/htr.txt -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/rundef.js -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/runme.bat -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/runme.log -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/runme.sh -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/uart_tx_top.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/uart_tx_top.dcp -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/uart_tx_top.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/uart_tx_top.tcl -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/uart_tx_top.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/uart_tx_top.vds -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/uart_tx_top_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/uart_tx_top_utilization_synth.pb -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/uart_tx_top_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/uart_tx_top_utilization_synth.rpt -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/vivado.jou -------------------------------------------------------------------------------- /src/uart/uart.runs/synth_4/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.runs/synth_4/vivado.pb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/compile.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/compile.bat -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/compile.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/elaborate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/elaborate.bat -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/elaborate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/elaborate.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/glbl.v -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/simulate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/simulate.bat -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/simulate.log: -------------------------------------------------------------------------------- 1 | Vivado Simulator 2018.3 2 | Time resolution is 1 ps 3 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/tb_baudrate_gen.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/tb_baudrate_gen.tcl -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/tb_baudrate_gen_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/tb_baudrate_gen_behav.wdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/tb_baudrate_gen_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/tb_baudrate_gen_vlog.prj -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/tb_uart_tx_top.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/tb_uart_tx_top.tcl -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/tb_uart_tx_top_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/tb_uart_tx_top_behav.wdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/tb_uart_tx_top_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/tb_uart_tx_top_vlog.prj -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk.jou -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk_3936.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk_3936.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk_3936.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk_3936.backup.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk_6420.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk_6420.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk_6420.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk_6420.backup.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk_6476.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk_6476.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk_6476.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk_6476.backup.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk_728.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk_728.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk_728.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk_728.backup.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk_9796.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk_9796.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/webtalk_9796.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/webtalk_9796.backup.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xelab.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xelab.pb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.dbg -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.mem -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.reloc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.reloc -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.rlx -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.rtti: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.rtti -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.svtype: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.svtype -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.type: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.type -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.xdbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsim.xdbg -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsimk.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsimk.exe -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsimkernel.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_baudrate_gen_behav/xsimkernel.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.dbg -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.mem -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.reloc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.reloc -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.rlx -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.rtti: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.rtti -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.svtype: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.svtype -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.type: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.type -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.xdbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsim.xdbg -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsimSettings.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsimSettings.ini -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsimk.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsimk.exe -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsimkernel.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/tb_uart_tx_top_behav/xsimkernel.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/baudrate_gen.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/baudrate_gen.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_baudrate_gen.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_baudrate_gen.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_uart_tx_top.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_uart_tx_top.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/uart_tx_top.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/uart_tx_top.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/uart_txd.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/uart_txd.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/behav/xsim/xsim.ini -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xvlog.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/behav/xsim/xvlog.pb: -------------------------------------------------------------------------------- 1 | 2 |  3 | 4 | End Record -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/compile.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/compile.bat -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/compile.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/compile.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/elaborate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/elaborate.bat -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/elaborate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/elaborate.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/simulate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/simulate.bat -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/simulate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/simulate.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/tb_uart_tx_top.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/tb_uart_tx_top.tcl -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/tb_uart_tx_top_time_impl.sdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/tb_uart_tx_top_time_impl.sdf -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/tb_uart_tx_top_time_impl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/tb_uart_tx_top_time_impl.v -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/tb_uart_tx_top_time_impl.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/tb_uart_tx_top_time_impl.wdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/tb_uart_tx_top_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/tb_uart_tx_top_vlog.prj -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/webtalk.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/webtalk.jou -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/webtalk.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/webtalk.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/webtalk_6784.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/webtalk_6784.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/webtalk_6784.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/webtalk_6784.backup.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/xelab.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/xelab.pb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.dir/tb_uart_tx_top_time_impl/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.dir/tb_uart_tx_top_time_impl/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/baudrate_gen.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/baudrate_gen.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/glbl.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/glbl.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/uart_tx_top.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/uart_tx_top.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/uart_txd.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/uart_txd.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/xsim.ini -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/xvlog.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/xvlog.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/impl/timing/xsim/xvlog.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/impl/timing/xsim/xvlog.pb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/compile.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/compile.bat -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/compile.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/compile.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/elaborate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/elaborate.bat -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/elaborate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/elaborate.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/simulate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/simulate.bat -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/simulate.log: -------------------------------------------------------------------------------- 1 | Vivado Simulator 2018.3 2 | Time resolution is 1 ps 3 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/tb_uart_tx_top.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/tb_uart_tx_top.tcl -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/tb_uart_tx_top_time_synth.sdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/tb_uart_tx_top_time_synth.sdf -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/tb_uart_tx_top_time_synth.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/tb_uart_tx_top_time_synth.v -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/tb_uart_tx_top_time_synth.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/tb_uart_tx_top_time_synth.wdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/tb_uart_tx_top_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/tb_uart_tx_top_vlog.prj -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/webtalk.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/webtalk.jou -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/webtalk.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/webtalk.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/webtalk_7096.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/webtalk_7096.backup.jou -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/webtalk_7096.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/webtalk_7096.backup.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/xelab.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/xelab.pb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/xsim.dir/tb_uart_tx_top_time_synth/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/xsim.dir/tb_uart_tx_top_time_synth/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/xsim.dir/xil_defaultlib/glbl.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/xsim.dir/xil_defaultlib/glbl.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/xsim.dir/xil_defaultlib/uart_tx_top.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/xsim.dir/xil_defaultlib/uart_tx_top.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/xsim.dir/xil_defaultlib/uart_txd.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/xsim.dir/xil_defaultlib/uart_txd.sdb -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/xsim.ini -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/xvlog.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/xvlog.log -------------------------------------------------------------------------------- /src/uart/uart.sim/sim_1/synth/timing/xsim/xvlog.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.sim/sim_1/synth/timing/xsim/xvlog.pb -------------------------------------------------------------------------------- /src/uart/uart.srcs/constrs_1/new/uart_tx_top.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.srcs/constrs_1/new/uart_tx_top.xdc -------------------------------------------------------------------------------- /src/uart/uart.srcs/sim_1/new/tb_baudrate_gen.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.srcs/sim_1/new/tb_baudrate_gen.v -------------------------------------------------------------------------------- /src/uart/uart.srcs/sim_1/new/tb_uart_tx_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.srcs/sim_1/new/tb_uart_tx_top.v -------------------------------------------------------------------------------- /src/uart/uart.srcs/sources_1/new/baudrate_gen.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.srcs/sources_1/new/baudrate_gen.v -------------------------------------------------------------------------------- /src/uart/uart.srcs/sources_1/new/uart_tx_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.srcs/sources_1/new/uart_tx_top.v -------------------------------------------------------------------------------- /src/uart/uart.srcs/sources_1/new/uart_txd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.srcs/sources_1/new/uart_txd.v -------------------------------------------------------------------------------- /src/uart/uart.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/uart/uart.xpr -------------------------------------------------------------------------------- /src/串口传输.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/串口传输.png -------------------------------------------------------------------------------- /src/第二次纠错.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/src/第二次纠错.zip -------------------------------------------------------------------------------- /普通基金立项/2020年度大学生创新创业项目编号.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/普通基金立项/2020年度大学生创新创业项目编号.xlsx -------------------------------------------------------------------------------- /普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/~$1:东北大学秦皇岛分校大学生创新创业项目中期检查汇报书.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/~$1:东北大学秦皇岛分校大学生创新创业项目中期检查汇报书.docx -------------------------------------------------------------------------------- /普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/~$开展2020年大学生创新创业项目中期检查的通知.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/~$开展2020年大学生创新创业项目中期检查的通知.docx -------------------------------------------------------------------------------- /普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/~$附件2:2020年大学生创新创业项目中期检查情况汇总表.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/~$附件2:2020年大学生创新创业项目中期检查情况汇总表.xlsx -------------------------------------------------------------------------------- /普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/关于开展2020年大学生创新创业项目中期检查的通知.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/关于开展2020年大学生创新创业项目中期检查的通知.docx -------------------------------------------------------------------------------- /普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/附件1:东北大学秦皇岛分校大学生创新创业项目中期检查汇报书.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/附件1:东北大学秦皇岛分校大学生创新创业项目中期检查汇报书.docx -------------------------------------------------------------------------------- /普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/附件2:2020年大学生创新创业项目中期检查情况汇总表.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/普通基金立项/关于开展2020年大学生创新创业项目中期检查的通知/附件2:2020年大学生创新创业项目中期检查情况汇总表.xlsx -------------------------------------------------------------------------------- /重点基金立项/修改文件后请立即上传至Git.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /重点基金立项/关于东北大学秦皇岛分校本科生创新创业重点支持项目立项的通知/关于东北大学秦皇岛分校本科生创新创业重点支持项目立项的通知.doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/关于东北大学秦皇岛分校本科生创新创业重点支持项目立项的通知/关于东北大学秦皇岛分校本科生创新创业重点支持项目立项的通知.doc -------------------------------------------------------------------------------- /重点基金立项/关于东北大学秦皇岛分校本科生创新创业重点支持项目立项的通知/基于OpenMIPS的五级流水CPU的设计与实现-包敏杨-20178013.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/关于东北大学秦皇岛分校本科生创新创业重点支持项目立项的通知/基于OpenMIPS的五级流水CPU的设计与实现-包敏杨-20178013.xlsx -------------------------------------------------------------------------------- /重点基金立项/关于东北大学秦皇岛分校本科生创新创业重点支持项目立项的通知/附件2:东北大学秦皇岛分校本科生创新创业重点支持项目申请书.doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/关于东北大学秦皇岛分校本科生创新创业重点支持项目立项的通知/附件2:东北大学秦皇岛分校本科生创新创业重点支持项目申请书.doc -------------------------------------------------------------------------------- /重点基金立项/关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知/关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知.doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知/关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知.doc -------------------------------------------------------------------------------- /重点基金立项/关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知/附件1:东北大学秦皇岛分校大学生创新创业项目信息表.xls: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知/附件1:东北大学秦皇岛分校大学生创新创业项目信息表.xls -------------------------------------------------------------------------------- /重点基金立项/关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知/附件2:东北大学秦皇岛分校大学生科技创新项目申请表(创新训练项目).doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知/附件2:东北大学秦皇岛分校大学生科技创新项目申请表(创新训练项目).doc -------------------------------------------------------------------------------- /重点基金立项/关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知/附件3:东北大学秦皇岛分校大学生创业项目申请表(创业训练项目、创业实践项目).doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/关于申报2020年东北大学秦皇岛分校大学生创新创业项目的预通知/附件3:东北大学秦皇岛分校大学生创业项目申请表(创业训练项目、创业实践项目).doc -------------------------------------------------------------------------------- /重点基金立项/创新创业项目申请书(new).doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/创新创业项目申请书(new).doc -------------------------------------------------------------------------------- /重点基金立项/本科生创新创业重点支持项目立项答辩成绩.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/本科生创新创业重点支持项目立项答辩成绩.xlsx -------------------------------------------------------------------------------- /重点基金立项/每次先pull再push.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /重点基金立项/申请书.doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/申请书.doc -------------------------------------------------------------------------------- /重点基金立项/计工学院重点基金立项汇总表.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/计工学院重点基金立项汇总表.xlsx -------------------------------------------------------------------------------- /重点基金立项/重点项目立项顺序表.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/重点项目立项顺序表.xlsx -------------------------------------------------------------------------------- /重点基金立项/项目答辩.pptx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CherryYang05/OpenMIPS_CPU/HEAD/重点基金立项/项目答辩.pptx --------------------------------------------------------------------------------