├── Convolution_1.v ├── Convolution_2.v ├── Nexys4DDR_Master.xdc ├── README.md ├── jordan1.coe ├── jordan1.txt ├── jordan12.coe ├── jordan12.txt ├── main.v └── maxpool.v /Convolution_1.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | ////////////////////////////////////////////////////////////////////////////////// 3 | // Convolution with Lapcian Filter and Adders 4 | ////////////////////////////////////////////////////////////////////////////////// 5 | 6 | module Convolution_1( 7 | input clk, 8 | input enable, 9 | input [7:0] in1, 10 | input [7:0] in2, 11 | input [7:0] in3, 12 | input [7:0] in4, 13 | input [7:0] in5, 14 | input [7:0] in6, 15 | input [7:0] in7, 16 | input [7:0] in8, 17 | input [7:0] in9, 18 | output signed [7:0] final, 19 | output finished_con1 20 | ); 21 | 22 | reg signed [7:0] out1; 23 | reg signed [7:0] out2; 24 | reg signed [7:0] out3; 25 | reg signed [7:0] out4; 26 | reg signed [7:0] out5; 27 | reg signed [7:0] out6; 28 | reg signed [7:0] out7; 29 | reg signed [7:0] out8; 30 | reg signed [7:0] out9; 31 | /* 32 | Laplacian Filter to be used as Kernel/Filter 33 | | 0 -1 0 | 34 | | -1 4 -1 | 35 | | 0 -1 0 | 36 | 9 convolutions must be performed 37 | */ 38 | 39 | always@(posedge clk) 40 | begin 41 | if(enable) 42 | begin 43 | out1 <= 0; //Multiply by 0 44 | out2 <= in2 * 4'b1111; //Multiply by -1 45 | out3 <= 0; //Multiply by 0 46 | out4 <= in4 * 4'b1111; //Multiply by -1 47 | out5 <= in5 * 4'b0100; //Multiply by 4 48 | out6 <= in6 * 4'b1111; //Multiply by -1 49 | out7 <= 0; //Multiply by 0 50 | out8 <= in8 * 4'b1111; //Multiply by -1 51 | out9 <= 0; //Multiply by 0 52 | end 53 | else 54 | begin 55 | out1 <= 0; 56 | out2 <= 0; 57 | out3 <= 0; 58 | out4 <= 0; 59 | out5 <= 0; 60 | out6 <= 0; 61 | out7 <= 0; 62 | out8 <= 0; 63 | out9 <= 0; 64 | end 65 | end 66 | 67 | addr1 A1 (clk,enable,out2,out4,out5,out6,out8,final,finished_con1); 68 | 69 | endmodule 70 | 71 | 72 | module addr1( 73 | input clk, 74 | input enable, 75 | input signed [7:0] in2, 76 | input signed [7:0] in4, 77 | input signed [7:0] in5, 78 | input signed [7:0] in6, 79 | input signed [7:0] in8, 80 | output reg signed [7:0] sum1, 81 | output reg finished 82 | ); 83 | 84 | //Remove Inputs that are 0 since adding them wont make a difference 85 | //Add up all values from convolution 86 | 87 | always@(posedge clk) 88 | begin 89 | if(enable) 90 | begin 91 | sum1 <= (in2 + in4 + in5 + in6 + in8); 92 | finished <= 1'b1; 93 | end 94 | else 95 | begin 96 | sum1 <= 0; 97 | finished <= 1'b1; 98 | end 99 | end 100 | endmodule 101 | -------------------------------------------------------------------------------- /Convolution_2.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | ////////////////////////////////////////////////////////////////////////////////// 3 | // Convolution of Both Images 4 | ////////////////////////////////////////////////////////////////////////////////// 5 | 6 | module Convolution_2( 7 | input clk, 8 | input enable, 9 | 10 | input signed [7:0] in1, 11 | input signed [7:0] in2, 12 | input signed [7:0] in3, 13 | input signed [7:0] in4, 14 | input signed [7:0] in5, 15 | input signed [7:0] in6, 16 | input signed [7:0] in7, 17 | input signed [7:0] in8, 18 | input signed [7:0] in9, 19 | 20 | input signed [7:0] in10, 21 | input signed [7:0] in11, 22 | input signed [7:0] in12, 23 | input signed [7:0] in13, 24 | input signed [7:0] in14, 25 | input signed [7:0] in15, 26 | input signed [7:0] in16, 27 | input signed [7:0] in17, 28 | input signed [7:0] in18, 29 | 30 | output signed [15:0] final, 31 | output finished_con2 32 | ); 33 | 34 | reg signed [15:0] out1; 35 | reg signed [15:0] out2; 36 | reg signed [15:0] out3; 37 | reg signed [15:0] out4; 38 | reg signed [15:0] out5; 39 | reg signed [15:0] out6; 40 | reg signed [15:0] out7; 41 | reg signed [15:0] out8; 42 | reg signed [15:0] out9; 43 | 44 | always@(posedge clk) 45 | begin 46 | if(enable) 47 | begin 48 | out1 <= (in1 * in10); 49 | out2 <= (in2 * in11); 50 | out3 <= (in3 * in12); 51 | out4 <= (in4 * in13); 52 | out5 <= (in5 * in14); 53 | out6 <= (in6 * in15); 54 | out7 <= (in7 * in16); 55 | out8 <= (in8 * in17); 56 | out9 <= (in9 * in18); 57 | end 58 | end 59 | 60 | addr2 A1 (clk,enable,out1,out2,out3,out4,out5,out6,out7,out8,out9,final,finished_con2); 61 | 62 | endmodule 63 | 64 | 65 | module addr2( 66 | input clk, 67 | input enable, 68 | input signed [15:0] in1, 69 | input signed [15:0] in2, 70 | input signed [15:0] in3, 71 | input signed [15:0] in4, 72 | input signed [15:0] in5, 73 | input signed [15:0] in6, 74 | input signed [15:0] in7, 75 | input signed [15:0] in8, 76 | input signed [15:0] in9, 77 | output reg signed [15:0] sum1, 78 | output reg finished 79 | ); 80 | 81 | //Add up all values from convolution 82 | 83 | always@(posedge clk) 84 | begin 85 | if(enable) 86 | begin 87 | sum1 <= (in1 + in2 + in3 + in4 + in5 + in6 + in7 + in8 + in9); 88 | finished <= 1; 89 | end 90 | end 91 | endmodule 92 | -------------------------------------------------------------------------------- /Nexys4DDR_Master.xdc: -------------------------------------------------------------------------------- 1 | ## This file is a general .xdc for the Nexys4 DDR Rev. C 2 | ## To use it in a project: 3 | ## - uncomment the lines corresponding to used pins 4 | ## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project 5 | 6 | ## Clock signal 7 | set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L12P_T1_MRCC_35 Sch=clk100mhz 8 | create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports {clk}]; 9 | 10 | 11 | ##Switches 12 | 13 | set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { rst }]; #IO_L24N_T3_RS0_15 Sch=sw[0] 14 | #set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { SW[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] 15 | #set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { SW[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] 16 | #set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { SW[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] 17 | #set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { SW[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] 18 | #set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { SW[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] 19 | #set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { SW[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] 20 | #set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { SW[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] 21 | #set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { SW[8] }]; #IO_L24N_T3_34 Sch=sw[8] 22 | #set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { SW[9] }]; #IO_25_34 Sch=sw[9] 23 | #set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { SW[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] 24 | #set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { SW[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] 25 | #set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { SW[12] }]; #IO_L24P_T3_35 Sch=sw[12] 26 | #set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { SW[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] 27 | #set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { SW[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] 28 | #set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { SW[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] 29 | 30 | 31 | ## LEDs 32 | 33 | #set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { LED[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] 34 | #set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { LED[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] 35 | #set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { LED[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] 36 | #set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { LED[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] 37 | #set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { LED[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] 38 | #set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { LED[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] 39 | #set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { LED[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] 40 | #set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { LED[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] 41 | #set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { LED[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] 42 | #set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { LED[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] 43 | #set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { LED[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] 44 | #set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { LED[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] 45 | #set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { LED[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] 46 | #set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { LED[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] 47 | #set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { LED[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] 48 | #set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { LED[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] 49 | 50 | set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports { pool_enable }]; #IO_L5P_T0_D06_14 Sch=led16_b 51 | #set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { LED16_G }]; #IO_L10P_T1_D14_14 Sch=led16_g 52 | #set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { LED16_R }]; #IO_L11P_T1_SRCC_14 Sch=led16_r 53 | #set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { LED17_B }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b 54 | #set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports { LED17_G }]; #IO_0_14 Sch=led17_g 55 | #set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { LED17_R }]; #IO_L11N_T1_SRCC_14 Sch=led17_r 56 | 57 | 58 | ##7 segment display 59 | 60 | #set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { CA }]; #IO_L24N_T3_A00_D16_14 Sch=ca 61 | #set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { CB }]; #IO_25_14 Sch=cb 62 | #set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { CC }]; #IO_25_15 Sch=cc 63 | #set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { CD }]; #IO_L17P_T2_A26_15 Sch=cd 64 | #set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { CE }]; #IO_L13P_T2_MRCC_14 Sch=ce 65 | #set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { CF }]; #IO_L19P_T3_A10_D26_14 Sch=cf 66 | #set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { CG }]; #IO_L4P_T0_D04_14 Sch=cg 67 | 68 | #set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { DP }]; #IO_L19N_T3_A21_VREF_15 Sch=dp 69 | 70 | #set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { AN[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] 71 | #set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { AN[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] 72 | #set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { AN[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] 73 | #set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { AN[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] 74 | #set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { AN[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] 75 | #set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { AN[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] 76 | #set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { AN[6] }]; #IO_L23P_T3_35 Sch=an[6] 77 | #set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { AN[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] 78 | 79 | 80 | ##Buttons 81 | 82 | #set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { CPU_RESETN }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn 83 | 84 | #set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { BTNC }]; #IO_L9P_T1_DQS_14 Sch=btnc 85 | #set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { BTNU }]; #IO_L4N_T0_D05_14 Sch=btnu 86 | #set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { BTNL }]; #IO_L12P_T1_MRCC_14 Sch=btnl 87 | #set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { BTNR }]; #IO_L10N_T1_D15_14 Sch=btnr 88 | #set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { BTND }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd 89 | 90 | 91 | ##Pmod Headers 92 | 93 | 94 | ##Pmod Header JA 95 | 96 | #set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 } [get_ports { JA[1] }]; #IO_L20N_T3_A19_15 Sch=ja[1] 97 | #set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { JA[2] }]; #IO_L21N_T3_DQS_A18_15 Sch=ja[2] 98 | #set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports { JA[3] }]; #IO_L21P_T3_DQS_15 Sch=ja[3] 99 | #set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { JA[4] }]; #IO_L18N_T2_A23_15 Sch=ja[4] 100 | #set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports { JA[7] }]; #IO_L16N_T2_A27_15 Sch=ja[7] 101 | #set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports { JA[8] }]; #IO_L16P_T2_A28_15 Sch=ja[8] 102 | #set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports { JA[9] }]; #IO_L22N_T3_A16_15 Sch=ja[9] 103 | #set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { JA[10] }]; #IO_L22P_T3_A17_15 Sch=ja[10] 104 | 105 | 106 | ##Pmod Header JB 107 | 108 | #set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { JB[1] }]; #IO_L1P_T0_AD0P_15 Sch=jb[1] 109 | #set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports { JB[2] }]; #IO_L14N_T2_SRCC_15 Sch=jb[2] 110 | #set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { JB[3] }]; #IO_L13N_T2_MRCC_15 Sch=jb[3] 111 | #set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { JB[4] }]; #IO_L15P_T2_DQS_15 Sch=jb[4] 112 | #set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports { JB[7] }]; #IO_L11N_T1_SRCC_15 Sch=jb[7] 113 | #set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports { JB[8] }]; #IO_L5P_T0_AD9P_15 Sch=jb[8] 114 | #set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { JB[9] }]; #IO_0_15 Sch=jb[9] 115 | #set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports { JB[10] }]; #IO_L13P_T2_MRCC_15 Sch=jb[10] 116 | 117 | 118 | ##Pmod Header JC 119 | 120 | #set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { JC[1] }]; #IO_L23N_T3_35 Sch=jc[1] 121 | #set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { JC[2] }]; #IO_L19N_T3_VREF_35 Sch=jc[2] 122 | #set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { JC[3] }]; #IO_L22N_T3_35 Sch=jc[3] 123 | #set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { JC[4] }]; #IO_L19P_T3_35 Sch=jc[4] 124 | #set_property -dict { PACKAGE_PIN E7 IOSTANDARD LVCMOS33 } [get_ports { JC[7] }]; #IO_L6P_T0_35 Sch=jc[7] 125 | #set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { JC[8] }]; #IO_L22P_T3_35 Sch=jc[8] 126 | #set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { JC[9] }]; #IO_L21P_T3_DQS_35 Sch=jc[9] 127 | #set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports { JC[10] }]; #IO_L5P_T0_AD13P_35 Sch=jc[10] 128 | 129 | 130 | ##Pmod Header JD 131 | 132 | #set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { JD[1] }]; #IO_L21N_T3_DQS_35 Sch=jd[1] 133 | #set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { JD[2] }]; #IO_L17P_T2_35 Sch=jd[2] 134 | #set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { JD[3] }]; #IO_L17N_T2_35 Sch=jd[3] 135 | #set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { JD[4] }]; #IO_L20N_T3_35 Sch=jd[4] 136 | #set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { JD[7] }]; #IO_L15P_T2_DQS_35 Sch=jd[7] 137 | #set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { JD[8] }]; #IO_L20P_T3_35 Sch=jd[8] 138 | #set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { JD[9] }]; #IO_L15N_T2_DQS_35 Sch=jd[9] 139 | #set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { JD[10] }]; #IO_L13N_T2_MRCC_35 Sch=jd[10] 140 | 141 | 142 | ##Pmod Header JXADC 143 | 144 | #set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVDS } [get_ports { XA_N[1] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=xa_n[1] 145 | #set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVDS } [get_ports { XA_P[1] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=xa_p[1] 146 | #set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVDS } [get_ports { XA_N[2] }]; #IO_L8N_T1_AD10N_15 Sch=xa_n[2] 147 | #set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVDS } [get_ports { XA_P[2] }]; #IO_L8P_T1_AD10P_15 Sch=xa_p[2] 148 | #set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVDS } [get_ports { XA_N[3] }]; #IO_L7N_T1_AD2N_15 Sch=xa_n[3] 149 | #set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVDS } [get_ports { XA_P[3] }]; #IO_L7P_T1_AD2P_15 Sch=xa_p[3] 150 | #set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVDS } [get_ports { XA_N[4] }]; #IO_L10N_T1_AD11N_15 Sch=xa_n[4] 151 | #set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVDS } [get_ports { XA_P[4] }]; #IO_L10P_T1_AD11P_15 Sch=xa_p[4] 152 | 153 | 154 | ##VGA Connector 155 | 156 | #set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] 157 | #set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] 158 | #set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] 159 | #set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] 160 | 161 | #set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] 162 | #set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] 163 | #set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] 164 | #set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] 165 | 166 | #set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] 167 | #set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] 168 | #set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] 169 | #set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] 170 | 171 | #set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs 172 | #set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs 173 | 174 | 175 | ##Micro SD Connector 176 | 177 | #set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports { SD_RESET }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset 178 | #set_property -dict { PACKAGE_PIN A1 IOSTANDARD LVCMOS33 } [get_ports { SD_CD }]; #IO_L9N_T1_DQS_AD7N_35 Sch=sd_cd 179 | #set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports { SD_SCK }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck 180 | #set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports { SD_CMD }]; #IO_L16N_T2_35 Sch=sd_cmd 181 | #set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[0] }]; #IO_L16P_T2_35 Sch=sd_dat[0] 182 | #set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[1] }]; #IO_L18N_T2_35 Sch=sd_dat[1] 183 | #set_property -dict { PACKAGE_PIN F1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[2] }]; #IO_L18P_T2_35 Sch=sd_dat[2] 184 | #set_property -dict { PACKAGE_PIN D2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[3] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3] 185 | 186 | 187 | ##Accelerometer 188 | 189 | #set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports { ACL_MISO }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso 190 | #set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports { ACL_MOSI }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi 191 | #set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports { ACL_SCLK }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk 192 | #set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports { ACL_CSN }]; #IO_L12P_T1_MRCC_15 Sch=acl_csn 193 | #set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[1] }]; #IO_L2P_T0_AD8P_15 Sch=acl_int[1] 194 | #set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[2] }]; #IO_L20P_T3_A20_15 Sch=acl_int[2] 195 | 196 | 197 | ##Temperature Sensor 198 | 199 | #set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { TMP_SCL }]; #IO_L1N_T0_AD0N_15 Sch=tmp_scl 200 | #set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports { TMP_SDA }]; #IO_L12N_T1_MRCC_15 Sch=tmp_sda 201 | #set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { TMP_INT }]; #IO_L6N_T0_VREF_15 Sch=tmp_int 202 | #set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { TMP_CT }]; #IO_L2N_T0_AD8N_15 Sch=tmp_ct 203 | 204 | ##Omnidirectional Microphone 205 | 206 | #set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { M_CLK }]; #IO_25_35 Sch=m_clk 207 | #set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { M_DATA }]; #IO_L24N_T3_35 Sch=m_data 208 | #set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports { M_LRSEL }]; #IO_0_35 Sch=m_lrsel 209 | 210 | 211 | ##PWM Audio Amplifier 212 | 213 | #set_property -dict { PACKAGE_PIN A11 IOSTANDARD LVCMOS33 } [get_ports { AUD_PWM }]; #IO_L4N_T0_15 Sch=aud_pwm 214 | #set_property -dict { PACKAGE_PIN D12 IOSTANDARD LVCMOS33 } [get_ports { AUD_SD }]; #IO_L6P_T0_15 Sch=aud_sd 215 | 216 | 217 | ##USB-RS232 Interface 218 | 219 | #set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { UART_TXD_IN }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in 220 | #set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { UART_RXD_OUT }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out 221 | #set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { UART_CTS }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts 222 | #set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { UART_RTS }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts 223 | 224 | ##USB HID (PS/2) 225 | 226 | #set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports { PS2_CLK }]; #IO_L13P_T2_MRCC_35 Sch=ps2_clk 227 | #set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports { PS2_DATA }]; #IO_L10N_T1_AD15N_35 Sch=ps2_data 228 | 229 | 230 | ##SMSC Ethernet PHY 231 | 232 | #set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDC }]; #IO_L11P_T1_SRCC_16 Sch=eth_mdc 233 | #set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDIO }]; #IO_L14N_T2_SRCC_16 Sch=eth_mdio 234 | #set_property -dict { PACKAGE_PIN B3 IOSTANDARD LVCMOS33 } [get_ports { ETH_RSTN }]; #IO_L10P_T1_AD15P_35 Sch=eth_rstn 235 | #set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { ETH_CRSDV }]; #IO_L6N_T0_VREF_16 Sch=eth_crsdv 236 | #set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXERR }]; #IO_L13N_T2_MRCC_16 Sch=eth_rxerr 237 | #set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[0] }]; #IO_L13P_T2_MRCC_16 Sch=eth_rxd[0] 238 | #set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[1] }]; #IO_L19N_T3_VREF_16 Sch=eth_rxd[1] 239 | #set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXEN }]; #IO_L11N_T1_SRCC_16 Sch=eth_txen 240 | #set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[0] }]; #IO_L14P_T2_SRCC_16 Sch=eth_txd[0] 241 | #set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[1] }]; #IO_L12N_T1_MRCC_16 Sch=eth_txd[1] 242 | #set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports { ETH_REFCLK }]; #IO_L11P_T1_SRCC_35 Sch=eth_refclk 243 | #set_property -dict { PACKAGE_PIN B8 IOSTANDARD LVCMOS33 } [get_ports { ETH_INTN }]; #IO_L12P_T1_MRCC_16 Sch=eth_intn 244 | 245 | 246 | ##Quad SPI Flash 247 | 248 | #set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] 249 | #set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] 250 | #set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] 251 | #set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] 252 | #set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { QSPI_CSN }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn 253 | 254 | 255 | 256 | 257 | 258 | 259 | 260 | 261 | 262 | 263 | 264 | 265 | 266 | -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | # SoC_CNN 2 | 3 | Convolutional Neural Network Implemented in Verilog for System on Chip -Work in Progress- 4 | 5 | Steps: 6 | 7 | 1) Two 128x128 grey scale images are read into ROMs, these images are text files that have a single pixel value per line. 8 | 2) Convolution is preformed on image one using a Laplacian filter and the result is written back into the initial ROM. 9 | 3) Convolution is preformed on image two using a Laplacian filter and the result is written back into the initial ROM. 10 | 4) Convolution is preformed between the two results from steps 2 and 3. The result is written into a new ROM. 11 | 5) Max pooling is prefomed on the result of step 4 and written into a new ROM. 12 | 13 | Convolution.v Functionality: 14 | 15 | The convolution modules are fairly straight forward. 9 inputs are read in from their respective ROMs and multiplied. In Convolution1 the inputs are multiplied by the Laplacian kernel and in Convolution2 the two ROMs' values are multiplied together. At the end of each convolution results are totalled and returned to the main. 16 | 17 | Maxpooling.v Functionality: 18 | 19 | The max pooling module takes in 4 inputs and returns the largest value to the main. 20 | 21 | Main.v Functionality: 22 | 23 | The main function first reads in the two 128x128 images from BRAM. Each image's pixel values are stored in a ROM. 24 | 25 | Once the images have been loaded into their respective ROMs the convolution module is instantiated. However, convolution can not just be applied to the ROMs. When convolution is done in a CNN it is not preformed on pixels 1, 2, 3, 4, 5, 6, 7, 8, and 9. A matrix like window is passed over the orginal image. So to replicate this effect, convolution must actually be performed on ROM values 0, 1, 2, 128, 129, 130, 256, 257, 258 (Imagine each text file has a giant matrix rather than its actual list). After the first calculation is done a "finished" signal notifies the main that the result can be written and the next set up inputs is required. 26 | 27 | This CNN uses a stride of 1, meaning that the convolution "window" is moved by one each time. This means that the second set of inputs required is 1, 2, 3, 129, 130, 131, 257, 258, and 259. Convolution continues until the width of the image has been covered. Once the "right side" of the "image" has been reached (ROM values 127, 255, and 383) the convolution "window" is moved down one "pixel" and reset back to the "left side" of the "image". The next 9 inputs should be ROM values 128, 129, 130, 256, 257, 258, 384, 385, and 386. This process repeats until the entire image has be convoluted with the laplacian filter. Results are written back into the initial ROMs. 28 | 29 | After both images have been convoluted with the filter, layer 1 is finished. Convolution is then preformed on these results using the same method described above to move through the ROMs and assign inputs. Results are written into a 3rd ROM. 30 | 31 | After layer 2 is complete the maxpooling module is instantiated. The same method is used to move through the ROMs and assign the inputs; however, a 2x2 matrix is used for max pooling rather than a 3x3. Results are written into a 4th ROM. 32 | 33 | FPGA Implementaion: 34 | 35 | Nexys 4 DDR Atrix 7 used for implementation. Constraint file included. 36 | 37 | Update 4/18/2019: Images are initialized in BRAM instead of being read from desktop. Allows for future FPGA implementation. BRAM created using Vivado IP Catalog and instantiated in Main.v. 38 | 39 | 40 | Work Left: 41 | 1) Bug and Functionality Fixes 42 | 2) Softmax implementation/Classification? 43 | 3) Cadence Analysis for SoC potential 44 | -------------------------------------------------------------------------------- /jordan1.txt: -------------------------------------------------------------------------------- 1 | 0 2 | 0 3 | 0 4 | 0 5 | 0 6 | 0 7 | 0 8 | 0 9 | 0 10 | 0 11 | 0 12 | 0 13 | 0 14 | 0 15 | 0 16 | 0 17 | 0 18 | 0 19 | 0 20 | 0 21 | 0 22 | 0 23 | 0 24 | 0 25 | 0 26 | 0 27 | 0 28 | 0 29 | 0 30 | 0 31 | 0 32 | 0 33 | 0 34 | 0 35 | 0 36 | 0 37 | 0 38 | 0 39 | 0 40 | 0 41 | 0 42 | 0 43 | 0 44 | 0 45 | 0 46 | 0 47 | 0 48 | 0 49 | 0 50 | 0 51 | 0 52 | 0 53 | 0 54 | 0 55 | 0 56 | 0 57 | 0 58 | 0 59 | 0 60 | 0 61 | 0 62 | 0 63 | 0 64 | 0 65 | 0 66 | 0 67 | 0 68 | 0 69 | 0 70 | 0 71 | 0 72 | 0 73 | 0 74 | 0 75 | 0 76 | 0 77 | 0 78 | 0 79 | 0 80 | 0 81 | 0 82 | 0 83 | 0 84 | 0 85 | 0 86 | 0 87 | 0 88 | 0 89 | 0 90 | 0 91 | 0 92 | 0 93 | 0 94 | 0 95 | 0 96 | 0 97 | 0 98 | 0 99 | 0 100 | 0 101 | 0 102 | 0 103 | 0 104 | 0 105 | 0 106 | 0 107 | 0 108 | 0 109 | 0 110 | 0 111 | 0 112 | 0 113 | 0 114 | 0 115 | 0 116 | 0 117 | 0 118 | 0 119 | 0 120 | 0 121 | 0 122 | 0 123 | 0 124 | 0 125 | 0 126 | 0 127 | 0 128 | 0 129 | 0 130 | 0 131 | 0 132 | 0 133 | 0 134 | 0 135 | 0 136 | 0 137 | 0 138 | 0 139 | 0 140 | 0 141 | 0 142 | 0 143 | 0 144 | 0 145 | 0 146 | 0 147 | 0 148 | 0 149 | 0 150 | 0 151 | 0 152 | 0 153 | 0 154 | 0 155 | 0 156 | 0 157 | 0 158 | 0 159 | 0 160 | 0 161 | 0 162 | 0 163 | 0 164 | 0 165 | 0 166 | 0 167 | 0 168 | 0 169 | 0 170 | 0 171 | 0 172 | 0 173 | 0 174 | 0 175 | 0 176 | 0 177 | 0 178 | 0 179 | 0 180 | 0 181 | 0 182 | 0 183 | 0 184 | 0 185 | 0 186 | 0 187 | 0 188 | 0 189 | 0 190 | 0 191 | 0 192 | 0 193 | 0 194 | 0 195 | 0 196 | 0 197 | 0 198 | 0 199 | 0 200 | 0 201 | 0 202 | 0 203 | 0 204 | 0 205 | 0 206 | 0 207 | 0 208 | 0 209 | 0 210 | 0 211 | 0 212 | 0 213 | 0 214 | 0 215 | 0 216 | 0 217 | 0 218 | 0 219 | 0 220 | 0 221 | 0 222 | 0 223 | 0 224 | 0 225 | 0 226 | 0 227 | 0 228 | 0 229 | 0 230 | 0 231 | 0 232 | 0 233 | 0 234 | 0 235 | 0 236 | 0 237 | 0 238 | 0 239 | 0 240 | 0 241 | 0 242 | 0 243 | 0 244 | 0 245 | 0 246 | 0 247 | 0 248 | 0 249 | 0 250 | 0 251 | 0 252 | 0 253 | 0 254 | 0 255 | 0 256 | 0 257 | 0 258 | 0 259 | 0 260 | 0 261 | 0 262 | 0 263 | 0 264 | 0 265 | 0 266 | 0 267 | 0 268 | 0 269 | 0 270 | 0 271 | 0 272 | 0 273 | 0 274 | 0 275 | 0 276 | 0 277 | 0 278 | 0 279 | 0 280 | 0 281 | 0 282 | 0 283 | 0 284 | 0 285 | 0 286 | 0 287 | 0 288 | 0 289 | 0 290 | 0 291 | 0 292 | 0 293 | 0 294 | 0 295 | 0 296 | 0 297 | 0 298 | 0 299 | 0 300 | 0 301 | 0 302 | 0 303 | 0 304 | 0 305 | 0 306 | 0 307 | 0 308 | 0 309 | 0 310 | 0 311 | 0 312 | 0 313 | 0 314 | 0 315 | 0 316 | 0 317 | 0 318 | 0 319 | 0 320 | 0 321 | 0 322 | 0 323 | 0 324 | 0 325 | 0 326 | 0 327 | 0 328 | 0 329 | 0 330 | 0 331 | 0 332 | 0 333 | 0 334 | 0 335 | 0 336 | 0 337 | 0 338 | 0 339 | 0 340 | 0 341 | 0 342 | 0 343 | 0 344 | 0 345 | 0 346 | 0 347 | 0 348 | 0 349 | 0 350 | 0 351 | 0 352 | 0 353 | 0 354 | 0 355 | 0 356 | 0 357 | 0 358 | 0 359 | 0 360 | 0 361 | 0 362 | 0 363 | 0 364 | 0 365 | 0 366 | 0 367 | 0 368 | 0 369 | 0 370 | 0 371 | 0 372 | 0 373 | 0 374 | 0 375 | 0 376 | 0 377 | 0 378 | 0 379 | 0 380 | 0 381 | 0 382 | 0 383 | 0 384 | 0 385 | 0 386 | 0 387 | 0 388 | 0 389 | 0 390 | 0 391 | 0 392 | 0 393 | 0 394 | 0 395 | 0 396 | 0 397 | 0 398 | 0 399 | 0 400 | 0 401 | 0 402 | 0 403 | 0 404 | 0 405 | 0 406 | 0 407 | 0 408 | 0 409 | 0 410 | 0 411 | 0 412 | 0 413 | 0 414 | 0 415 | 0 416 | 0 417 | 0 418 | 0 419 | 0 420 | 0 421 | 0 422 | 0 423 | 0 424 | 0 425 | 0 426 | 0 427 | 0 428 | 0 429 | 0 430 | 0 431 | 0 432 | 0 433 | 0 434 | 0 435 | 0 436 | 0 437 | 0 438 | 0 439 | 0 440 | 0 441 | 0 442 | 0 443 | 0 444 | 0 445 | 0 446 | 0 447 | 0 448 | 0 449 | 0 450 | 0 451 | 0 452 | 0 453 | 0 454 | 0 455 | 0 456 | 0 457 | 0 458 | 0 459 | 0 460 | 0 461 | 0 462 | 0 463 | 0 464 | 0 465 | 0 466 | 0 467 | 0 468 | 0 469 | 0 470 | 0 471 | 0 472 | 0 473 | 0 474 | 0 475 | 0 476 | 0 477 | 0 478 | 0 479 | 0 480 | 0 481 | 0 482 | 0 483 | 0 484 | 0 485 | 0 486 | 0 487 | 0 488 | 0 489 | 0 490 | 0 491 | 0 492 | 0 493 | 0 494 | 0 495 | 0 496 | 0 497 | 0 498 | 0 499 | 0 500 | 0 501 | 0 502 | 0 503 | 0 504 | 0 505 | 0 506 | 0 507 | 0 508 | 0 509 | 0 510 | 0 511 | 0 512 | 0 513 | 0 514 | 0 515 | 0 516 | 0 517 | 0 518 | 0 519 | 0 520 | 0 521 | 0 522 | 0 523 | 0 524 | 0 525 | 0 526 | 0 527 | 0 528 | 0 529 | 0 530 | 0 531 | 0 532 | 0 533 | 0 534 | 0 535 | 0 536 | 0 537 | 0 538 | 0 539 | 0 540 | 0 541 | 0 542 | 0 543 | 0 544 | 0 545 | 0 546 | 0 547 | 0 548 | 0 549 | 0 550 | 0 551 | 0 552 | 0 553 | 0 554 | 0 555 | 0 556 | 0 557 | 0 558 | 0 559 | 0 560 | 0 561 | 0 562 | 0 563 | 0 564 | 0 565 | 0 566 | 0 567 | 0 568 | 0 569 | 0 570 | 0 571 | 0 572 | 0 573 | 0 574 | 0 575 | 0 576 | 0 577 | 0 578 | 0 579 | 0 580 | 0 581 | 0 582 | 0 583 | 0 584 | 0 585 | 0 586 | 0 587 | 0 588 | 0 589 | 0 590 | 0 591 | 0 592 | 0 593 | 0 594 | 0 595 | 0 596 | 0 597 | 0 598 | 0 599 | 0 600 | 0 601 | 0 602 | 0 603 | 0 604 | 0 605 | 0 606 | 0 607 | 0 608 | 0 609 | 0 610 | 0 611 | 0 612 | 0 613 | 0 614 | 0 615 | 0 616 | 0 617 | 0 618 | 0 619 | 0 620 | 0 621 | 0 622 | 0 623 | 0 624 | 0 625 | 0 626 | 0 627 | 0 628 | 0 629 | 0 630 | 0 631 | 0 632 | 0 633 | 0 634 | 0 635 | 0 636 | 0 637 | 0 638 | 0 639 | 0 640 | 0 641 | 0 642 | 0 643 | 0 644 | 0 645 | 0 646 | 0 647 | 0 648 | 0 649 | 0 650 | 0 651 | 0 652 | 0 653 | 0 654 | 0 655 | 0 656 | 0 657 | 0 658 | 0 659 | 0 660 | 0 661 | 0 662 | 0 663 | 0 664 | 0 665 | 0 666 | 0 667 | 0 668 | 0 669 | 0 670 | 0 671 | 0 672 | 0 673 | 0 674 | 0 675 | 0 676 | 0 677 | 0 678 | 0 679 | 0 680 | 0 681 | 0 682 | 0 683 | 0 684 | 0 685 | 0 686 | 0 687 | 0 688 | 0 689 | 0 690 | 0 691 | 0 692 | 0 693 | 0 694 | 0 695 | 0 696 | 0 697 | 0 698 | 0 699 | 0 700 | 0 701 | 0 702 | 0 703 | 0 704 | 0 705 | 0 706 | 0 707 | 0 708 | 0 709 | 0 710 | 0 711 | 0 712 | 0 713 | 0 714 | 0 715 | 0 716 | 0 717 | 0 718 | 0 719 | 0 720 | 0 721 | 0 722 | 0 723 | 0 724 | 0 725 | 0 726 | 0 727 | 0 728 | 0 729 | 0 730 | 0 731 | 0 732 | 0 733 | 0 734 | 0 735 | 0 736 | 0 737 | 0 738 | 0 739 | 0 740 | 0 741 | 0 742 | 0 743 | 0 744 | 0 745 | 0 746 | 0 747 | 0 748 | 0 749 | 0 750 | 0 751 | 0 752 | 0 753 | 0 754 | 0 755 | 0 756 | 0 757 | 0 758 | 0 759 | 0 760 | 0 761 | 0 762 | 0 763 | 0 764 | 0 765 | 0 766 | 0 767 | 0 768 | 0 769 | 0 770 | 0 771 | 0 772 | 0 773 | 0 774 | 0 775 | 0 776 | 0 777 | 0 778 | 0 779 | 0 780 | 0 781 | 0 782 | 0 783 | 0 784 | 0 785 | 0 786 | 0 787 | 0 788 | 0 789 | 0 790 | 0 791 | 0 792 | 0 793 | 0 794 | 0 795 | 0 796 | 0 797 | 0 798 | 0 799 | 0 800 | 0 801 | 0 802 | 0 803 | 0 804 | 0 805 | 0 806 | 0 807 | 0 808 | 0 809 | 0 810 | 0 811 | 0 812 | 0 813 | 0 814 | 0 815 | 0 816 | 0 817 | 0 818 | 0 819 | 0 820 | 0 821 | 0 822 | 0 823 | 0 824 | 0 825 | 0 826 | 0 827 | 0 828 | 0 829 | 0 830 | 0 831 | 0 832 | 0 833 | 0 834 | 0 835 | 0 836 | 0 837 | 0 838 | 0 839 | 0 840 | 0 841 | 0 842 | 0 843 | 0 844 | 0 845 | 0 846 | 0 847 | 0 848 | 0 849 | 0 850 | 0 851 | 0 852 | 0 853 | 0 854 | 0 855 | 0 856 | 0 857 | 0 858 | 0 859 | 0 860 | 0 861 | 0 862 | 0 863 | 0 864 | 0 865 | 0 866 | 0 867 | 0 868 | 0 869 | 0 870 | 0 871 | 0 872 | 0 873 | 0 874 | 0 875 | 0 876 | 0 877 | 0 878 | 0 879 | 0 880 | 0 881 | 0 882 | 0 883 | 0 884 | 0 885 | 0 886 | 0 887 | 0 888 | 0 889 | 0 890 | 0 891 | 0 892 | 0 893 | 0 894 | 0 895 | 0 896 | 0 897 | 0 898 | 0 899 | 0 900 | 0 901 | 0 902 | 0 903 | 0 904 | 0 905 | 0 906 | 0 907 | 0 908 | 0 909 | 0 910 | 0 911 | 0 912 | 0 913 | 0 914 | 0 915 | 0 916 | 0 917 | 0 918 | 0 919 | 0 920 | 0 921 | 0 922 | 0 923 | 0 924 | 0 925 | 0 926 | 0 927 | 0 928 | 0 929 | 0 930 | 0 931 | 0 932 | 0 933 | 0 934 | 0 935 | 0 936 | 0 937 | 0 938 | 0 939 | 0 940 | 0 941 | 0 942 | 0 943 | 0 944 | 0 945 | 0 946 | 0 947 | 0 948 | 0 949 | 0 950 | 0 951 | 0 952 | 0 953 | 0 954 | 0 955 | 0 956 | 0 957 | 0 958 | 0 959 | 0 960 | 0 961 | 0 962 | 0 963 | 0 964 | 0 965 | 0 966 | 0 967 | 0 968 | 0 969 | 0 970 | 0 971 | 0 972 | 0 973 | 0 974 | 0 975 | 0 976 | 0 977 | 0 978 | 0 979 | 0 980 | 0 981 | 0 982 | 0 983 | 0 984 | 0 985 | 0 986 | 0 987 | 0 988 | 0 989 | 0 990 | 0 991 | 0 992 | 0 993 | 0 994 | 0 995 | 0 996 | 0 997 | 0 998 | 0 999 | 0 1000 | 0 1001 | 0 1002 | 0 1003 | 0 1004 | 0 1005 | 0 1006 | 0 1007 | 0 1008 | 0 1009 | 0 1010 | 0 1011 | 0 1012 | 0 1013 | 0 1014 | 0 1015 | 0 1016 | 0 1017 | 0 1018 | 0 1019 | 0 1020 | 0 1021 | 0 1022 | 0 1023 | 0 1024 | 0 1025 | 0 1026 | 0 1027 | 0 1028 | 0 1029 | 0 1030 | 0 1031 | 0 1032 | 0 1033 | 0 1034 | 0 1035 | 0 1036 | 0 1037 | 0 1038 | 0 1039 | 0 1040 | 0 1041 | 0 1042 | 0 1043 | 0 1044 | 0 1045 | 0 1046 | 0 1047 | 0 1048 | 0 1049 | 0 1050 | 0 1051 | 0 1052 | 0 1053 | 0 1054 | 0 1055 | 0 1056 | 0 1057 | 0 1058 | 0 1059 | 0 1060 | 0 1061 | 0 1062 | 0 1063 | 0 1064 | 0 1065 | 0 1066 | 0 1067 | 0 1068 | 0 1069 | 0 1070 | 0 1071 | 0 1072 | 0 1073 | 0 1074 | 0 1075 | 0 1076 | 0 1077 | 0 1078 | 0 1079 | 0 1080 | 0 1081 | 0 1082 | 0 1083 | 0 1084 | 0 1085 | 0 1086 | 0 1087 | 0 1088 | 0 1089 | 0 1090 | 0 1091 | 0 1092 | 0 1093 | 0 1094 | 0 1095 | 0 1096 | 0 1097 | 0 1098 | 0 1099 | 0 1100 | 0 1101 | 0 1102 | 0 1103 | 0 1104 | 0 1105 | 0 1106 | 0 1107 | 0 1108 | 0 1109 | 0 1110 | 0 1111 | 0 1112 | 0 1113 | 0 1114 | 0 1115 | 0 1116 | 0 1117 | 0 1118 | 0 1119 | 0 1120 | 0 1121 | 0 1122 | 0 1123 | 0 1124 | 0 1125 | 0 1126 | 0 1127 | 0 1128 | 0 1129 | 0 1130 | 0 1131 | 0 1132 | 0 1133 | 0 1134 | 0 1135 | 0 1136 | 0 1137 | 0 1138 | 0 1139 | 0 1140 | 0 1141 | 0 1142 | 0 1143 | 0 1144 | 0 1145 | 0 1146 | 0 1147 | 0 1148 | 0 1149 | 0 1150 | 0 1151 | 0 1152 | 0 1153 | 0 1154 | 0 1155 | 0 1156 | 0 1157 | 0 1158 | 0 1159 | 0 1160 | 0 1161 | 0 1162 | 0 1163 | 0 1164 | 0 1165 | 0 1166 | 0 1167 | 0 1168 | 0 1169 | 0 1170 | 0 1171 | 0 1172 | 0 1173 | 0 1174 | 0 1175 | 0 1176 | 0 1177 | 0 1178 | 0 1179 | 0 1180 | 0 1181 | 0 1182 | 0 1183 | 0 1184 | 0 1185 | 0 1186 | 0 1187 | 0 1188 | 0 1189 | 0 1190 | 0 1191 | 0 1192 | 0 1193 | 0 1194 | 0 1195 | 0 1196 | 0 1197 | 0 1198 | 0 1199 | 0 1200 | 0 1201 | 0 1202 | 0 1203 | 0 1204 | 0 1205 | 0 1206 | 0 1207 | 0 1208 | 0 1209 | 0 1210 | 0 1211 | 0 1212 | 0 1213 | 0 1214 | 0 1215 | 0 1216 | 0 1217 | 0 1218 | 0 1219 | 0 1220 | 0 1221 | 0 1222 | 0 1223 | 0 1224 | 0 1225 | 0 1226 | 0 1227 | 0 1228 | 0 1229 | 0 1230 | 0 1231 | 0 1232 | 0 1233 | 0 1234 | 0 1235 | 0 1236 | 0 1237 | 0 1238 | 0 1239 | 0 1240 | 0 1241 | 0 1242 | 0 1243 | 0 1244 | 0 1245 | 0 1246 | 0 1247 | 0 1248 | 0 1249 | 0 1250 | 0 1251 | 0 1252 | 0 1253 | 0 1254 | 0 1255 | 0 1256 | 0 1257 | 0 1258 | 0 1259 | 0 1260 | 0 1261 | 0 1262 | 0 1263 | 0 1264 | 0 1265 | 0 1266 | 0 1267 | 0 1268 | 0 1269 | 0 1270 | 0 1271 | 0 1272 | 0 1273 | 0 1274 | 0 1275 | 0 1276 | 0 1277 | 0 1278 | 0 1279 | 0 1280 | 0 1281 | 0 1282 | 0 1283 | 0 1284 | 0 1285 | 0 1286 | 0 1287 | 0 1288 | 0 1289 | 0 1290 | 0 1291 | 0 1292 | 0 1293 | 0 1294 | 0 1295 | 0 1296 | 0 1297 | 0 1298 | 0 1299 | 0 1300 | 0 1301 | 0 1302 | 0 1303 | 0 1304 | 0 1305 | 0 1306 | 0 1307 | 0 1308 | 0 1309 | 0 1310 | 0 1311 | 0 1312 | 0 1313 | 0 1314 | 0 1315 | 0 1316 | 0 1317 | 0 1318 | 0 1319 | 0 1320 | 0 1321 | 0 1322 | 0 1323 | 0 1324 | 0 1325 | 0 1326 | 0 1327 | 0 1328 | 0 1329 | 0 1330 | 0 1331 | 0 1332 | 0 1333 | 0 1334 | 0 1335 | 0 1336 | 0 1337 | 0 1338 | 0 1339 | 0 1340 | 0 1341 | 0 1342 | 0 1343 | 0 1344 | 0 1345 | 0 1346 | 0 1347 | 0 1348 | 0 1349 | 0 1350 | 0 1351 | 0 1352 | 0 1353 | 0 1354 | 0 1355 | 0 1356 | 0 1357 | 0 1358 | 0 1359 | 0 1360 | 0 1361 | 0 1362 | 0 1363 | 0 1364 | 0 1365 | 0 1366 | 0 1367 | 0 1368 | 0 1369 | 0 1370 | 0 1371 | 0 1372 | 0 1373 | 0 1374 | 0 1375 | 0 1376 | 0 1377 | 0 1378 | 0 1379 | 0 1380 | 0 1381 | 0 1382 | 0 1383 | 0 1384 | 0 1385 | 0 1386 | 0 1387 | 0 1388 | 0 1389 | 0 1390 | 0 1391 | 0 1392 | 0 1393 | 0 1394 | 0 1395 | 0 1396 | 0 1397 | 0 1398 | 0 1399 | 0 1400 | 0 1401 | 0 1402 | 0 1403 | 0 1404 | 0 1405 | 0 1406 | 0 1407 | 0 1408 | 0 1409 | 0 1410 | 0 1411 | 0 1412 | 0 1413 | 0 1414 | 0 1415 | 0 1416 | 0 1417 | 0 1418 | 0 1419 | 0 1420 | 0 1421 | 0 1422 | 0 1423 | 0 1424 | 0 1425 | 0 1426 | 0 1427 | 0 1428 | 0 1429 | 0 1430 | 0 1431 | 0 1432 | 0 1433 | 0 1434 | 0 1435 | 0 1436 | 0 1437 | 0 1438 | 0 1439 | 0 1440 | 0 1441 | 0 1442 | 0 1443 | 0 1444 | 0 1445 | 0 1446 | 0 1447 | 0 1448 | 0 1449 | 0 1450 | 0 1451 | 0 1452 | 0 1453 | 0 1454 | 0 1455 | 0 1456 | 0 1457 | 0 1458 | 0 1459 | 0 1460 | 0 1461 | 0 1462 | 0 1463 | 0 1464 | 0 1465 | 0 1466 | 0 1467 | 0 1468 | 0 1469 | 0 1470 | 0 1471 | 0 1472 | 0 1473 | 0 1474 | 0 1475 | 0 1476 | 0 1477 | 0 1478 | 0 1479 | 0 1480 | 0 1481 | 0 1482 | 0 1483 | 0 1484 | 0 1485 | 0 1486 | 0 1487 | 0 1488 | 0 1489 | 0 1490 | 0 1491 | 0 1492 | 0 1493 | 0 1494 | 0 1495 | 0 1496 | 0 1497 | 0 1498 | 0 1499 | 0 1500 | 0 1501 | 0 1502 | 0 1503 | 0 1504 | 0 1505 | 0 1506 | 0 1507 | 0 1508 | 0 1509 | 0 1510 | 0 1511 | 0 1512 | 0 1513 | 0 1514 | 0 1515 | 0 1516 | 0 1517 | 0 1518 | 0 1519 | 0 1520 | 0 1521 | 0 1522 | 0 1523 | 0 1524 | 0 1525 | 0 1526 | 0 1527 | 0 1528 | 0 1529 | 0 1530 | 0 1531 | 0 1532 | 0 1533 | 0 1534 | 0 1535 | 0 1536 | 0 1537 | 0 1538 | 0 1539 | 0 1540 | 0 1541 | 0 1542 | 0 1543 | 0 1544 | 0 1545 | 0 1546 | 0 1547 | 0 1548 | 0 1549 | 0 1550 | 0 1551 | 0 1552 | 0 1553 | 0 1554 | 0 1555 | 0 1556 | 0 1557 | 0 1558 | 0 1559 | 0 1560 | 0 1561 | 0 1562 | 0 1563 | 0 1564 | 0 1565 | 0 1566 | 0 1567 | 0 1568 | 0 1569 | 0 1570 | 0 1571 | 0 1572 | 0 1573 | 0 1574 | 0 1575 | 0 1576 | 0 1577 | 0 1578 | 0 1579 | 0 1580 | 0 1581 | 0 1582 | 0 1583 | 0 1584 | 0 1585 | 0 1586 | 0 1587 | 0 1588 | 0 1589 | 0 1590 | 0 1591 | 0 1592 | 0 1593 | 0 1594 | 0 1595 | 0 1596 | 0 1597 | 0 1598 | 0 1599 | 0 1600 | 0 1601 | 0 1602 | 0 1603 | 0 1604 | 0 1605 | 0 1606 | 0 1607 | 0 1608 | 0 1609 | 0 1610 | 0 1611 | 0 1612 | 0 1613 | 0 1614 | 0 1615 | 0 1616 | 0 1617 | 0 1618 | 0 1619 | 0 1620 | 0 1621 | 0 1622 | 0 1623 | 0 1624 | 0 1625 | 0 1626 | 0 1627 | 0 1628 | 0 1629 | 0 1630 | 0 1631 | 0 1632 | 0 1633 | 0 1634 | 0 1635 | 0 1636 | 0 1637 | 0 1638 | 0 1639 | 0 1640 | 0 1641 | 0 1642 | 0 1643 | 0 1644 | 0 1645 | 0 1646 | 0 1647 | 0 1648 | 0 1649 | 0 1650 | 0 1651 | 0 1652 | 0 1653 | 0 1654 | 0 1655 | 0 1656 | 0 1657 | 0 1658 | 0 1659 | 0 1660 | 0 1661 | 0 1662 | 0 1663 | 0 1664 | 0 1665 | 0 1666 | 0 1667 | 0 1668 | 0 1669 | 0 1670 | 0 1671 | 0 1672 | 0 1673 | 0 1674 | 0 1675 | 0 1676 | 0 1677 | 0 1678 | 0 1679 | 0 1680 | 0 1681 | 0 1682 | 0 1683 | 0 1684 | 0 1685 | 0 1686 | 0 1687 | 0 1688 | 0 1689 | 0 1690 | 0 1691 | 0 1692 | 0 1693 | 0 1694 | 0 1695 | 0 1696 | 0 1697 | 0 1698 | 0 1699 | 0 1700 | 0 1701 | 0 1702 | 0 1703 | 0 1704 | 0 1705 | 0 1706 | 0 1707 | 0 1708 | 0 1709 | 0 1710 | 0 1711 | 0 1712 | 0 1713 | 0 1714 | 0 1715 | 0 1716 | 0 1717 | 0 1718 | 0 1719 | 0 1720 | 0 1721 | 0 1722 | 0 1723 | 0 1724 | 0 1725 | 0 1726 | 0 1727 | 0 1728 | 0 1729 | 0 1730 | 0 1731 | 0 1732 | 0 1733 | 0 1734 | 0 1735 | 0 1736 | 0 1737 | 0 1738 | 0 1739 | 0 1740 | 0 1741 | 0 1742 | 0 1743 | 0 1744 | 0 1745 | 0 1746 | 0 1747 | 0 1748 | 0 1749 | 0 1750 | 0 1751 | 0 1752 | 0 1753 | 0 1754 | 0 1755 | 0 1756 | 0 1757 | 0 1758 | 0 1759 | 0 1760 | 0 1761 | 0 1762 | 0 1763 | 0 1764 | 0 1765 | 0 1766 | 0 1767 | 0 1768 | 0 1769 | 0 1770 | 0 1771 | 0 1772 | 0 1773 | 0 1774 | 0 1775 | 0 1776 | 0 1777 | 0 1778 | 0 1779 | 0 1780 | 0 1781 | 0 1782 | 0 1783 | 0 1784 | 0 1785 | 0 1786 | 0 1787 | 0 1788 | 0 1789 | 0 1790 | 0 1791 | 0 1792 | 0 1793 | 0 1794 | 0 1795 | 0 1796 | 0 1797 | 0 1798 | 0 1799 | 0 1800 | 0 1801 | 0 1802 | 0 1803 | 0 1804 | 0 1805 | 0 1806 | 0 1807 | 0 1808 | 0 1809 | 0 1810 | 0 1811 | 0 1812 | 0 1813 | 0 1814 | 0 1815 | 0 1816 | 0 1817 | 0 1818 | 0 1819 | 0 1820 | 0 1821 | 0 1822 | 0 1823 | 0 1824 | 0 1825 | 0 1826 | 0 1827 | 0 1828 | 0 1829 | 0 1830 | 0 1831 | 0 1832 | 0 1833 | 0 1834 | 0 1835 | 0 1836 | 0 1837 | 0 1838 | 0 1839 | 0 1840 | 0 1841 | 0 1842 | 0 1843 | 0 1844 | 0 1845 | 0 1846 | 0 1847 | 0 1848 | 0 1849 | 0 1850 | 0 1851 | 0 1852 | 0 1853 | 0 1854 | 0 1855 | 0 1856 | 0 1857 | 0 1858 | 0 1859 | 0 1860 | 0 1861 | 0 1862 | 0 1863 | 0 1864 | 0 1865 | 0 1866 | 0 1867 | 0 1868 | 0 1869 | 0 1870 | 0 1871 | 0 1872 | 0 1873 | 0 1874 | 0 1875 | 0 1876 | 0 1877 | 0 1878 | 0 1879 | 0 1880 | 0 1881 | 0 1882 | 0 1883 | 0 1884 | 0 1885 | 0 1886 | 0 1887 | 0 1888 | 0 1889 | 0 1890 | 0 1891 | 0 1892 | 0 1893 | 0 1894 | 0 1895 | 0 1896 | 0 1897 | 0 1898 | 0 1899 | 0 1900 | 0 1901 | 0 1902 | 0 1903 | 0 1904 | 0 1905 | 0 1906 | 0 1907 | 0 1908 | 0 1909 | 0 1910 | 0 1911 | 0 1912 | 0 1913 | 0 1914 | 0 1915 | 0 1916 | 0 1917 | 0 1918 | 0 1919 | 0 1920 | 0 1921 | 0 1922 | 0 1923 | 0 1924 | 0 1925 | 0 1926 | 0 1927 | 0 1928 | 0 1929 | 0 1930 | 0 1931 | 0 1932 | 0 1933 | 0 1934 | 0 1935 | 0 1936 | 0 1937 | 0 1938 | 0 1939 | 0 1940 | 0 1941 | 0 1942 | 0 1943 | 0 1944 | 0 1945 | 0 1946 | 0 1947 | 0 1948 | 0 1949 | 0 1950 | 0 1951 | 0 1952 | 0 1953 | 0 1954 | 0 1955 | 0 1956 | 0 1957 | 0 1958 | 0 1959 | 0 1960 | 0 1961 | 0 1962 | 0 1963 | 0 1964 | 0 1965 | 0 1966 | 0 1967 | 0 1968 | 0 1969 | 0 1970 | 0 1971 | 0 1972 | 0 1973 | 0 1974 | 0 1975 | 0 1976 | 0 1977 | 0 1978 | 0 1979 | 0 1980 | 0 1981 | 0 1982 | 0 1983 | 0 1984 | 0 1985 | 0 1986 | 0 1987 | 0 1988 | 0 1989 | 0 1990 | 0 1991 | 0 1992 | 0 1993 | 0 1994 | 0 1995 | 0 1996 | 0 1997 | 0 1998 | 0 1999 | 0 2000 | 0 2001 | 0 2002 | 0 2003 | 0 2004 | 0 2005 | 0 2006 | 0 2007 | 0 2008 | 0 2009 | 0 2010 | 0 2011 | 0 2012 | 0 2013 | 0 2014 | 0 2015 | 0 2016 | 0 2017 | 0 2018 | 0 2019 | 0 2020 | 0 2021 | 0 2022 | 0 2023 | 0 2024 | 0 2025 | 0 2026 | 0 2027 | 0 2028 | 0 2029 | 0 2030 | 0 2031 | 0 2032 | 0 2033 | 0 2034 | 0 2035 | 0 2036 | 0 2037 | 0 2038 | 0 2039 | 0 2040 | 0 2041 | 0 2042 | 0 2043 | 0 2044 | 0 2045 | 0 2046 | 0 2047 | 0 2048 | 0 2049 | 0 2050 | 0 2051 | 0 2052 | 0 2053 | 0 2054 | 0 2055 | 0 2056 | 0 2057 | 0 2058 | 0 2059 | 0 2060 | 0 2061 | 0 2062 | 0 2063 | 0 2064 | 0 2065 | 0 2066 | 0 2067 | 0 2068 | 0 2069 | 0 2070 | 0 2071 | 0 2072 | 0 2073 | 0 2074 | 0 2075 | 0 2076 | 0 2077 | 0 2078 | 0 2079 | 0 2080 | 0 2081 | 0 2082 | 0 2083 | 0 2084 | 0 2085 | 0 2086 | 0 2087 | 0 2088 | 0 2089 | 0 2090 | 0 2091 | 0 2092 | 0 2093 | 0 2094 | 0 2095 | 0 2096 | 0 2097 | 0 2098 | 0 2099 | 0 2100 | 0 2101 | 0 2102 | 0 2103 | 0 2104 | 0 2105 | 0 2106 | 0 2107 | 0 2108 | 0 2109 | 0 2110 | 0 2111 | 0 2112 | 0 2113 | 0 2114 | 0 2115 | 0 2116 | 0 2117 | 0 2118 | 0 2119 | 0 2120 | 0 2121 | 0 2122 | 0 2123 | 0 2124 | 0 2125 | 0 2126 | 0 2127 | 0 2128 | 0 2129 | 0 2130 | 0 2131 | 0 2132 | 0 2133 | 0 2134 | 0 2135 | 0 2136 | 0 2137 | 0 2138 | 0 2139 | 0 2140 | 0 2141 | 0 2142 | 0 2143 | 0 2144 | 0 2145 | 0 2146 | 0 2147 | 0 2148 | 0 2149 | 0 2150 | 0 2151 | 0 2152 | 0 2153 | 0 2154 | 0 2155 | 0 2156 | 0 2157 | 0 2158 | 0 2159 | 0 2160 | 0 2161 | 0 2162 | 0 2163 | 0 2164 | 0 2165 | 0 2166 | 0 2167 | 0 2168 | 0 2169 | 0 2170 | 0 2171 | 0 2172 | 0 2173 | 0 2174 | 0 2175 | 0 2176 | 0 2177 | 0 2178 | 0 2179 | 0 2180 | 0 2181 | 0 2182 | 0 2183 | 0 2184 | 0 2185 | 0 2186 | 0 2187 | 0 2188 | 0 2189 | 0 2190 | 0 2191 | 0 2192 | 0 2193 | 0 2194 | 0 2195 | 0 2196 | 0 2197 | 0 2198 | 0 2199 | 0 2200 | 0 2201 | 0 2202 | 0 2203 | 0 2204 | 0 2205 | 0 2206 | 0 2207 | 0 2208 | 0 2209 | 0 2210 | 0 2211 | 0 2212 | 0 2213 | 0 2214 | 0 2215 | 0 2216 | 0 2217 | 0 2218 | 0 2219 | 0 2220 | 0 2221 | 0 2222 | 0 2223 | 0 2224 | 0 2225 | 0 2226 | 0 2227 | 0 2228 | 0 2229 | 0 2230 | 0 2231 | 0 2232 | 0 2233 | 0 2234 | 0 2235 | 0 2236 | 0 2237 | 0 2238 | 0 2239 | 0 2240 | 0 2241 | 0 2242 | 0 2243 | 0 2244 | 0 2245 | 0 2246 | 0 2247 | 0 2248 | 0 2249 | 0 2250 | 0 2251 | 0 2252 | 0 2253 | 0 2254 | 0 2255 | 0 2256 | 0 2257 | 0 2258 | 0 2259 | 0 2260 | 0 2261 | 0 2262 | 0 2263 | 0 2264 | 0 2265 | 0 2266 | 0 2267 | 0 2268 | 0 2269 | 0 2270 | 0 2271 | 0 2272 | 0 2273 | 0 2274 | 0 2275 | 0 2276 | 0 2277 | 0 2278 | 0 2279 | 0 2280 | 0 2281 | 0 2282 | 0 2283 | 0 2284 | 0 2285 | 0 2286 | 0 2287 | 0 2288 | 0 2289 | 0 2290 | 0 2291 | 0 2292 | 0 2293 | 0 2294 | 0 2295 | 0 2296 | 0 2297 | 0 2298 | 0 2299 | 0 2300 | 0 2301 | 0 2302 | 0 2303 | 0 2304 | 0 2305 | 0 2306 | 0 2307 | 0 2308 | 0 2309 | 0 2310 | 0 2311 | 0 2312 | 0 2313 | 0 2314 | 0 2315 | 0 2316 | 0 2317 | 0 2318 | 0 2319 | 0 2320 | 0 2321 | 0 2322 | 0 2323 | 0 2324 | 0 2325 | 0 2326 | 0 2327 | 0 2328 | 0 2329 | 0 2330 | 0 2331 | 0 2332 | 0 2333 | 0 2334 | 0 2335 | 0 2336 | 0 2337 | 0 2338 | 0 2339 | 0 2340 | 0 2341 | 0 2342 | 0 2343 | 0 2344 | 0 2345 | 0 2346 | 0 2347 | 0 2348 | 0 2349 | 0 2350 | 0 2351 | 0 2352 | 0 2353 | 0 2354 | 0 2355 | 0 2356 | 0 2357 | 0 2358 | 0 2359 | 0 2360 | 0 2361 | 0 2362 | 0 2363 | 0 2364 | 0 2365 | 0 2366 | 0 2367 | 0 2368 | 0 2369 | 0 2370 | 0 2371 | 0 2372 | 0 2373 | 0 2374 | 0 2375 | 0 2376 | 0 2377 | 0 2378 | 0 2379 | 0 2380 | 0 2381 | 0 2382 | 0 2383 | 0 2384 | 0 2385 | 0 2386 | 0 2387 | 0 2388 | 0 2389 | 0 2390 | 0 2391 | 0 2392 | 0 2393 | 0 2394 | 0 2395 | 0 2396 | 0 2397 | 0 2398 | 0 2399 | 0 2400 | 0 2401 | 0 2402 | 0 2403 | 0 2404 | 0 2405 | 0 2406 | 0 2407 | 0 2408 | 0 2409 | 0 2410 | 0 2411 | 0 2412 | 0 2413 | 0 2414 | 0 2415 | 0 2416 | 0 2417 | 0 2418 | 0 2419 | 0 2420 | 0 2421 | 0 2422 | 0 2423 | 0 2424 | 0 2425 | 0 2426 | 0 2427 | 0 2428 | 0 2429 | 0 2430 | 0 2431 | 0 2432 | 0 2433 | 0 2434 | 0 2435 | 0 2436 | 0 2437 | 0 2438 | 0 2439 | 0 2440 | 0 2441 | 0 2442 | 0 2443 | 0 2444 | 0 2445 | 0 2446 | 0 2447 | 0 2448 | 0 2449 | 0 2450 | 0 2451 | 0 2452 | 0 2453 | 0 2454 | 0 2455 | 0 2456 | 0 2457 | 0 2458 | 0 2459 | 0 2460 | 0 2461 | 0 2462 | 0 2463 | 0 2464 | 0 2465 | 0 2466 | 0 2467 | 0 2468 | 0 2469 | 0 2470 | 0 2471 | 0 2472 | 0 2473 | 0 2474 | 0 2475 | 0 2476 | 0 2477 | 0 2478 | 0 2479 | 0 2480 | 0 2481 | 0 2482 | 0 2483 | 0 2484 | 0 2485 | 0 2486 | 0 2487 | 0 2488 | 0 2489 | 0 2490 | 0 2491 | 0 2492 | 0 2493 | 0 2494 | 0 2495 | 0 2496 | 0 2497 | 0 2498 | 0 2499 | 0 2500 | 0 2501 | 0 2502 | 0 2503 | 0 2504 | 0 2505 | 0 2506 | 0 2507 | 0 2508 | 0 2509 | 0 2510 | 0 2511 | 0 2512 | 0 2513 | 0 2514 | 0 2515 | 0 2516 | 0 2517 | 0 2518 | 0 2519 | 0 2520 | 0 2521 | 0 2522 | 0 2523 | 0 2524 | 0 2525 | 0 2526 | 0 2527 | 0 2528 | 0 2529 | 0 2530 | 0 2531 | 0 2532 | 0 2533 | 0 2534 | 0 2535 | 0 2536 | 0 2537 | 0 2538 | 0 2539 | 0 2540 | 0 2541 | 0 2542 | 0 2543 | 0 2544 | 0 2545 | 0 2546 | 0 2547 | 0 2548 | 0 2549 | 0 2550 | 0 2551 | 0 2552 | 0 2553 | 0 2554 | 0 2555 | 0 2556 | 0 2557 | 0 2558 | 0 2559 | 0 2560 | 0 2561 | 0 2562 | 0 2563 | 0 2564 | 0 2565 | 0 2566 | 0 2567 | 0 2568 | 0 2569 | 0 2570 | 0 2571 | 0 2572 | 0 2573 | 0 2574 | 0 2575 | 0 2576 | 0 2577 | 0 2578 | 0 2579 | 0 2580 | 0 2581 | 0 2582 | 0 2583 | 0 2584 | 0 2585 | 0 2586 | 0 2587 | 0 2588 | 0 2589 | 0 2590 | 0 2591 | 0 2592 | 0 2593 | 0 2594 | 0 2595 | 0 2596 | 0 2597 | 0 2598 | 0 2599 | 0 2600 | 0 2601 | 0 2602 | 0 2603 | 0 2604 | 0 2605 | 0 2606 | 0 2607 | 0 2608 | 0 2609 | 0 2610 | 0 2611 | 0 2612 | 0 2613 | 0 2614 | 0 2615 | 0 2616 | 0 2617 | 0 2618 | 0 2619 | 0 2620 | 0 2621 | 0 2622 | 0 2623 | 0 2624 | 0 2625 | 0 2626 | 0 2627 | 0 2628 | 0 2629 | 0 2630 | 0 2631 | 0 2632 | 0 2633 | 0 2634 | 0 2635 | 0 2636 | 0 2637 | 0 2638 | 0 2639 | 0 2640 | 0 2641 | 0 2642 | 0 2643 | 0 2644 | 0 2645 | 0 2646 | 0 2647 | 0 2648 | 0 2649 | 0 2650 | 0 2651 | 0 2652 | 0 2653 | 0 2654 | 0 2655 | 0 2656 | 0 2657 | 0 2658 | 0 2659 | 0 2660 | 0 2661 | 0 2662 | 0 2663 | 0 2664 | 0 2665 | 0 2666 | 0 2667 | 0 2668 | 0 2669 | 0 2670 | 0 2671 | 0 2672 | 0 2673 | 0 2674 | 0 2675 | 0 2676 | 0 2677 | 0 2678 | 0 2679 | 0 2680 | 0 2681 | 0 2682 | 0 2683 | 0 2684 | 0 2685 | 0 2686 | 0 2687 | 0 2688 | 0 2689 | 0 2690 | 0 2691 | 0 2692 | 0 2693 | 0 2694 | 0 2695 | 0 2696 | 0 2697 | 0 2698 | 0 2699 | 0 2700 | 0 2701 | 0 2702 | 0 2703 | 0 2704 | 0 2705 | 0 2706 | 0 2707 | 0 2708 | 0 2709 | 0 2710 | 0 2711 | 0 2712 | 0 2713 | 0 2714 | 0 2715 | 0 2716 | 0 2717 | 0 2718 | 0 2719 | 0 2720 | 0 2721 | 0 2722 | 0 2723 | 0 2724 | 0 2725 | 0 2726 | 0 2727 | 0 2728 | 0 2729 | 0 2730 | 0 2731 | 0 2732 | 0 2733 | 0 2734 | 0 2735 | 0 2736 | 0 2737 | 0 2738 | 0 2739 | 0 2740 | 0 2741 | 0 2742 | 0 2743 | 0 2744 | 0 2745 | 0 2746 | 0 2747 | 0 2748 | 0 2749 | 0 2750 | 0 2751 | 0 2752 | 0 2753 | 0 2754 | 0 2755 | 0 2756 | 0 2757 | 0 2758 | 0 2759 | 0 2760 | 0 2761 | 0 2762 | 0 2763 | 0 2764 | 0 2765 | 0 2766 | 0 2767 | 0 2768 | 0 2769 | 0 2770 | 0 2771 | 0 2772 | 0 2773 | 0 2774 | 0 2775 | 0 2776 | 0 2777 | 0 2778 | 0 2779 | 0 2780 | 0 2781 | 0 2782 | 0 2783 | 0 2784 | 0 2785 | 0 2786 | 0 2787 | 0 2788 | 0 2789 | 0 2790 | 0 2791 | 0 2792 | 0 2793 | 0 2794 | 0 2795 | 0 2796 | 0 2797 | 0 2798 | 0 2799 | 0 2800 | 0 2801 | 0 2802 | 0 2803 | 0 2804 | 0 2805 | 0 2806 | 0 2807 | 0 2808 | 0 2809 | 0 2810 | 0 2811 | 0 2812 | 0 2813 | 0 2814 | 0 2815 | 0 2816 | 0 2817 | 0 2818 | 0 2819 | 0 2820 | 0 2821 | 0 2822 | 0 2823 | 0 2824 | 0 2825 | 0 2826 | 0 2827 | 0 2828 | 0 2829 | 0 2830 | 0 2831 | 0 2832 | 0 2833 | 0 2834 | 0 2835 | 0 2836 | 0 2837 | 0 2838 | 0 2839 | 0 2840 | 0 2841 | 0 2842 | 0 2843 | 0 2844 | 0 2845 | 0 2846 | 0 2847 | 0 2848 | 0 2849 | 0 2850 | 0 2851 | 0 2852 | 0 2853 | 0 2854 | 0 2855 | 0 2856 | 0 2857 | 0 2858 | 0 2859 | 0 2860 | 0 2861 | 0 2862 | 0 2863 | 0 2864 | 0 2865 | 0 2866 | 0 2867 | 0 2868 | 0 2869 | 0 2870 | 0 2871 | 0 2872 | 0 2873 | 0 2874 | 0 2875 | 0 2876 | 0 2877 | 0 2878 | 0 2879 | 0 2880 | 0 2881 | 0 2882 | 0 2883 | 0 2884 | 0 2885 | 0 2886 | 0 2887 | 0 2888 | 0 2889 | 0 2890 | 0 2891 | 0 2892 | 0 2893 | 0 2894 | 0 2895 | 0 2896 | 0 2897 | 0 2898 | 0 2899 | 0 2900 | 0 2901 | 0 2902 | 0 2903 | 0 2904 | 0 2905 | 0 2906 | 0 2907 | 0 2908 | 0 2909 | 0 2910 | 0 2911 | 0 2912 | 0 2913 | 0 2914 | 0 2915 | 0 2916 | 0 2917 | 0 2918 | 0 2919 | 0 2920 | 0 2921 | 0 2922 | 0 2923 | 0 2924 | 0 2925 | 0 2926 | 0 2927 | 0 2928 | 0 2929 | 0 2930 | 0 2931 | 0 2932 | 0 2933 | 0 2934 | 0 2935 | 0 2936 | 0 2937 | 0 2938 | 0 2939 | 0 2940 | 0 2941 | 0 2942 | 0 2943 | 0 2944 | 0 2945 | 0 2946 | 0 2947 | 0 2948 | 0 2949 | 0 2950 | 0 2951 | 0 2952 | 0 2953 | 0 2954 | 0 2955 | 0 2956 | 0 2957 | 0 2958 | 0 2959 | 0 2960 | 0 2961 | 0 2962 | 0 2963 | 0 2964 | 0 2965 | 0 2966 | 0 2967 | 0 2968 | 0 2969 | 0 2970 | 0 2971 | 0 2972 | 0 2973 | 0 2974 | 0 2975 | 0 2976 | 0 2977 | 0 2978 | 0 2979 | 0 2980 | 0 2981 | 0 2982 | 0 2983 | 0 2984 | 0 2985 | 0 2986 | 0 2987 | 0 2988 | 0 2989 | 0 2990 | 0 2991 | 0 2992 | 0 2993 | 0 2994 | 0 2995 | 0 2996 | 0 2997 | 0 2998 | 0 2999 | 0 3000 | 0 3001 | 0 3002 | 0 3003 | 0 3004 | 0 3005 | 0 3006 | 0 3007 | 0 3008 | 0 3009 | 0 3010 | 0 3011 | 0 3012 | 0 3013 | 0 3014 | 0 3015 | 0 3016 | 0 3017 | 0 3018 | 0 3019 | 0 3020 | 0 3021 | 0 3022 | 0 3023 | 0 3024 | 0 3025 | 0 3026 | 0 3027 | 0 3028 | 0 3029 | 0 3030 | 0 3031 | 0 3032 | 0 3033 | 0 3034 | 0 3035 | 0 3036 | 0 3037 | 0 3038 | 0 3039 | 0 3040 | 0 3041 | 0 3042 | 0 3043 | 0 3044 | 0 3045 | 0 3046 | 0 3047 | 0 3048 | 0 3049 | 0 3050 | 0 3051 | 0 3052 | 0 3053 | 0 3054 | 0 3055 | 0 3056 | 0 3057 | 0 3058 | 0 3059 | 0 3060 | 0 3061 | 0 3062 | 0 3063 | 0 3064 | 0 3065 | 0 3066 | 0 3067 | 0 3068 | 0 3069 | 0 3070 | 0 3071 | 0 3072 | 0 3073 | 0 3074 | 0 3075 | 0 3076 | 0 3077 | 0 3078 | 0 3079 | 0 3080 | 0 3081 | 0 3082 | 0 3083 | 0 3084 | 0 3085 | 0 3086 | 0 3087 | 0 3088 | 0 3089 | 0 3090 | 0 3091 | 0 3092 | 0 3093 | 0 3094 | 0 3095 | 0 3096 | 0 3097 | 0 3098 | 0 3099 | 0 3100 | 0 3101 | 0 3102 | 0 3103 | 0 3104 | 0 3105 | 0 3106 | 0 3107 | 0 3108 | 0 3109 | 0 3110 | 0 3111 | 0 3112 | 0 3113 | 0 3114 | 0 3115 | 0 3116 | 0 3117 | 0 3118 | 0 3119 | 0 3120 | 0 3121 | 0 3122 | 0 3123 | 0 3124 | 0 3125 | 0 3126 | 0 3127 | 0 3128 | 0 3129 | 0 3130 | 0 3131 | 0 3132 | 0 3133 | 0 3134 | 0 3135 | 0 3136 | 0 3137 | 0 3138 | 0 3139 | 0 3140 | 0 3141 | 0 3142 | 0 3143 | 0 3144 | 0 3145 | 0 3146 | 0 3147 | 0 3148 | 0 3149 | 0 3150 | 0 3151 | 0 3152 | 0 3153 | 0 3154 | 0 3155 | 0 3156 | 0 3157 | 0 3158 | 0 3159 | 0 3160 | 0 3161 | 0 3162 | 0 3163 | 0 3164 | 0 3165 | 0 3166 | 0 3167 | 0 3168 | 0 3169 | 0 3170 | 0 3171 | 0 3172 | 0 3173 | 0 3174 | 0 3175 | 0 3176 | 0 3177 | 0 3178 | 0 3179 | 0 3180 | 0 3181 | 0 3182 | 0 3183 | 0 3184 | 0 3185 | 0 3186 | 0 3187 | 0 3188 | 0 3189 | 0 3190 | 0 3191 | 0 3192 | 0 3193 | 0 3194 | 0 3195 | 0 3196 | 0 3197 | 0 3198 | 0 3199 | 0 3200 | 0 3201 | 0 3202 | 0 3203 | 0 3204 | 0 3205 | 0 3206 | 0 3207 | 0 3208 | 0 3209 | 0 3210 | 0 3211 | 0 3212 | 0 3213 | 0 3214 | 0 3215 | 0 3216 | 0 3217 | 0 3218 | 0 3219 | 0 3220 | 0 3221 | 0 3222 | 0 3223 | 0 3224 | 0 3225 | 0 3226 | 0 3227 | 0 3228 | 0 3229 | 0 3230 | 0 3231 | 0 3232 | 0 3233 | 0 3234 | 0 3235 | 0 3236 | 0 3237 | 0 3238 | 0 3239 | 0 3240 | 0 3241 | 0 3242 | 0 3243 | 0 3244 | 0 3245 | 0 3246 | 0 3247 | 0 3248 | 0 3249 | 0 3250 | 0 3251 | 0 3252 | 0 3253 | 0 3254 | 0 3255 | 0 3256 | 0 3257 | 0 3258 | 0 3259 | 0 3260 | 0 3261 | 0 3262 | 0 3263 | 0 3264 | 0 3265 | 0 3266 | 0 3267 | 0 3268 | 0 3269 | 0 3270 | 0 3271 | 0 3272 | 0 3273 | 0 3274 | 0 3275 | 0 3276 | 0 3277 | 0 3278 | 0 3279 | 0 3280 | 0 3281 | 0 3282 | 0 3283 | 0 3284 | 0 3285 | 0 3286 | 0 3287 | 0 3288 | 0 3289 | 0 3290 | 0 3291 | 0 3292 | 0 3293 | 0 3294 | 0 3295 | 0 3296 | 0 3297 | 0 3298 | 0 3299 | 0 3300 | 0 3301 | 0 3302 | 0 3303 | 0 3304 | 0 3305 | 0 3306 | 0 3307 | 0 3308 | 0 3309 | 0 3310 | 0 3311 | 0 3312 | 0 3313 | 0 3314 | 0 3315 | 0 3316 | 0 3317 | 0 3318 | 0 3319 | 0 3320 | 0 3321 | 0 3322 | 0 3323 | 0 3324 | 0 3325 | 0 3326 | 0 3327 | 0 3328 | 0 3329 | 0 3330 | 0 3331 | 0 3332 | 0 3333 | 0 3334 | 0 3335 | 0 3336 | 0 3337 | 0 3338 | 0 3339 | 0 3340 | 0 3341 | 0 3342 | 0 3343 | 0 3344 | 0 3345 | 0 3346 | 0 3347 | 0 3348 | 0 3349 | 0 3350 | 0 3351 | 0 3352 | 0 3353 | 0 3354 | 0 3355 | 0 3356 | 0 3357 | 0 3358 | 0 3359 | 0 3360 | 0 3361 | 0 3362 | 0 3363 | 0 3364 | 0 3365 | 0 3366 | 0 3367 | 0 3368 | 0 3369 | 0 3370 | 0 3371 | 0 3372 | 0 3373 | 0 3374 | 0 3375 | 0 3376 | 0 3377 | 0 3378 | 0 3379 | 0 3380 | 0 3381 | 0 3382 | 0 3383 | 0 3384 | 0 3385 | 0 3386 | 0 3387 | 0 3388 | 0 3389 | 0 3390 | 0 3391 | 0 3392 | 0 3393 | 0 3394 | 0 3395 | 0 3396 | 0 3397 | 0 3398 | 0 3399 | 0 3400 | 0 3401 | 0 3402 | 0 3403 | 0 3404 | 0 3405 | 0 3406 | 0 3407 | 0 3408 | 0 3409 | 0 3410 | 0 3411 | 0 3412 | 0 3413 | 0 3414 | 0 3415 | 0 3416 | 0 3417 | 0 3418 | 0 3419 | 0 3420 | 0 3421 | 0 3422 | 0 3423 | 0 3424 | 0 3425 | 0 3426 | 0 3427 | 0 3428 | 0 3429 | 0 3430 | 0 3431 | 0 3432 | 0 3433 | 0 3434 | 0 3435 | 0 3436 | 0 3437 | 0 3438 | 0 3439 | 0 3440 | 0 3441 | 0 3442 | 0 3443 | 0 3444 | 0 3445 | 0 3446 | 0 3447 | 0 3448 | 0 3449 | 0 3450 | 0 3451 | 0 3452 | 0 3453 | 0 3454 | 0 3455 | 0 3456 | 0 3457 | 0 3458 | 0 3459 | 0 3460 | 0 3461 | 0 3462 | 0 3463 | 0 3464 | 0 3465 | 0 3466 | 0 3467 | 0 3468 | 0 3469 | 0 3470 | 0 3471 | 0 3472 | 0 3473 | 0 3474 | 0 3475 | 0 3476 | 0 3477 | 0 3478 | 0 3479 | 0 3480 | 0 3481 | 0 3482 | 0 3483 | 0 3484 | 0 3485 | 0 3486 | 0 3487 | 0 3488 | 0 3489 | 0 3490 | 0 3491 | 0 3492 | 0 3493 | 0 3494 | 0 3495 | 0 3496 | 0 3497 | 0 3498 | 0 3499 | 0 3500 | 0 3501 | 0 3502 | 0 3503 | 0 3504 | 0 3505 | 0 3506 | 0 3507 | 0 3508 | 0 3509 | 0 3510 | 0 3511 | 0 3512 | 0 3513 | 0 3514 | 0 3515 | 0 3516 | 0 3517 | 0 3518 | 0 3519 | 0 3520 | 0 3521 | 0 3522 | 0 3523 | 0 3524 | 0 3525 | 0 3526 | 0 3527 | 0 3528 | 0 3529 | 0 3530 | 0 3531 | 0 3532 | 0 3533 | 0 3534 | 0 3535 | 0 3536 | 0 3537 | 0 3538 | 0 3539 | 0 3540 | 0 3541 | 0 3542 | 0 3543 | 0 3544 | 0 3545 | 0 3546 | 0 3547 | 0 3548 | 0 3549 | 0 3550 | 0 3551 | 0 3552 | 0 3553 | 0 3554 | 0 3555 | 0 3556 | 0 3557 | 0 3558 | 0 3559 | 0 3560 | 0 3561 | 0 3562 | 0 3563 | 0 3564 | 0 3565 | 0 3566 | 0 3567 | 0 3568 | 0 3569 | 0 3570 | 0 3571 | 0 3572 | 0 3573 | 0 3574 | 0 3575 | 0 3576 | 0 3577 | 0 3578 | 0 3579 | 0 3580 | 0 3581 | 0 3582 | 0 3583 | 0 3584 | 0 3585 | 0 3586 | 0 3587 | 0 3588 | 0 3589 | 0 3590 | 0 3591 | 0 3592 | 0 3593 | 0 3594 | 0 3595 | 0 3596 | 0 3597 | 0 3598 | 0 3599 | 0 3600 | 0 3601 | 0 3602 | 0 3603 | 0 3604 | 0 3605 | 0 3606 | 0 3607 | 0 3608 | 0 3609 | 0 3610 | 0 3611 | 0 3612 | 0 3613 | 0 3614 | 0 3615 | 0 3616 | 0 3617 | 0 3618 | 0 3619 | 0 3620 | 0 3621 | 0 3622 | 0 3623 | 0 3624 | 0 3625 | 0 3626 | 0 3627 | 0 3628 | 0 3629 | 0 3630 | 0 3631 | 0 3632 | 0 3633 | 0 3634 | 0 3635 | 0 3636 | 0 3637 | 0 3638 | 0 3639 | 0 3640 | 0 3641 | 0 3642 | 0 3643 | 0 3644 | 0 3645 | 0 3646 | 0 3647 | 0 3648 | 0 3649 | 0 3650 | 0 3651 | 0 3652 | 0 3653 | 0 3654 | 0 3655 | 0 3656 | 0 3657 | 0 3658 | 0 3659 | 0 3660 | 0 3661 | 0 3662 | 0 3663 | 0 3664 | 0 3665 | 0 3666 | 0 3667 | 0 3668 | 0 3669 | 0 3670 | 0 3671 | 0 3672 | 0 3673 | 0 3674 | 0 3675 | 0 3676 | 0 3677 | 0 3678 | 0 3679 | 0 3680 | 0 3681 | 0 3682 | 0 3683 | 0 3684 | 0 3685 | 0 3686 | 0 3687 | 0 3688 | 0 3689 | 0 3690 | 0 3691 | 0 3692 | 0 3693 | 0 3694 | 0 3695 | 0 3696 | 0 3697 | 0 3698 | 0 3699 | 0 3700 | 0 3701 | 0 3702 | 0 3703 | 0 3704 | 0 3705 | 0 3706 | 0 3707 | 0 3708 | 0 3709 | 0 3710 | 0 3711 | 0 3712 | 0 3713 | 0 3714 | 0 3715 | 0 3716 | 0 3717 | 0 3718 | 0 3719 | 0 3720 | 0 3721 | 0 3722 | 0 3723 | 0 3724 | 0 3725 | 0 3726 | 0 3727 | 0 3728 | 0 3729 | 0 3730 | 0 3731 | 0 3732 | 0 3733 | 0 3734 | 0 3735 | 0 3736 | 0 3737 | 0 3738 | 0 3739 | 0 3740 | 0 3741 | 0 3742 | 0 3743 | 0 3744 | 0 3745 | 0 3746 | 0 3747 | 0 3748 | 0 3749 | 0 3750 | 0 3751 | 0 3752 | 0 3753 | 0 3754 | 0 3755 | 0 3756 | 0 3757 | 0 3758 | 0 3759 | 0 3760 | 0 3761 | 0 3762 | 0 3763 | 0 3764 | 0 3765 | 0 3766 | 0 3767 | 0 3768 | 0 3769 | 0 3770 | 0 3771 | 0 3772 | 0 3773 | 0 3774 | 0 3775 | 0 3776 | 0 3777 | 0 3778 | 0 3779 | 0 3780 | 0 3781 | 0 3782 | 0 3783 | 0 3784 | 0 3785 | 0 3786 | 0 3787 | 0 3788 | 0 3789 | 0 3790 | 0 3791 | 0 3792 | 0 3793 | 0 3794 | 0 3795 | 0 3796 | 0 3797 | 0 3798 | 0 3799 | 0 3800 | 0 3801 | 0 3802 | 0 3803 | 0 3804 | 0 3805 | 0 3806 | 0 3807 | 0 3808 | 0 3809 | 0 3810 | 0 3811 | 0 3812 | 0 3813 | 0 3814 | 0 3815 | 0 3816 | 0 3817 | 0 3818 | 0 3819 | 0 3820 | 0 3821 | 0 3822 | 0 3823 | 0 3824 | 0 3825 | 0 3826 | 0 3827 | 0 3828 | 0 3829 | 0 3830 | 0 3831 | 0 3832 | 0 3833 | 0 3834 | 0 3835 | 0 3836 | 0 3837 | 0 3838 | 0 3839 | 0 3840 | 0 3841 | 0 3842 | 0 3843 | 0 3844 | 0 3845 | 0 3846 | 0 3847 | 0 3848 | 0 3849 | 0 3850 | 0 3851 | 0 3852 | 0 3853 | 0 3854 | 0 3855 | 0 3856 | 0 3857 | 0 3858 | 0 3859 | 0 3860 | 0 3861 | 0 3862 | 0 3863 | 0 3864 | 0 3865 | 0 3866 | 0 3867 | 0 3868 | 0 3869 | 0 3870 | 0 3871 | 0 3872 | 0 3873 | 0 3874 | 0 3875 | 0 3876 | 0 3877 | 0 3878 | 0 3879 | 0 3880 | 0 3881 | 0 3882 | 0 3883 | 0 3884 | 0 3885 | 0 3886 | 0 3887 | 1 3888 | 0 3889 | 0 3890 | 0 3891 | 0 3892 | 0 3893 | 0 3894 | 0 3895 | 0 3896 | 0 3897 | 0 3898 | 0 3899 | 0 3900 | 0 3901 | 0 3902 | 0 3903 | 0 3904 | 0 3905 | 0 3906 | 0 3907 | 0 3908 | 0 3909 | 0 3910 | 0 3911 | 0 3912 | 0 3913 | 0 3914 | 0 3915 | 0 3916 | 0 3917 | 0 3918 | 0 3919 | 0 3920 | 0 3921 | 0 3922 | 0 3923 | 0 3924 | 0 3925 | 0 3926 | 0 3927 | 0 3928 | 0 3929 | 0 3930 | 0 3931 | 0 3932 | 0 3933 | 0 3934 | 0 3935 | 0 3936 | 0 3937 | 0 3938 | 0 3939 | 0 3940 | 0 3941 | 0 3942 | 0 3943 | 0 3944 | 0 3945 | 0 3946 | 0 3947 | 0 3948 | 0 3949 | 0 3950 | 0 3951 | 0 3952 | 0 3953 | 0 3954 | 0 3955 | 0 3956 | 0 3957 | 0 3958 | 0 3959 | 0 3960 | 0 3961 | 0 3962 | 0 3963 | 0 3964 | 0 3965 | 0 3966 | 0 3967 | 0 3968 | 0 3969 | 0 3970 | 0 3971 | 0 3972 | 0 3973 | 0 3974 | 0 3975 | 0 3976 | 0 3977 | 0 3978 | 0 3979 | 0 3980 | 0 3981 | 0 3982 | 0 3983 | 0 3984 | 0 3985 | 0 3986 | 0 3987 | 0 3988 | 0 3989 | 0 3990 | 0 3991 | 0 3992 | 0 3993 | 0 3994 | 0 3995 | 0 3996 | 0 3997 | 0 3998 | 0 3999 | 0 4000 | 0 4001 | 0 4002 | 0 4003 | 0 4004 | 0 4005 | 0 4006 | 0 4007 | 0 4008 | 0 4009 | 0 4010 | 1 4011 | 1 4012 | 1 4013 | 1 4014 | 0 4015 | 0 4016 | 1 4017 | 1 4018 | 0 4019 | 0 4020 | 0 4021 | 0 4022 | 0 4023 | 0 4024 | 0 4025 | 0 4026 | 0 4027 | 0 4028 | 0 4029 | 0 4030 | 0 4031 | 0 4032 | 0 4033 | 0 4034 | 0 4035 | 0 4036 | 0 4037 | 0 4038 | 0 4039 | 0 4040 | 0 4041 | 0 4042 | 0 4043 | 0 4044 | 0 4045 | 0 4046 | 0 4047 | 0 4048 | 0 4049 | 0 4050 | 0 4051 | 0 4052 | 0 4053 | 0 4054 | 0 4055 | 0 4056 | 0 4057 | 0 4058 | 0 4059 | 0 4060 | 0 4061 | 0 4062 | 0 4063 | 0 4064 | 0 4065 | 0 4066 | 0 4067 | 0 4068 | 0 4069 | 0 4070 | 0 4071 | 0 4072 | 0 4073 | 0 4074 | 0 4075 | 0 4076 | 0 4077 | 0 4078 | 0 4079 | 0 4080 | 0 4081 | 0 4082 | 0 4083 | 0 4084 | 0 4085 | 0 4086 | 0 4087 | 0 4088 | 0 4089 | 0 4090 | 0 4091 | 0 4092 | 0 4093 | 0 4094 | 0 4095 | 0 4096 | 0 4097 | 0 4098 | 0 4099 | 0 4100 | 0 4101 | 0 4102 | 0 4103 | 0 4104 | 0 4105 | 0 4106 | 0 4107 | 0 4108 | 0 4109 | 0 4110 | 0 4111 | 0 4112 | 0 4113 | 0 4114 | 0 4115 | 0 4116 | 0 4117 | 0 4118 | 0 4119 | 0 4120 | 0 4121 | 0 4122 | 0 4123 | 0 4124 | 0 4125 | 0 4126 | 0 4127 | 0 4128 | 0 4129 | 0 4130 | 0 4131 | 0 4132 | 0 4133 | 0 4134 | 0 4135 | 0 4136 | 0 4137 | 1 4138 | 1 4139 | 0 4140 | 1 4141 | 1 4142 | 1 4143 | 1 4144 | 1 4145 | 1 4146 | 1 4147 | 0 4148 | 0 4149 | 0 4150 | 0 4151 | 0 4152 | 0 4153 | 0 4154 | 0 4155 | 0 4156 | 0 4157 | 0 4158 | 0 4159 | 0 4160 | 0 4161 | 0 4162 | 0 4163 | 0 4164 | 0 4165 | 0 4166 | 0 4167 | 0 4168 | 0 4169 | 0 4170 | 0 4171 | 0 4172 | 0 4173 | 0 4174 | 0 4175 | 0 4176 | 0 4177 | 0 4178 | 0 4179 | 0 4180 | 0 4181 | 0 4182 | 0 4183 | 0 4184 | 0 4185 | 0 4186 | 0 4187 | 0 4188 | 0 4189 | 0 4190 | 0 4191 | 0 4192 | 0 4193 | 0 4194 | 0 4195 | 0 4196 | 0 4197 | 0 4198 | 0 4199 | 0 4200 | 0 4201 | 0 4202 | 0 4203 | 0 4204 | 0 4205 | 0 4206 | 0 4207 | 0 4208 | 0 4209 | 0 4210 | 0 4211 | 0 4212 | 0 4213 | 0 4214 | 0 4215 | 0 4216 | 0 4217 | 0 4218 | 0 4219 | 0 4220 | 0 4221 | 0 4222 | 0 4223 | 0 4224 | 0 4225 | 0 4226 | 0 4227 | 0 4228 | 0 4229 | 0 4230 | 0 4231 | 0 4232 | 0 4233 | 0 4234 | 0 4235 | 0 4236 | 0 4237 | 0 4238 | 0 4239 | 0 4240 | 0 4241 | 0 4242 | 0 4243 | 0 4244 | 0 4245 | 0 4246 | 0 4247 | 0 4248 | 0 4249 | 0 4250 | 0 4251 | 0 4252 | 0 4253 | 0 4254 | 0 4255 | 0 4256 | 0 4257 | 0 4258 | 0 4259 | 0 4260 | 0 4261 | 0 4262 | 0 4263 | 1 4264 | 1 4265 | 1 4266 | 1 4267 | 1 4268 | 1 4269 | 1 4270 | 1 4271 | 1 4272 | 1 4273 | 1 4274 | 1 4275 | 1 4276 | 0 4277 | 0 4278 | 0 4279 | 0 4280 | 0 4281 | 0 4282 | 0 4283 | 0 4284 | 0 4285 | 0 4286 | 0 4287 | 0 4288 | 0 4289 | 0 4290 | 0 4291 | 0 4292 | 0 4293 | 0 4294 | 0 4295 | 0 4296 | 0 4297 | 0 4298 | 0 4299 | 0 4300 | 0 4301 | 0 4302 | 0 4303 | 0 4304 | 0 4305 | 0 4306 | 0 4307 | 0 4308 | 0 4309 | 0 4310 | 0 4311 | 0 4312 | 0 4313 | 0 4314 | 0 4315 | 0 4316 | 0 4317 | 0 4318 | 0 4319 | 0 4320 | 0 4321 | 0 4322 | 0 4323 | 0 4324 | 0 4325 | 0 4326 | 0 4327 | 0 4328 | 0 4329 | 0 4330 | 0 4331 | 0 4332 | 0 4333 | 0 4334 | 0 4335 | 0 4336 | 0 4337 | 0 4338 | 0 4339 | 0 4340 | 0 4341 | 0 4342 | 0 4343 | 0 4344 | 0 4345 | 0 4346 | 0 4347 | 0 4348 | 0 4349 | 0 4350 | 0 4351 | 0 4352 | 0 4353 | 0 4354 | 0 4355 | 0 4356 | 0 4357 | 0 4358 | 0 4359 | 0 4360 | 0 4361 | 0 4362 | 0 4363 | 0 4364 | 0 4365 | 0 4366 | 0 4367 | 0 4368 | 0 4369 | 0 4370 | 0 4371 | 0 4372 | 0 4373 | 0 4374 | 0 4375 | 0 4376 | 0 4377 | 0 4378 | 0 4379 | 0 4380 | 0 4381 | 0 4382 | 0 4383 | 0 4384 | 0 4385 | 0 4386 | 0 4387 | 0 4388 | 0 4389 | 1 4390 | 1 4391 | 1 4392 | 1 4393 | 1 4394 | 1 4395 | 1 4396 | 1 4397 | 1 4398 | 1 4399 | 1 4400 | 1 4401 | 1 4402 | 1 4403 | 1 4404 | 1 4405 | 0 4406 | 0 4407 | 0 4408 | 0 4409 | 0 4410 | 0 4411 | 0 4412 | 0 4413 | 0 4414 | 0 4415 | 0 4416 | 0 4417 | 0 4418 | 0 4419 | 0 4420 | 0 4421 | 0 4422 | 0 4423 | 0 4424 | 0 4425 | 0 4426 | 0 4427 | 0 4428 | 0 4429 | 0 4430 | 0 4431 | 0 4432 | 0 4433 | 0 4434 | 0 4435 | 0 4436 | 0 4437 | 0 4438 | 0 4439 | 0 4440 | 0 4441 | 0 4442 | 0 4443 | 0 4444 | 0 4445 | 0 4446 | 0 4447 | 0 4448 | 0 4449 | 0 4450 | 0 4451 | 0 4452 | 0 4453 | 0 4454 | 0 4455 | 0 4456 | 0 4457 | 0 4458 | 0 4459 | 0 4460 | 0 4461 | 0 4462 | 0 4463 | 0 4464 | 0 4465 | 0 4466 | 0 4467 | 0 4468 | 0 4469 | 0 4470 | 0 4471 | 0 4472 | 0 4473 | 0 4474 | 0 4475 | 0 4476 | 0 4477 | 0 4478 | 0 4479 | 0 4480 | 0 4481 | 0 4482 | 0 4483 | 0 4484 | 0 4485 | 0 4486 | 0 4487 | 0 4488 | 0 4489 | 0 4490 | 0 4491 | 0 4492 | 0 4493 | 0 4494 | 0 4495 | 0 4496 | 0 4497 | 0 4498 | 0 4499 | 0 4500 | 0 4501 | 0 4502 | 0 4503 | 0 4504 | 0 4505 | 0 4506 | 0 4507 | 0 4508 | 0 4509 | 0 4510 | 0 4511 | 0 4512 | 0 4513 | 0 4514 | 0 4515 | 1 4516 | 1 4517 | 1 4518 | 1 4519 | 1 4520 | 1 4521 | 1 4522 | 1 4523 | 1 4524 | 1 4525 | 1 4526 | 1 4527 | 1 4528 | 1 4529 | 1 4530 | 1 4531 | 1 4532 | 1 4533 | 0 4534 | 0 4535 | 0 4536 | 0 4537 | 0 4538 | 0 4539 | 0 4540 | 0 4541 | 0 4542 | 0 4543 | 0 4544 | 0 4545 | 0 4546 | 0 4547 | 0 4548 | 0 4549 | 0 4550 | 0 4551 | 0 4552 | 0 4553 | 0 4554 | 0 4555 | 0 4556 | 0 4557 | 0 4558 | 0 4559 | 0 4560 | 0 4561 | 0 4562 | 0 4563 | 0 4564 | 0 4565 | 0 4566 | 0 4567 | 0 4568 | 0 4569 | 0 4570 | 0 4571 | 0 4572 | 0 4573 | 0 4574 | 0 4575 | 0 4576 | 0 4577 | 0 4578 | 0 4579 | 0 4580 | 0 4581 | 0 4582 | 0 4583 | 0 4584 | 0 4585 | 0 4586 | 0 4587 | 0 4588 | 0 4589 | 0 4590 | 0 4591 | 0 4592 | 0 4593 | 0 4594 | 0 4595 | 0 4596 | 0 4597 | 0 4598 | 0 4599 | 0 4600 | 0 4601 | 0 4602 | 0 4603 | 0 4604 | 0 4605 | 0 4606 | 0 4607 | 0 4608 | 0 4609 | 0 4610 | 0 4611 | 0 4612 | 0 4613 | 0 4614 | 0 4615 | 0 4616 | 0 4617 | 0 4618 | 0 4619 | 0 4620 | 0 4621 | 0 4622 | 0 4623 | 0 4624 | 0 4625 | 0 4626 | 0 4627 | 0 4628 | 0 4629 | 0 4630 | 0 4631 | 0 4632 | 0 4633 | 0 4634 | 0 4635 | 0 4636 | 0 4637 | 0 4638 | 0 4639 | 0 4640 | 0 4641 | 1 4642 | 1 4643 | 1 4644 | 1 4645 | 1 4646 | 1 4647 | 1 4648 | 1 4649 | 1 4650 | 1 4651 | 1 4652 | 1 4653 | 1 4654 | 1 4655 | 1 4656 | 1 4657 | 1 4658 | 1 4659 | 1 4660 | 1 4661 | 0 4662 | 0 4663 | 0 4664 | 0 4665 | 0 4666 | 0 4667 | 0 4668 | 0 4669 | 0 4670 | 0 4671 | 0 4672 | 0 4673 | 0 4674 | 0 4675 | 0 4676 | 0 4677 | 0 4678 | 0 4679 | 0 4680 | 0 4681 | 0 4682 | 0 4683 | 0 4684 | 0 4685 | 0 4686 | 0 4687 | 0 4688 | 0 4689 | 0 4690 | 0 4691 | 0 4692 | 0 4693 | 0 4694 | 0 4695 | 0 4696 | 0 4697 | 0 4698 | 0 4699 | 0 4700 | 0 4701 | 0 4702 | 0 4703 | 0 4704 | 0 4705 | 0 4706 | 0 4707 | 0 4708 | 0 4709 | 0 4710 | 0 4711 | 0 4712 | 0 4713 | 0 4714 | 0 4715 | 0 4716 | 0 4717 | 0 4718 | 0 4719 | 0 4720 | 0 4721 | 0 4722 | 0 4723 | 0 4724 | 0 4725 | 0 4726 | 0 4727 | 0 4728 | 0 4729 | 0 4730 | 0 4731 | 0 4732 | 0 4733 | 0 4734 | 0 4735 | 0 4736 | 0 4737 | 0 4738 | 0 4739 | 0 4740 | 0 4741 | 0 4742 | 0 4743 | 0 4744 | 0 4745 | 0 4746 | 0 4747 | 0 4748 | 0 4749 | 0 4750 | 0 4751 | 0 4752 | 0 4753 | 0 4754 | 0 4755 | 0 4756 | 0 4757 | 0 4758 | 0 4759 | 0 4760 | 0 4761 | 0 4762 | 0 4763 | 0 4764 | 0 4765 | 0 4766 | 1 4767 | 1 4768 | 1 4769 | 1 4770 | 1 4771 | 1 4772 | 1 4773 | 1 4774 | 1 4775 | 1 4776 | 1 4777 | 1 4778 | 1 4779 | 1 4780 | 1 4781 | 1 4782 | 1 4783 | 1 4784 | 1 4785 | 1 4786 | 1 4787 | 1 4788 | 1 4789 | 1 4790 | 0 4791 | 0 4792 | 0 4793 | 0 4794 | 0 4795 | 0 4796 | 0 4797 | 0 4798 | 0 4799 | 0 4800 | 0 4801 | 0 4802 | 0 4803 | 0 4804 | 0 4805 | 0 4806 | 0 4807 | 0 4808 | 0 4809 | 0 4810 | 0 4811 | 0 4812 | 0 4813 | 0 4814 | 0 4815 | 0 4816 | 0 4817 | 0 4818 | 0 4819 | 0 4820 | 0 4821 | 0 4822 | 0 4823 | 0 4824 | 0 4825 | 0 4826 | 0 4827 | 0 4828 | 0 4829 | 0 4830 | 0 4831 | 0 4832 | 0 4833 | 0 4834 | 0 4835 | 0 4836 | 0 4837 | 0 4838 | 0 4839 | 0 4840 | 0 4841 | 0 4842 | 0 4843 | 0 4844 | 0 4845 | 0 4846 | 0 4847 | 0 4848 | 0 4849 | 0 4850 | 0 4851 | 0 4852 | 0 4853 | 0 4854 | 0 4855 | 0 4856 | 0 4857 | 0 4858 | 0 4859 | 0 4860 | 0 4861 | 0 4862 | 0 4863 | 0 4864 | 0 4865 | 0 4866 | 0 4867 | 0 4868 | 0 4869 | 0 4870 | 0 4871 | 0 4872 | 0 4873 | 0 4874 | 0 4875 | 0 4876 | 0 4877 | 0 4878 | 0 4879 | 0 4880 | 0 4881 | 0 4882 | 0 4883 | 0 4884 | 0 4885 | 0 4886 | 0 4887 | 0 4888 | 0 4889 | 0 4890 | 0 4891 | 1 4892 | 1 4893 | 1 4894 | 1 4895 | 1 4896 | 1 4897 | 1 4898 | 1 4899 | 1 4900 | 1 4901 | 1 4902 | 1 4903 | 1 4904 | 1 4905 | 1 4906 | 1 4907 | 1 4908 | 1 4909 | 1 4910 | 1 4911 | 1 4912 | 1 4913 | 1 4914 | 1 4915 | 1 4916 | 1 4917 | 1 4918 | 0 4919 | 0 4920 | 0 4921 | 0 4922 | 0 4923 | 0 4924 | 0 4925 | 0 4926 | 0 4927 | 0 4928 | 0 4929 | 0 4930 | 0 4931 | 0 4932 | 0 4933 | 0 4934 | 0 4935 | 0 4936 | 0 4937 | 0 4938 | 0 4939 | 0 4940 | 0 4941 | 0 4942 | 0 4943 | 0 4944 | 0 4945 | 0 4946 | 0 4947 | 0 4948 | 0 4949 | 0 4950 | 0 4951 | 0 4952 | 0 4953 | 0 4954 | 0 4955 | 0 4956 | 0 4957 | 0 4958 | 0 4959 | 0 4960 | 0 4961 | 0 4962 | 0 4963 | 0 4964 | 0 4965 | 0 4966 | 0 4967 | 0 4968 | 0 4969 | 0 4970 | 0 4971 | 0 4972 | 0 4973 | 0 4974 | 0 4975 | 0 4976 | 0 4977 | 0 4978 | 0 4979 | 0 4980 | 0 4981 | 0 4982 | 0 4983 | 0 4984 | 0 4985 | 0 4986 | 0 4987 | 0 4988 | 0 4989 | 0 4990 | 0 4991 | 0 4992 | 0 4993 | 0 4994 | 0 4995 | 0 4996 | 0 4997 | 0 4998 | 0 4999 | 0 5000 | 0 5001 | 0 5002 | 0 5003 | 0 5004 | 0 5005 | 0 5006 | 0 5007 | 0 5008 | 0 5009 | 0 5010 | 1 5011 | 0 5012 | 0 5013 | 0 5014 | 0 5015 | 1 5016 | 1 5017 | 1 5018 | 1 5019 | 1 5020 | 1 5021 | 1 5022 | 1 5023 | 1 5024 | 1 5025 | 1 5026 | 1 5027 | 1 5028 | 1 5029 | 1 5030 | 1 5031 | 1 5032 | 1 5033 | 1 5034 | 1 5035 | 1 5036 | 1 5037 | 1 5038 | 1 5039 | 1 5040 | 1 5041 | 1 5042 | 1 5043 | 1 5044 | 1 5045 | 1 5046 | 0 5047 | 0 5048 | 0 5049 | 0 5050 | 0 5051 | 0 5052 | 0 5053 | 0 5054 | 0 5055 | 0 5056 | 0 5057 | 0 5058 | 0 5059 | 0 5060 | 0 5061 | 0 5062 | 0 5063 | 0 5064 | 0 5065 | 0 5066 | 0 5067 | 0 5068 | 0 5069 | 0 5070 | 0 5071 | 0 5072 | 0 5073 | 0 5074 | 0 5075 | 0 5076 | 0 5077 | 0 5078 | 0 5079 | 0 5080 | 0 5081 | 0 5082 | 0 5083 | 0 5084 | 0 5085 | 0 5086 | 0 5087 | 0 5088 | 0 5089 | 0 5090 | 0 5091 | 0 5092 | 0 5093 | 0 5094 | 0 5095 | 0 5096 | 0 5097 | 0 5098 | 0 5099 | 0 5100 | 0 5101 | 0 5102 | 0 5103 | 0 5104 | 0 5105 | 0 5106 | 0 5107 | 0 5108 | 0 5109 | 0 5110 | 0 5111 | 0 5112 | 0 5113 | 0 5114 | 0 5115 | 0 5116 | 0 5117 | 0 5118 | 0 5119 | 0 5120 | 0 5121 | 0 5122 | 0 5123 | 0 5124 | 0 5125 | 0 5126 | 0 5127 | 0 5128 | 0 5129 | 0 5130 | 0 5131 | 0 5132 | 0 5133 | 0 5134 | 0 5135 | 0 5136 | 0 5137 | 1 5138 | 1 5139 | 1 5140 | 1 5141 | 1 5142 | 1 5143 | 1 5144 | 1 5145 | 1 5146 | 1 5147 | 1 5148 | 1 5149 | 1 5150 | 1 5151 | 1 5152 | 1 5153 | 1 5154 | 1 5155 | 1 5156 | 1 5157 | 1 5158 | 1 5159 | 1 5160 | 1 5161 | 1 5162 | 1 5163 | 1 5164 | 1 5165 | 1 5166 | 1 5167 | 1 5168 | 1 5169 | 0 5170 | 1 5171 | 1 5172 | 1 5173 | 1 5174 | 1 5175 | 0 5176 | 0 5177 | 0 5178 | 0 5179 | 0 5180 | 0 5181 | 0 5182 | 0 5183 | 0 5184 | 0 5185 | 0 5186 | 0 5187 | 0 5188 | 0 5189 | 0 5190 | 0 5191 | 0 5192 | 0 5193 | 0 5194 | 0 5195 | 0 5196 | 0 5197 | 0 5198 | 0 5199 | 0 5200 | 0 5201 | 0 5202 | 0 5203 | 0 5204 | 0 5205 | 0 5206 | 0 5207 | 0 5208 | 0 5209 | 0 5210 | 0 5211 | 0 5212 | 0 5213 | 0 5214 | 0 5215 | 0 5216 | 0 5217 | 0 5218 | 0 5219 | 0 5220 | 0 5221 | 0 5222 | 0 5223 | 0 5224 | 0 5225 | 0 5226 | 0 5227 | 0 5228 | 0 5229 | 0 5230 | 0 5231 | 0 5232 | 0 5233 | 0 5234 | 0 5235 | 0 5236 | 0 5237 | 0 5238 | 0 5239 | 0 5240 | 0 5241 | 0 5242 | 0 5243 | 0 5244 | 0 5245 | 0 5246 | 0 5247 | 0 5248 | 0 5249 | 0 5250 | 0 5251 | 0 5252 | 0 5253 | 0 5254 | 0 5255 | 0 5256 | 0 5257 | 0 5258 | 0 5259 | 0 5260 | 0 5261 | 0 5262 | 0 5263 | 0 5264 | 0 5265 | 1 5266 | 1 5267 | 1 5268 | 1 5269 | 1 5270 | 1 5271 | 1 5272 | 1 5273 | 1 5274 | 1 5275 | 1 5276 | 1 5277 | 1 5278 | 1 5279 | 1 5280 | 1 5281 | 1 5282 | 1 5283 | 1 5284 | 1 5285 | 1 5286 | 1 5287 | 1 5288 | 1 5289 | 1 5290 | 1 5291 | 1 5292 | 1 5293 | 1 5294 | 1 5295 | 1 5296 | 1 5297 | 0 5298 | 1 5299 | 1 5300 | 1 5301 | 1 5302 | 1 5303 | 0 5304 | 0 5305 | 0 5306 | 0 5307 | 0 5308 | 0 5309 | 0 5310 | 0 5311 | 0 5312 | 0 5313 | 0 5314 | 0 5315 | 0 5316 | 0 5317 | 0 5318 | 0 5319 | 0 5320 | 0 5321 | 0 5322 | 0 5323 | 0 5324 | 0 5325 | 0 5326 | 0 5327 | 0 5328 | 0 5329 | 0 5330 | 0 5331 | 0 5332 | 0 5333 | 0 5334 | 0 5335 | 0 5336 | 0 5337 | 0 5338 | 0 5339 | 0 5340 | 0 5341 | 0 5342 | 0 5343 | 0 5344 | 0 5345 | 0 5346 | 0 5347 | 0 5348 | 0 5349 | 0 5350 | 0 5351 | 0 5352 | 0 5353 | 0 5354 | 0 5355 | 0 5356 | 0 5357 | 0 5358 | 0 5359 | 0 5360 | 0 5361 | 0 5362 | 0 5363 | 0 5364 | 0 5365 | 0 5366 | 0 5367 | 0 5368 | 0 5369 | 0 5370 | 0 5371 | 0 5372 | 0 5373 | 0 5374 | 0 5375 | 0 5376 | 0 5377 | 0 5378 | 0 5379 | 0 5380 | 0 5381 | 0 5382 | 0 5383 | 0 5384 | 0 5385 | 0 5386 | 0 5387 | 0 5388 | 0 5389 | 0 5390 | 0 5391 | 0 5392 | 0 5393 | 1 5394 | 1 5395 | 1 5396 | 1 5397 | 1 5398 | 1 5399 | 1 5400 | 1 5401 | 1 5402 | 1 5403 | 1 5404 | 1 5405 | 1 5406 | 1 5407 | 1 5408 | 1 5409 | 1 5410 | 1 5411 | 1 5412 | 1 5413 | 1 5414 | 1 5415 | 1 5416 | 1 5417 | 1 5418 | 1 5419 | 1 5420 | 1 5421 | 1 5422 | 1 5423 | 1 5424 | 1 5425 | 0 5426 | 1 5427 | 1 5428 | 1 5429 | 1 5430 | 1 5431 | 0 5432 | 0 5433 | 0 5434 | 0 5435 | 0 5436 | 0 5437 | 0 5438 | 0 5439 | 0 5440 | 0 5441 | 0 5442 | 0 5443 | 0 5444 | 0 5445 | 0 5446 | 0 5447 | 0 5448 | 0 5449 | 0 5450 | 0 5451 | 0 5452 | 0 5453 | 0 5454 | 0 5455 | 0 5456 | 0 5457 | 0 5458 | 0 5459 | 0 5460 | 0 5461 | 0 5462 | 0 5463 | 0 5464 | 0 5465 | 0 5466 | 0 5467 | 0 5468 | 0 5469 | 0 5470 | 0 5471 | 0 5472 | 0 5473 | 0 5474 | 0 5475 | 0 5476 | 0 5477 | 0 5478 | 0 5479 | 0 5480 | 0 5481 | 0 5482 | 0 5483 | 0 5484 | 0 5485 | 0 5486 | 0 5487 | 0 5488 | 0 5489 | 0 5490 | 0 5491 | 0 5492 | 0 5493 | 0 5494 | 0 5495 | 0 5496 | 0 5497 | 0 5498 | 0 5499 | 0 5500 | 0 5501 | 0 5502 | 0 5503 | 0 5504 | 0 5505 | 0 5506 | 0 5507 | 0 5508 | 0 5509 | 0 5510 | 0 5511 | 0 5512 | 0 5513 | 0 5514 | 0 5515 | 0 5516 | 0 5517 | 0 5518 | 0 5519 | 0 5520 | 0 5521 | 1 5522 | 1 5523 | 1 5524 | 1 5525 | 1 5526 | 1 5527 | 1 5528 | 1 5529 | 1 5530 | 1 5531 | 1 5532 | 1 5533 | 1 5534 | 1 5535 | 1 5536 | 1 5537 | 1 5538 | 1 5539 | 1 5540 | 1 5541 | 1 5542 | 1 5543 | 1 5544 | 1 5545 | 1 5546 | 1 5547 | 1 5548 | 1 5549 | 1 5550 | 1 5551 | 1 5552 | 1 5553 | 1 5554 | 1 5555 | 1 5556 | 1 5557 | 1 5558 | 1 5559 | 1 5560 | 0 5561 | 0 5562 | 0 5563 | 0 5564 | 0 5565 | 0 5566 | 0 5567 | 0 5568 | 0 5569 | 0 5570 | 0 5571 | 0 5572 | 0 5573 | 0 5574 | 0 5575 | 0 5576 | 0 5577 | 0 5578 | 0 5579 | 0 5580 | 0 5581 | 0 5582 | 0 5583 | 0 5584 | 0 5585 | 0 5586 | 0 5587 | 0 5588 | 0 5589 | 0 5590 | 0 5591 | 0 5592 | 0 5593 | 0 5594 | 0 5595 | 0 5596 | 0 5597 | 0 5598 | 0 5599 | 0 5600 | 0 5601 | 0 5602 | 0 5603 | 0 5604 | 0 5605 | 0 5606 | 0 5607 | 0 5608 | 0 5609 | 0 5610 | 0 5611 | 0 5612 | 0 5613 | 0 5614 | 0 5615 | 0 5616 | 0 5617 | 0 5618 | 0 5619 | 0 5620 | 0 5621 | 0 5622 | 0 5623 | 0 5624 | 0 5625 | 0 5626 | 0 5627 | 0 5628 | 0 5629 | 0 5630 | 0 5631 | 0 5632 | 0 5633 | 0 5634 | 0 5635 | 0 5636 | 0 5637 | 0 5638 | 0 5639 | 0 5640 | 0 5641 | 0 5642 | 0 5643 | 0 5644 | 0 5645 | 0 5646 | 0 5647 | 0 5648 | 0 5649 | 1 5650 | 1 5651 | 1 5652 | 1 5653 | 1 5654 | 1 5655 | 1 5656 | 1 5657 | 1 5658 | 1 5659 | 1 5660 | 1 5661 | 1 5662 | 1 5663 | 1 5664 | 1 5665 | 1 5666 | 1 5667 | 1 5668 | 1 5669 | 1 5670 | 1 5671 | 1 5672 | 1 5673 | 1 5674 | 1 5675 | 1 5676 | 1 5677 | 1 5678 | 1 5679 | 1 5680 | 1 5681 | 1 5682 | 1 5683 | 1 5684 | 1 5685 | 1 5686 | 1 5687 | 1 5688 | 0 5689 | 0 5690 | 0 5691 | 0 5692 | 0 5693 | 0 5694 | 0 5695 | 0 5696 | 0 5697 | 0 5698 | 0 5699 | 0 5700 | 0 5701 | 0 5702 | 0 5703 | 0 5704 | 0 5705 | 0 5706 | 0 5707 | 0 5708 | 0 5709 | 0 5710 | 0 5711 | 0 5712 | 0 5713 | 0 5714 | 0 5715 | 0 5716 | 0 5717 | 0 5718 | 0 5719 | 0 5720 | 0 5721 | 0 5722 | 0 5723 | 0 5724 | 0 5725 | 0 5726 | 0 5727 | 0 5728 | 0 5729 | 0 5730 | 0 5731 | 0 5732 | 0 5733 | 0 5734 | 0 5735 | 0 5736 | 0 5737 | 0 5738 | 0 5739 | 0 5740 | 0 5741 | 0 5742 | 0 5743 | 0 5744 | 0 5745 | 0 5746 | 0 5747 | 0 5748 | 0 5749 | 0 5750 | 0 5751 | 0 5752 | 0 5753 | 0 5754 | 0 5755 | 0 5756 | 0 5757 | 0 5758 | 0 5759 | 0 5760 | 0 5761 | 0 5762 | 0 5763 | 0 5764 | 0 5765 | 0 5766 | 0 5767 | 0 5768 | 0 5769 | 0 5770 | 0 5771 | 0 5772 | 0 5773 | 0 5774 | 0 5775 | 0 5776 | 0 5777 | 1 5778 | 1 5779 | 1 5780 | 1 5781 | 1 5782 | 1 5783 | 1 5784 | 1 5785 | 1 5786 | 1 5787 | 1 5788 | 1 5789 | 1 5790 | 1 5791 | 1 5792 | 1 5793 | 1 5794 | 1 5795 | 1 5796 | 1 5797 | 1 5798 | 1 5799 | 1 5800 | 1 5801 | 1 5802 | 1 5803 | 1 5804 | 1 5805 | 1 5806 | 1 5807 | 1 5808 | 1 5809 | 1 5810 | 1 5811 | 1 5812 | 1 5813 | 1 5814 | 1 5815 | 0 5816 | 0 5817 | 0 5818 | 0 5819 | 0 5820 | 0 5821 | 0 5822 | 0 5823 | 0 5824 | 0 5825 | 0 5826 | 0 5827 | 0 5828 | 0 5829 | 0 5830 | 0 5831 | 0 5832 | 0 5833 | 0 5834 | 0 5835 | 0 5836 | 0 5837 | 0 5838 | 0 5839 | 0 5840 | 0 5841 | 0 5842 | 0 5843 | 0 5844 | 0 5845 | 0 5846 | 0 5847 | 0 5848 | 0 5849 | 0 5850 | 0 5851 | 0 5852 | 0 5853 | 0 5854 | 0 5855 | 0 5856 | 0 5857 | 0 5858 | 0 5859 | 0 5860 | 0 5861 | 0 5862 | 0 5863 | 0 5864 | 0 5865 | 0 5866 | 0 5867 | 0 5868 | 0 5869 | 0 5870 | 0 5871 | 0 5872 | 0 5873 | 0 5874 | 0 5875 | 0 5876 | 0 5877 | 0 5878 | 0 5879 | 0 5880 | 0 5881 | 0 5882 | 0 5883 | 0 5884 | 0 5885 | 0 5886 | 0 5887 | 0 5888 | 0 5889 | 0 5890 | 0 5891 | 0 5892 | 0 5893 | 0 5894 | 0 5895 | 0 5896 | 0 5897 | 0 5898 | 0 5899 | 0 5900 | 0 5901 | 0 5902 | 0 5903 | 0 5904 | 0 5905 | 1 5906 | 1 5907 | 1 5908 | 1 5909 | 1 5910 | 1 5911 | 1 5912 | 1 5913 | 1 5914 | 1 5915 | 1 5916 | 1 5917 | 1 5918 | 1 5919 | 1 5920 | 1 5921 | 1 5922 | 1 5923 | 1 5924 | 1 5925 | 1 5926 | 1 5927 | 1 5928 | 1 5929 | 1 5930 | 1 5931 | 1 5932 | 1 5933 | 1 5934 | 1 5935 | 1 5936 | 1 5937 | 1 5938 | 1 5939 | 1 5940 | 1 5941 | 1 5942 | 1 5943 | 1 5944 | 0 5945 | 0 5946 | 0 5947 | 0 5948 | 0 5949 | 0 5950 | 0 5951 | 0 5952 | 0 5953 | 0 5954 | 0 5955 | 0 5956 | 0 5957 | 0 5958 | 0 5959 | 0 5960 | 0 5961 | 0 5962 | 0 5963 | 0 5964 | 0 5965 | 0 5966 | 0 5967 | 0 5968 | 0 5969 | 0 5970 | 0 5971 | 0 5972 | 0 5973 | 0 5974 | 0 5975 | 0 5976 | 0 5977 | 0 5978 | 0 5979 | 0 5980 | 0 5981 | 0 5982 | 0 5983 | 0 5984 | 0 5985 | 0 5986 | 0 5987 | 0 5988 | 0 5989 | 0 5990 | 0 5991 | 0 5992 | 0 5993 | 0 5994 | 0 5995 | 0 5996 | 0 5997 | 0 5998 | 0 5999 | 0 6000 | 0 6001 | 0 6002 | 0 6003 | 0 6004 | 0 6005 | 0 6006 | 0 6007 | 0 6008 | 0 6009 | 0 6010 | 0 6011 | 0 6012 | 0 6013 | 0 6014 | 0 6015 | 0 6016 | 0 6017 | 0 6018 | 0 6019 | 0 6020 | 0 6021 | 0 6022 | 0 6023 | 0 6024 | 0 6025 | 0 6026 | 0 6027 | 0 6028 | 0 6029 | 0 6030 | 0 6031 | 0 6032 | 0 6033 | 1 6034 | 1 6035 | 1 6036 | 1 6037 | 1 6038 | 1 6039 | 1 6040 | 1 6041 | 1 6042 | 1 6043 | 1 6044 | 1 6045 | 1 6046 | 1 6047 | 1 6048 | 1 6049 | 1 6050 | 1 6051 | 1 6052 | 1 6053 | 1 6054 | 1 6055 | 1 6056 | 1 6057 | 1 6058 | 1 6059 | 1 6060 | 1 6061 | 1 6062 | 1 6063 | 1 6064 | 1 6065 | 1 6066 | 1 6067 | 1 6068 | 1 6069 | 1 6070 | 1 6071 | 1 6072 | 1 6073 | 0 6074 | 0 6075 | 0 6076 | 0 6077 | 0 6078 | 0 6079 | 0 6080 | 0 6081 | 0 6082 | 0 6083 | 0 6084 | 0 6085 | 0 6086 | 0 6087 | 0 6088 | 0 6089 | 0 6090 | 0 6091 | 0 6092 | 0 6093 | 0 6094 | 0 6095 | 0 6096 | 0 6097 | 0 6098 | 0 6099 | 0 6100 | 0 6101 | 0 6102 | 0 6103 | 0 6104 | 0 6105 | 0 6106 | 0 6107 | 0 6108 | 0 6109 | 0 6110 | 0 6111 | 0 6112 | 0 6113 | 0 6114 | 0 6115 | 0 6116 | 0 6117 | 0 6118 | 0 6119 | 0 6120 | 0 6121 | 0 6122 | 0 6123 | 0 6124 | 0 6125 | 0 6126 | 0 6127 | 0 6128 | 0 6129 | 0 6130 | 0 6131 | 0 6132 | 0 6133 | 0 6134 | 0 6135 | 0 6136 | 0 6137 | 0 6138 | 0 6139 | 0 6140 | 0 6141 | 0 6142 | 0 6143 | 0 6144 | 0 6145 | 0 6146 | 0 6147 | 0 6148 | 0 6149 | 0 6150 | 0 6151 | 0 6152 | 0 6153 | 0 6154 | 0 6155 | 0 6156 | 0 6157 | 0 6158 | 0 6159 | 0 6160 | 0 6161 | 1 6162 | 1 6163 | 1 6164 | 1 6165 | 1 6166 | 1 6167 | 1 6168 | 1 6169 | 1 6170 | 1 6171 | 1 6172 | 1 6173 | 1 6174 | 1 6175 | 1 6176 | 1 6177 | 1 6178 | 1 6179 | 1 6180 | 1 6181 | 1 6182 | 1 6183 | 1 6184 | 1 6185 | 1 6186 | 1 6187 | 1 6188 | 1 6189 | 1 6190 | 1 6191 | 1 6192 | 1 6193 | 1 6194 | 1 6195 | 1 6196 | 1 6197 | 1 6198 | 1 6199 | 1 6200 | 1 6201 | 0 6202 | 0 6203 | 0 6204 | 0 6205 | 0 6206 | 0 6207 | 0 6208 | 0 6209 | 0 6210 | 0 6211 | 0 6212 | 0 6213 | 0 6214 | 0 6215 | 0 6216 | 0 6217 | 0 6218 | 0 6219 | 0 6220 | 0 6221 | 0 6222 | 0 6223 | 0 6224 | 0 6225 | 0 6226 | 0 6227 | 0 6228 | 0 6229 | 0 6230 | 0 6231 | 0 6232 | 0 6233 | 0 6234 | 0 6235 | 0 6236 | 0 6237 | 0 6238 | 0 6239 | 0 6240 | 0 6241 | 0 6242 | 0 6243 | 0 6244 | 0 6245 | 0 6246 | 0 6247 | 0 6248 | 0 6249 | 0 6250 | 0 6251 | 0 6252 | 0 6253 | 0 6254 | 0 6255 | 0 6256 | 0 6257 | 0 6258 | 0 6259 | 0 6260 | 0 6261 | 0 6262 | 0 6263 | 0 6264 | 0 6265 | 0 6266 | 0 6267 | 0 6268 | 0 6269 | 0 6270 | 0 6271 | 0 6272 | 0 6273 | 0 6274 | 0 6275 | 0 6276 | 0 6277 | 0 6278 | 0 6279 | 0 6280 | 0 6281 | 0 6282 | 0 6283 | 0 6284 | 0 6285 | 0 6286 | 0 6287 | 0 6288 | 1 6289 | 1 6290 | 1 6291 | 1 6292 | 1 6293 | 1 6294 | 1 6295 | 1 6296 | 1 6297 | 1 6298 | 1 6299 | 1 6300 | 1 6301 | 1 6302 | 1 6303 | 1 6304 | 1 6305 | 1 6306 | 1 6307 | 1 6308 | 1 6309 | 1 6310 | 1 6311 | 1 6312 | 1 6313 | 1 6314 | 1 6315 | 1 6316 | 1 6317 | 1 6318 | 1 6319 | 1 6320 | 1 6321 | 1 6322 | 1 6323 | 1 6324 | 1 6325 | 1 6326 | 1 6327 | 1 6328 | 1 6329 | 0 6330 | 0 6331 | 0 6332 | 0 6333 | 0 6334 | 0 6335 | 0 6336 | 0 6337 | 0 6338 | 0 6339 | 0 6340 | 0 6341 | 0 6342 | 0 6343 | 0 6344 | 0 6345 | 0 6346 | 0 6347 | 0 6348 | 0 6349 | 0 6350 | 0 6351 | 0 6352 | 0 6353 | 0 6354 | 0 6355 | 0 6356 | 0 6357 | 0 6358 | 0 6359 | 0 6360 | 0 6361 | 0 6362 | 0 6363 | 0 6364 | 0 6365 | 0 6366 | 0 6367 | 0 6368 | 0 6369 | 0 6370 | 0 6371 | 0 6372 | 0 6373 | 0 6374 | 0 6375 | 0 6376 | 0 6377 | 0 6378 | 0 6379 | 0 6380 | 0 6381 | 0 6382 | 0 6383 | 0 6384 | 0 6385 | 0 6386 | 0 6387 | 0 6388 | 0 6389 | 0 6390 | 0 6391 | 0 6392 | 0 6393 | 0 6394 | 0 6395 | 0 6396 | 0 6397 | 0 6398 | 0 6399 | 0 6400 | 0 6401 | 0 6402 | 0 6403 | 0 6404 | 0 6405 | 0 6406 | 0 6407 | 0 6408 | 0 6409 | 0 6410 | 0 6411 | 0 6412 | 0 6413 | 0 6414 | 0 6415 | 0 6416 | 1 6417 | 1 6418 | 1 6419 | 1 6420 | 1 6421 | 1 6422 | 1 6423 | 1 6424 | 1 6425 | 1 6426 | 1 6427 | 1 6428 | 1 6429 | 1 6430 | 1 6431 | 1 6432 | 1 6433 | 1 6434 | 1 6435 | 1 6436 | 1 6437 | 1 6438 | 1 6439 | 1 6440 | 1 6441 | 1 6442 | 1 6443 | 1 6444 | 1 6445 | 1 6446 | 1 6447 | 1 6448 | 1 6449 | 1 6450 | 1 6451 | 1 6452 | 1 6453 | 1 6454 | 1 6455 | 1 6456 | 1 6457 | 0 6458 | 0 6459 | 0 6460 | 0 6461 | 0 6462 | 0 6463 | 0 6464 | 0 6465 | 0 6466 | 0 6467 | 0 6468 | 0 6469 | 0 6470 | 0 6471 | 0 6472 | 0 6473 | 0 6474 | 0 6475 | 0 6476 | 0 6477 | 0 6478 | 0 6479 | 0 6480 | 0 6481 | 0 6482 | 0 6483 | 0 6484 | 0 6485 | 0 6486 | 0 6487 | 0 6488 | 0 6489 | 0 6490 | 0 6491 | 0 6492 | 0 6493 | 0 6494 | 0 6495 | 0 6496 | 0 6497 | 0 6498 | 0 6499 | 0 6500 | 0 6501 | 0 6502 | 0 6503 | 0 6504 | 0 6505 | 0 6506 | 0 6507 | 0 6508 | 0 6509 | 0 6510 | 0 6511 | 0 6512 | 0 6513 | 0 6514 | 0 6515 | 0 6516 | 0 6517 | 0 6518 | 0 6519 | 0 6520 | 0 6521 | 0 6522 | 0 6523 | 0 6524 | 0 6525 | 0 6526 | 0 6527 | 0 6528 | 0 6529 | 0 6530 | 0 6531 | 0 6532 | 0 6533 | 0 6534 | 0 6535 | 0 6536 | 0 6537 | 0 6538 | 0 6539 | 0 6540 | 0 6541 | 0 6542 | 0 6543 | 0 6544 | 1 6545 | 1 6546 | 1 6547 | 1 6548 | 1 6549 | 1 6550 | 1 6551 | 1 6552 | 1 6553 | 1 6554 | 1 6555 | 1 6556 | 1 6557 | 1 6558 | 1 6559 | 1 6560 | 1 6561 | 1 6562 | 1 6563 | 1 6564 | 1 6565 | 1 6566 | 1 6567 | 1 6568 | 1 6569 | 1 6570 | 1 6571 | 1 6572 | 1 6573 | 1 6574 | 1 6575 | 1 6576 | 1 6577 | 1 6578 | 1 6579 | 1 6580 | 1 6581 | 1 6582 | 1 6583 | 1 6584 | 0 6585 | 1 6586 | 0 6587 | 0 6588 | 0 6589 | 0 6590 | 0 6591 | 0 6592 | 0 6593 | 0 6594 | 0 6595 | 0 6596 | 0 6597 | 0 6598 | 0 6599 | 0 6600 | 0 6601 | 0 6602 | 0 6603 | 0 6604 | 0 6605 | 0 6606 | 0 6607 | 0 6608 | 0 6609 | 0 6610 | 0 6611 | 0 6612 | 0 6613 | 0 6614 | 0 6615 | 0 6616 | 0 6617 | 0 6618 | 0 6619 | 0 6620 | 0 6621 | 0 6622 | 0 6623 | 0 6624 | 0 6625 | 0 6626 | 0 6627 | 0 6628 | 0 6629 | 0 6630 | 0 6631 | 0 6632 | 0 6633 | 0 6634 | 0 6635 | 0 6636 | 0 6637 | 0 6638 | 0 6639 | 0 6640 | 0 6641 | 0 6642 | 0 6643 | 0 6644 | 0 6645 | 0 6646 | 0 6647 | 0 6648 | 0 6649 | 0 6650 | 0 6651 | 0 6652 | 0 6653 | 0 6654 | 0 6655 | 0 6656 | 0 6657 | 0 6658 | 0 6659 | 0 6660 | 0 6661 | 0 6662 | 0 6663 | 0 6664 | 0 6665 | 0 6666 | 0 6667 | 0 6668 | 0 6669 | 0 6670 | 0 6671 | 0 6672 | 1 6673 | 1 6674 | 1 6675 | 1 6676 | 1 6677 | 1 6678 | 1 6679 | 1 6680 | 1 6681 | 1 6682 | 1 6683 | 1 6684 | 1 6685 | 1 6686 | 1 6687 | 1 6688 | 1 6689 | 1 6690 | 1 6691 | 1 6692 | 1 6693 | 1 6694 | 1 6695 | 1 6696 | 1 6697 | 1 6698 | 1 6699 | 1 6700 | 1 6701 | 1 6702 | 1 6703 | 1 6704 | 1 6705 | 1 6706 | 1 6707 | 1 6708 | 1 6709 | 1 6710 | 1 6711 | 1 6712 | 1 6713 | 1 6714 | 0 6715 | 0 6716 | 0 6717 | 0 6718 | 0 6719 | 0 6720 | 0 6721 | 0 6722 | 0 6723 | 0 6724 | 0 6725 | 0 6726 | 0 6727 | 0 6728 | 0 6729 | 0 6730 | 0 6731 | 0 6732 | 0 6733 | 0 6734 | 0 6735 | 0 6736 | 0 6737 | 0 6738 | 0 6739 | 0 6740 | 0 6741 | 0 6742 | 0 6743 | 0 6744 | 0 6745 | 0 6746 | 0 6747 | 0 6748 | 0 6749 | 0 6750 | 0 6751 | 0 6752 | 0 6753 | 0 6754 | 0 6755 | 0 6756 | 0 6757 | 0 6758 | 0 6759 | 0 6760 | 0 6761 | 0 6762 | 0 6763 | 0 6764 | 0 6765 | 0 6766 | 0 6767 | 0 6768 | 0 6769 | 0 6770 | 0 6771 | 0 6772 | 0 6773 | 0 6774 | 0 6775 | 0 6776 | 0 6777 | 0 6778 | 0 6779 | 0 6780 | 0 6781 | 0 6782 | 0 6783 | 0 6784 | 0 6785 | 0 6786 | 0 6787 | 0 6788 | 0 6789 | 0 6790 | 0 6791 | 0 6792 | 0 6793 | 0 6794 | 0 6795 | 0 6796 | 0 6797 | 0 6798 | 0 6799 | 0 6800 | 1 6801 | 1 6802 | 1 6803 | 1 6804 | 1 6805 | 1 6806 | 1 6807 | 1 6808 | 1 6809 | 1 6810 | 1 6811 | 1 6812 | 1 6813 | 1 6814 | 1 6815 | 1 6816 | 1 6817 | 1 6818 | 1 6819 | 1 6820 | 1 6821 | 1 6822 | 1 6823 | 1 6824 | 1 6825 | 1 6826 | 1 6827 | 1 6828 | 1 6829 | 1 6830 | 1 6831 | 1 6832 | 1 6833 | 1 6834 | 1 6835 | 1 6836 | 1 6837 | 1 6838 | 1 6839 | 1 6840 | 0 6841 | 1 6842 | 0 6843 | 0 6844 | 0 6845 | 0 6846 | 0 6847 | 0 6848 | 0 6849 | 0 6850 | 0 6851 | 0 6852 | 0 6853 | 0 6854 | 0 6855 | 0 6856 | 0 6857 | 0 6858 | 0 6859 | 0 6860 | 0 6861 | 0 6862 | 0 6863 | 0 6864 | 0 6865 | 0 6866 | 0 6867 | 0 6868 | 0 6869 | 0 6870 | 0 6871 | 0 6872 | 0 6873 | 0 6874 | 0 6875 | 0 6876 | 0 6877 | 0 6878 | 0 6879 | 0 6880 | 0 6881 | 0 6882 | 0 6883 | 0 6884 | 0 6885 | 0 6886 | 0 6887 | 0 6888 | 0 6889 | 0 6890 | 0 6891 | 0 6892 | 0 6893 | 0 6894 | 0 6895 | 0 6896 | 0 6897 | 0 6898 | 0 6899 | 0 6900 | 0 6901 | 0 6902 | 0 6903 | 0 6904 | 0 6905 | 0 6906 | 0 6907 | 0 6908 | 0 6909 | 0 6910 | 0 6911 | 0 6912 | 0 6913 | 0 6914 | 0 6915 | 0 6916 | 0 6917 | 0 6918 | 0 6919 | 0 6920 | 0 6921 | 0 6922 | 0 6923 | 0 6924 | 0 6925 | 0 6926 | 0 6927 | 0 6928 | 1 6929 | 1 6930 | 1 6931 | 1 6932 | 1 6933 | 1 6934 | 1 6935 | 1 6936 | 1 6937 | 1 6938 | 1 6939 | 1 6940 | 1 6941 | 1 6942 | 1 6943 | 1 6944 | 1 6945 | 1 6946 | 1 6947 | 1 6948 | 1 6949 | 1 6950 | 1 6951 | 1 6952 | 1 6953 | 1 6954 | 1 6955 | 1 6956 | 1 6957 | 1 6958 | 1 6959 | 1 6960 | 1 6961 | 1 6962 | 1 6963 | 1 6964 | 1 6965 | 1 6966 | 1 6967 | 1 6968 | 0 6969 | 1 6970 | 1 6971 | 0 6972 | 0 6973 | 0 6974 | 0 6975 | 0 6976 | 0 6977 | 0 6978 | 0 6979 | 0 6980 | 0 6981 | 0 6982 | 0 6983 | 0 6984 | 0 6985 | 0 6986 | 0 6987 | 0 6988 | 0 6989 | 0 6990 | 0 6991 | 0 6992 | 0 6993 | 0 6994 | 0 6995 | 0 6996 | 0 6997 | 0 6998 | 0 6999 | 0 7000 | 0 7001 | 0 7002 | 0 7003 | 0 7004 | 0 7005 | 0 7006 | 0 7007 | 0 7008 | 0 7009 | 0 7010 | 0 7011 | 0 7012 | 0 7013 | 0 7014 | 0 7015 | 0 7016 | 0 7017 | 0 7018 | 0 7019 | 0 7020 | 0 7021 | 0 7022 | 0 7023 | 0 7024 | 0 7025 | 0 7026 | 0 7027 | 0 7028 | 0 7029 | 0 7030 | 0 7031 | 0 7032 | 0 7033 | 0 7034 | 0 7035 | 0 7036 | 0 7037 | 0 7038 | 0 7039 | 0 7040 | 0 7041 | 0 7042 | 0 7043 | 0 7044 | 0 7045 | 0 7046 | 0 7047 | 0 7048 | 0 7049 | 0 7050 | 0 7051 | 0 7052 | 0 7053 | 0 7054 | 0 7055 | 0 7056 | 1 7057 | 1 7058 | 1 7059 | 1 7060 | 1 7061 | 1 7062 | 1 7063 | 1 7064 | 1 7065 | 1 7066 | 1 7067 | 1 7068 | 1 7069 | 1 7070 | 1 7071 | 1 7072 | 1 7073 | 1 7074 | 1 7075 | 1 7076 | 1 7077 | 1 7078 | 1 7079 | 1 7080 | 1 7081 | 1 7082 | 1 7083 | 1 7084 | 1 7085 | 1 7086 | 1 7087 | 1 7088 | 1 7089 | 1 7090 | 1 7091 | 1 7092 | 1 7093 | 1 7094 | 1 7095 | 1 7096 | 1 7097 | 1 7098 | 1 7099 | 0 7100 | 0 7101 | 0 7102 | 0 7103 | 0 7104 | 0 7105 | 0 7106 | 0 7107 | 0 7108 | 0 7109 | 0 7110 | 0 7111 | 0 7112 | 0 7113 | 0 7114 | 0 7115 | 0 7116 | 0 7117 | 0 7118 | 0 7119 | 0 7120 | 0 7121 | 0 7122 | 0 7123 | 0 7124 | 0 7125 | 0 7126 | 0 7127 | 0 7128 | 0 7129 | 0 7130 | 0 7131 | 0 7132 | 0 7133 | 0 7134 | 0 7135 | 0 7136 | 0 7137 | 0 7138 | 0 7139 | 0 7140 | 0 7141 | 0 7142 | 0 7143 | 0 7144 | 0 7145 | 0 7146 | 0 7147 | 0 7148 | 0 7149 | 0 7150 | 0 7151 | 0 7152 | 0 7153 | 0 7154 | 0 7155 | 0 7156 | 0 7157 | 0 7158 | 0 7159 | 0 7160 | 0 7161 | 0 7162 | 0 7163 | 0 7164 | 0 7165 | 0 7166 | 0 7167 | 0 7168 | 0 7169 | 0 7170 | 0 7171 | 0 7172 | 0 7173 | 0 7174 | 0 7175 | 0 7176 | 0 7177 | 0 7178 | 0 7179 | 0 7180 | 0 7181 | 0 7182 | 0 7183 | 0 7184 | 1 7185 | 1 7186 | 1 7187 | 1 7188 | 1 7189 | 1 7190 | 1 7191 | 1 7192 | 1 7193 | 1 7194 | 1 7195 | 1 7196 | 1 7197 | 1 7198 | 1 7199 | 1 7200 | 1 7201 | 1 7202 | 1 7203 | 1 7204 | 1 7205 | 1 7206 | 1 7207 | 1 7208 | 1 7209 | 1 7210 | 1 7211 | 1 7212 | 1 7213 | 1 7214 | 1 7215 | 1 7216 | 1 7217 | 1 7218 | 1 7219 | 1 7220 | 1 7221 | 1 7222 | 1 7223 | 1 7224 | 1 7225 | 1 7226 | 1 7227 | 0 7228 | 0 7229 | 0 7230 | 0 7231 | 0 7232 | 0 7233 | 0 7234 | 0 7235 | 0 7236 | 0 7237 | 0 7238 | 0 7239 | 0 7240 | 0 7241 | 0 7242 | 0 7243 | 0 7244 | 0 7245 | 0 7246 | 0 7247 | 0 7248 | 0 7249 | 0 7250 | 0 7251 | 0 7252 | 0 7253 | 0 7254 | 0 7255 | 0 7256 | 0 7257 | 0 7258 | 0 7259 | 0 7260 | 0 7261 | 0 7262 | 0 7263 | 0 7264 | 0 7265 | 0 7266 | 0 7267 | 0 7268 | 0 7269 | 0 7270 | 0 7271 | 0 7272 | 0 7273 | 0 7274 | 0 7275 | 0 7276 | 0 7277 | 0 7278 | 0 7279 | 0 7280 | 0 7281 | 0 7282 | 0 7283 | 0 7284 | 0 7285 | 0 7286 | 0 7287 | 0 7288 | 0 7289 | 0 7290 | 0 7291 | 0 7292 | 0 7293 | 0 7294 | 0 7295 | 0 7296 | 0 7297 | 0 7298 | 0 7299 | 0 7300 | 0 7301 | 0 7302 | 0 7303 | 0 7304 | 0 7305 | 0 7306 | 0 7307 | 0 7308 | 0 7309 | 0 7310 | 0 7311 | 1 7312 | 1 7313 | 1 7314 | 1 7315 | 1 7316 | 1 7317 | 1 7318 | 1 7319 | 1 7320 | 1 7321 | 1 7322 | 1 7323 | 1 7324 | 1 7325 | 1 7326 | 1 7327 | 1 7328 | 1 7329 | 1 7330 | 1 7331 | 1 7332 | 1 7333 | 1 7334 | 1 7335 | 1 7336 | 1 7337 | 1 7338 | 1 7339 | 1 7340 | 1 7341 | 1 7342 | 1 7343 | 1 7344 | 1 7345 | 1 7346 | 1 7347 | 1 7348 | 1 7349 | 1 7350 | 1 7351 | 1 7352 | 1 7353 | 1 7354 | 1 7355 | 0 7356 | 0 7357 | 0 7358 | 0 7359 | 0 7360 | 0 7361 | 0 7362 | 0 7363 | 0 7364 | 0 7365 | 0 7366 | 0 7367 | 0 7368 | 0 7369 | 0 7370 | 0 7371 | 0 7372 | 0 7373 | 0 7374 | 0 7375 | 0 7376 | 0 7377 | 0 7378 | 0 7379 | 0 7380 | 0 7381 | 0 7382 | 0 7383 | 0 7384 | 0 7385 | 0 7386 | 0 7387 | 0 7388 | 0 7389 | 0 7390 | 0 7391 | 0 7392 | 0 7393 | 0 7394 | 0 7395 | 0 7396 | 0 7397 | 0 7398 | 0 7399 | 0 7400 | 0 7401 | 0 7402 | 0 7403 | 0 7404 | 0 7405 | 0 7406 | 0 7407 | 0 7408 | 0 7409 | 0 7410 | 0 7411 | 0 7412 | 0 7413 | 0 7414 | 0 7415 | 0 7416 | 0 7417 | 0 7418 | 0 7419 | 0 7420 | 0 7421 | 0 7422 | 0 7423 | 0 7424 | 0 7425 | 0 7426 | 0 7427 | 0 7428 | 0 7429 | 0 7430 | 0 7431 | 0 7432 | 0 7433 | 0 7434 | 0 7435 | 0 7436 | 0 7437 | 0 7438 | 0 7439 | 1 7440 | 1 7441 | 1 7442 | 1 7443 | 1 7444 | 1 7445 | 1 7446 | 1 7447 | 1 7448 | 1 7449 | 1 7450 | 1 7451 | 1 7452 | 1 7453 | 1 7454 | 1 7455 | 1 7456 | 1 7457 | 1 7458 | 1 7459 | 1 7460 | 1 7461 | 1 7462 | 1 7463 | 1 7464 | 1 7465 | 1 7466 | 1 7467 | 1 7468 | 1 7469 | 1 7470 | 1 7471 | 1 7472 | 1 7473 | 1 7474 | 1 7475 | 1 7476 | 1 7477 | 1 7478 | 1 7479 | 1 7480 | 1 7481 | 1 7482 | 1 7483 | 1 7484 | 1 7485 | 1 7486 | 0 7487 | 0 7488 | 0 7489 | 0 7490 | 0 7491 | 0 7492 | 0 7493 | 0 7494 | 0 7495 | 0 7496 | 0 7497 | 0 7498 | 0 7499 | 0 7500 | 0 7501 | 0 7502 | 0 7503 | 0 7504 | 0 7505 | 0 7506 | 0 7507 | 0 7508 | 0 7509 | 0 7510 | 0 7511 | 0 7512 | 0 7513 | 0 7514 | 0 7515 | 0 7516 | 0 7517 | 0 7518 | 0 7519 | 0 7520 | 0 7521 | 0 7522 | 0 7523 | 0 7524 | 0 7525 | 0 7526 | 0 7527 | 0 7528 | 0 7529 | 0 7530 | 0 7531 | 0 7532 | 0 7533 | 0 7534 | 0 7535 | 0 7536 | 0 7537 | 0 7538 | 0 7539 | 0 7540 | 0 7541 | 0 7542 | 0 7543 | 0 7544 | 0 7545 | 0 7546 | 0 7547 | 0 7548 | 0 7549 | 0 7550 | 0 7551 | 0 7552 | 0 7553 | 0 7554 | 0 7555 | 0 7556 | 0 7557 | 0 7558 | 0 7559 | 0 7560 | 0 7561 | 0 7562 | 0 7563 | 0 7564 | 0 7565 | 0 7566 | 0 7567 | 1 7568 | 1 7569 | 1 7570 | 1 7571 | 1 7572 | 1 7573 | 1 7574 | 1 7575 | 1 7576 | 1 7577 | 1 7578 | 1 7579 | 1 7580 | 1 7581 | 1 7582 | 1 7583 | 1 7584 | 1 7585 | 1 7586 | 1 7587 | 1 7588 | 1 7589 | 1 7590 | 1 7591 | 1 7592 | 1 7593 | 1 7594 | 1 7595 | 1 7596 | 1 7597 | 1 7598 | 1 7599 | 1 7600 | 1 7601 | 1 7602 | 1 7603 | 1 7604 | 1 7605 | 1 7606 | 1 7607 | 1 7608 | 1 7609 | 1 7610 | 1 7611 | 1 7612 | 1 7613 | 1 7614 | 1 7615 | 0 7616 | 0 7617 | 0 7618 | 0 7619 | 0 7620 | 0 7621 | 0 7622 | 0 7623 | 0 7624 | 0 7625 | 0 7626 | 0 7627 | 0 7628 | 0 7629 | 0 7630 | 0 7631 | 0 7632 | 0 7633 | 0 7634 | 0 7635 | 0 7636 | 0 7637 | 0 7638 | 0 7639 | 0 7640 | 0 7641 | 0 7642 | 0 7643 | 0 7644 | 0 7645 | 0 7646 | 0 7647 | 0 7648 | 0 7649 | 0 7650 | 0 7651 | 0 7652 | 0 7653 | 0 7654 | 0 7655 | 0 7656 | 0 7657 | 0 7658 | 0 7659 | 0 7660 | 0 7661 | 0 7662 | 0 7663 | 0 7664 | 0 7665 | 0 7666 | 0 7667 | 0 7668 | 0 7669 | 0 7670 | 0 7671 | 0 7672 | 0 7673 | 0 7674 | 0 7675 | 0 7676 | 0 7677 | 0 7678 | 0 7679 | 0 7680 | 0 7681 | 0 7682 | 0 7683 | 0 7684 | 0 7685 | 0 7686 | 0 7687 | 0 7688 | 0 7689 | 0 7690 | 0 7691 | 0 7692 | 0 7693 | 0 7694 | 0 7695 | 1 7696 | 1 7697 | 1 7698 | 1 7699 | 1 7700 | 1 7701 | 1 7702 | 1 7703 | 1 7704 | 1 7705 | 1 7706 | 1 7707 | 1 7708 | 1 7709 | 1 7710 | 1 7711 | 1 7712 | 1 7713 | 1 7714 | 1 7715 | 1 7716 | 1 7717 | 1 7718 | 1 7719 | 1 7720 | 1 7721 | 1 7722 | 1 7723 | 1 7724 | 1 7725 | 1 7726 | 1 7727 | 1 7728 | 1 7729 | 1 7730 | 1 7731 | 1 7732 | 1 7733 | 1 7734 | 1 7735 | 1 7736 | 1 7737 | 1 7738 | 1 7739 | 1 7740 | 1 7741 | 1 7742 | 1 7743 | 1 7744 | 0 7745 | 0 7746 | 0 7747 | 0 7748 | 0 7749 | 0 7750 | 0 7751 | 0 7752 | 0 7753 | 0 7754 | 0 7755 | 0 7756 | 0 7757 | 0 7758 | 0 7759 | 0 7760 | 0 7761 | 0 7762 | 0 7763 | 0 7764 | 0 7765 | 0 7766 | 0 7767 | 0 7768 | 0 7769 | 0 7770 | 0 7771 | 0 7772 | 0 7773 | 0 7774 | 0 7775 | 0 7776 | 0 7777 | 0 7778 | 0 7779 | 0 7780 | 0 7781 | 0 7782 | 0 7783 | 0 7784 | 0 7785 | 0 7786 | 0 7787 | 0 7788 | 0 7789 | 0 7790 | 0 7791 | 0 7792 | 0 7793 | 0 7794 | 0 7795 | 0 7796 | 0 7797 | 0 7798 | 0 7799 | 0 7800 | 0 7801 | 0 7802 | 0 7803 | 0 7804 | 0 7805 | 0 7806 | 0 7807 | 0 7808 | 0 7809 | 0 7810 | 0 7811 | 0 7812 | 0 7813 | 0 7814 | 0 7815 | 0 7816 | 0 7817 | 0 7818 | 0 7819 | 0 7820 | 0 7821 | 0 7822 | 0 7823 | 1 7824 | 1 7825 | 1 7826 | 1 7827 | 1 7828 | 1 7829 | 1 7830 | 1 7831 | 1 7832 | 1 7833 | 1 7834 | 1 7835 | 1 7836 | 1 7837 | 1 7838 | 1 7839 | 1 7840 | 1 7841 | 1 7842 | 1 7843 | 1 7844 | 1 7845 | 1 7846 | 1 7847 | 1 7848 | 1 7849 | 1 7850 | 1 7851 | 1 7852 | 1 7853 | 1 7854 | 1 7855 | 1 7856 | 1 7857 | 1 7858 | 1 7859 | 1 7860 | 1 7861 | 1 7862 | 1 7863 | 1 7864 | 1 7865 | 1 7866 | 1 7867 | 1 7868 | 1 7869 | 1 7870 | 1 7871 | 1 7872 | 0 7873 | 0 7874 | 0 7875 | 0 7876 | 0 7877 | 0 7878 | 0 7879 | 0 7880 | 0 7881 | 0 7882 | 0 7883 | 0 7884 | 0 7885 | 0 7886 | 0 7887 | 0 7888 | 0 7889 | 0 7890 | 0 7891 | 0 7892 | 0 7893 | 0 7894 | 0 7895 | 0 7896 | 0 7897 | 0 7898 | 0 7899 | 0 7900 | 0 7901 | 0 7902 | 0 7903 | 0 7904 | 0 7905 | 0 7906 | 0 7907 | 0 7908 | 0 7909 | 0 7910 | 0 7911 | 0 7912 | 0 7913 | 0 7914 | 0 7915 | 0 7916 | 0 7917 | 0 7918 | 0 7919 | 0 7920 | 0 7921 | 0 7922 | 0 7923 | 0 7924 | 0 7925 | 0 7926 | 0 7927 | 0 7928 | 0 7929 | 0 7930 | 0 7931 | 0 7932 | 0 7933 | 0 7934 | 0 7935 | 0 7936 | 0 7937 | 0 7938 | 0 7939 | 0 7940 | 0 7941 | 0 7942 | 0 7943 | 0 7944 | 0 7945 | 0 7946 | 0 7947 | 0 7948 | 0 7949 | 0 7950 | 0 7951 | 1 7952 | 1 7953 | 1 7954 | 1 7955 | 1 7956 | 1 7957 | 1 7958 | 1 7959 | 1 7960 | 1 7961 | 1 7962 | 1 7963 | 1 7964 | 1 7965 | 1 7966 | 1 7967 | 1 7968 | 1 7969 | 1 7970 | 1 7971 | 1 7972 | 1 7973 | 1 7974 | 1 7975 | 1 7976 | 1 7977 | 1 7978 | 1 7979 | 1 7980 | 1 7981 | 1 7982 | 1 7983 | 1 7984 | 1 7985 | 1 7986 | 1 7987 | 1 7988 | 1 7989 | 1 7990 | 1 7991 | 1 7992 | 1 7993 | 1 7994 | 1 7995 | 1 7996 | 1 7997 | 1 7998 | 1 7999 | 1 8000 | 1 8001 | 1 8002 | 0 8003 | 0 8004 | 0 8005 | 0 8006 | 0 8007 | 0 8008 | 0 8009 | 0 8010 | 0 8011 | 0 8012 | 0 8013 | 0 8014 | 0 8015 | 0 8016 | 0 8017 | 0 8018 | 0 8019 | 0 8020 | 0 8021 | 0 8022 | 0 8023 | 0 8024 | 0 8025 | 0 8026 | 0 8027 | 0 8028 | 0 8029 | 0 8030 | 0 8031 | 0 8032 | 0 8033 | 0 8034 | 0 8035 | 0 8036 | 0 8037 | 0 8038 | 0 8039 | 0 8040 | 0 8041 | 0 8042 | 0 8043 | 0 8044 | 0 8045 | 0 8046 | 0 8047 | 0 8048 | 0 8049 | 0 8050 | 0 8051 | 0 8052 | 0 8053 | 0 8054 | 0 8055 | 0 8056 | 0 8057 | 0 8058 | 0 8059 | 0 8060 | 0 8061 | 0 8062 | 0 8063 | 0 8064 | 0 8065 | 0 8066 | 0 8067 | 0 8068 | 0 8069 | 0 8070 | 0 8071 | 0 8072 | 0 8073 | 0 8074 | 0 8075 | 0 8076 | 0 8077 | 0 8078 | 0 8079 | 1 8080 | 1 8081 | 1 8082 | 1 8083 | 1 8084 | 1 8085 | 1 8086 | 1 8087 | 1 8088 | 1 8089 | 1 8090 | 1 8091 | 1 8092 | 1 8093 | 1 8094 | 1 8095 | 1 8096 | 1 8097 | 1 8098 | 1 8099 | 1 8100 | 1 8101 | 1 8102 | 1 8103 | 1 8104 | 1 8105 | 1 8106 | 1 8107 | 1 8108 | 1 8109 | 1 8110 | 1 8111 | 1 8112 | 1 8113 | 1 8114 | 1 8115 | 1 8116 | 1 8117 | 1 8118 | 1 8119 | 1 8120 | 1 8121 | 1 8122 | 1 8123 | 1 8124 | 1 8125 | 1 8126 | 1 8127 | 1 8128 | 1 8129 | 1 8130 | 1 8131 | 0 8132 | 0 8133 | 0 8134 | 0 8135 | 0 8136 | 0 8137 | 0 8138 | 0 8139 | 0 8140 | 0 8141 | 0 8142 | 0 8143 | 0 8144 | 0 8145 | 0 8146 | 0 8147 | 0 8148 | 0 8149 | 0 8150 | 0 8151 | 0 8152 | 0 8153 | 0 8154 | 0 8155 | 0 8156 | 0 8157 | 0 8158 | 0 8159 | 0 8160 | 0 8161 | 0 8162 | 0 8163 | 0 8164 | 0 8165 | 0 8166 | 0 8167 | 0 8168 | 0 8169 | 0 8170 | 0 8171 | 0 8172 | 0 8173 | 0 8174 | 0 8175 | 0 8176 | 0 8177 | 0 8178 | 0 8179 | 0 8180 | 0 8181 | 0 8182 | 0 8183 | 0 8184 | 0 8185 | 0 8186 | 0 8187 | 0 8188 | 0 8189 | 0 8190 | 0 8191 | 0 8192 | 0 8193 | 0 8194 | 0 8195 | 0 8196 | 0 8197 | 0 8198 | 0 8199 | 0 8200 | 0 8201 | 0 8202 | 0 8203 | 0 8204 | 0 8205 | 0 8206 | 0 8207 | 1 8208 | 1 8209 | 1 8210 | 1 8211 | 1 8212 | 1 8213 | 1 8214 | 1 8215 | 1 8216 | 1 8217 | 1 8218 | 1 8219 | 1 8220 | 1 8221 | 1 8222 | 1 8223 | 1 8224 | 1 8225 | 1 8226 | 1 8227 | 1 8228 | 1 8229 | 1 8230 | 1 8231 | 1 8232 | 1 8233 | 1 8234 | 1 8235 | 1 8236 | 1 8237 | 1 8238 | 1 8239 | 1 8240 | 1 8241 | 1 8242 | 1 8243 | 1 8244 | 1 8245 | 1 8246 | 1 8247 | 1 8248 | 1 8249 | 1 8250 | 1 8251 | 1 8252 | 1 8253 | 1 8254 | 1 8255 | 1 8256 | 1 8257 | 1 8258 | 1 8259 | 1 8260 | 0 8261 | 0 8262 | 0 8263 | 0 8264 | 0 8265 | 0 8266 | 0 8267 | 0 8268 | 0 8269 | 0 8270 | 0 8271 | 0 8272 | 0 8273 | 0 8274 | 0 8275 | 0 8276 | 0 8277 | 0 8278 | 0 8279 | 0 8280 | 0 8281 | 0 8282 | 0 8283 | 0 8284 | 0 8285 | 0 8286 | 0 8287 | 0 8288 | 0 8289 | 0 8290 | 0 8291 | 0 8292 | 0 8293 | 0 8294 | 0 8295 | 0 8296 | 0 8297 | 0 8298 | 0 8299 | 0 8300 | 0 8301 | 0 8302 | 0 8303 | 0 8304 | 0 8305 | 0 8306 | 0 8307 | 0 8308 | 0 8309 | 0 8310 | 0 8311 | 0 8312 | 0 8313 | 0 8314 | 0 8315 | 0 8316 | 0 8317 | 0 8318 | 0 8319 | 0 8320 | 0 8321 | 0 8322 | 0 8323 | 0 8324 | 0 8325 | 0 8326 | 0 8327 | 0 8328 | 0 8329 | 0 8330 | 0 8331 | 0 8332 | 0 8333 | 0 8334 | 1 8335 | 1 8336 | 1 8337 | 1 8338 | 1 8339 | 1 8340 | 1 8341 | 1 8342 | 1 8343 | 1 8344 | 1 8345 | 1 8346 | 1 8347 | 1 8348 | 1 8349 | 1 8350 | 1 8351 | 1 8352 | 1 8353 | 1 8354 | 1 8355 | 1 8356 | 1 8357 | 1 8358 | 1 8359 | 1 8360 | 1 8361 | 1 8362 | 1 8363 | 1 8364 | 1 8365 | 1 8366 | 1 8367 | 1 8368 | 1 8369 | 0 8370 | 1 8371 | 1 8372 | 1 8373 | 1 8374 | 1 8375 | 1 8376 | 1 8377 | 1 8378 | 1 8379 | 1 8380 | 1 8381 | 1 8382 | 1 8383 | 1 8384 | 1 8385 | 1 8386 | 1 8387 | 1 8388 | 0 8389 | 0 8390 | 0 8391 | 0 8392 | 0 8393 | 0 8394 | 0 8395 | 0 8396 | 0 8397 | 0 8398 | 0 8399 | 0 8400 | 0 8401 | 0 8402 | 0 8403 | 0 8404 | 0 8405 | 0 8406 | 0 8407 | 0 8408 | 0 8409 | 0 8410 | 0 8411 | 0 8412 | 0 8413 | 0 8414 | 0 8415 | 0 8416 | 0 8417 | 0 8418 | 0 8419 | 0 8420 | 0 8421 | 0 8422 | 0 8423 | 0 8424 | 0 8425 | 0 8426 | 0 8427 | 0 8428 | 0 8429 | 0 8430 | 0 8431 | 0 8432 | 0 8433 | 0 8434 | 0 8435 | 0 8436 | 0 8437 | 0 8438 | 0 8439 | 0 8440 | 0 8441 | 0 8442 | 0 8443 | 0 8444 | 0 8445 | 0 8446 | 0 8447 | 0 8448 | 0 8449 | 0 8450 | 0 8451 | 0 8452 | 0 8453 | 0 8454 | 0 8455 | 0 8456 | 0 8457 | 0 8458 | 0 8459 | 0 8460 | 0 8461 | 1 8462 | 0 8463 | 1 8464 | 1 8465 | 1 8466 | 1 8467 | 1 8468 | 1 8469 | 1 8470 | 1 8471 | 1 8472 | 1 8473 | 1 8474 | 1 8475 | 1 8476 | 1 8477 | 1 8478 | 1 8479 | 1 8480 | 1 8481 | 1 8482 | 1 8483 | 1 8484 | 1 8485 | 1 8486 | 1 8487 | 1 8488 | 1 8489 | 1 8490 | 1 8491 | 1 8492 | 1 8493 | 1 8494 | 1 8495 | 1 8496 | 0 8497 | 0 8498 | 1 8499 | 1 8500 | 1 8501 | 1 8502 | 1 8503 | 1 8504 | 1 8505 | 1 8506 | 1 8507 | 1 8508 | 1 8509 | 1 8510 | 1 8511 | 1 8512 | 1 8513 | 1 8514 | 1 8515 | 1 8516 | 0 8517 | 0 8518 | 0 8519 | 0 8520 | 0 8521 | 0 8522 | 0 8523 | 0 8524 | 0 8525 | 0 8526 | 0 8527 | 0 8528 | 0 8529 | 0 8530 | 0 8531 | 0 8532 | 0 8533 | 0 8534 | 0 8535 | 0 8536 | 0 8537 | 0 8538 | 0 8539 | 0 8540 | 0 8541 | 0 8542 | 0 8543 | 0 8544 | 0 8545 | 0 8546 | 0 8547 | 0 8548 | 0 8549 | 0 8550 | 0 8551 | 0 8552 | 0 8553 | 0 8554 | 0 8555 | 0 8556 | 0 8557 | 0 8558 | 0 8559 | 0 8560 | 0 8561 | 0 8562 | 0 8563 | 0 8564 | 0 8565 | 0 8566 | 0 8567 | 0 8568 | 0 8569 | 0 8570 | 0 8571 | 0 8572 | 0 8573 | 0 8574 | 0 8575 | 0 8576 | 0 8577 | 0 8578 | 0 8579 | 0 8580 | 0 8581 | 0 8582 | 0 8583 | 0 8584 | 0 8585 | 0 8586 | 0 8587 | 0 8588 | 0 8589 | 1 8590 | 0 8591 | 0 8592 | 0 8593 | 0 8594 | 1 8595 | 1 8596 | 1 8597 | 1 8598 | 1 8599 | 1 8600 | 1 8601 | 1 8602 | 1 8603 | 1 8604 | 1 8605 | 1 8606 | 1 8607 | 1 8608 | 1 8609 | 1 8610 | 1 8611 | 1 8612 | 1 8613 | 1 8614 | 1 8615 | 1 8616 | 1 8617 | 1 8618 | 1 8619 | 1 8620 | 1 8621 | 1 8622 | 0 8623 | 0 8624 | 0 8625 | 0 8626 | 1 8627 | 1 8628 | 1 8629 | 1 8630 | 1 8631 | 1 8632 | 1 8633 | 1 8634 | 1 8635 | 1 8636 | 1 8637 | 1 8638 | 1 8639 | 1 8640 | 1 8641 | 1 8642 | 1 8643 | 1 8644 | 1 8645 | 0 8646 | 1 8647 | 0 8648 | 0 8649 | 0 8650 | 0 8651 | 0 8652 | 0 8653 | 0 8654 | 0 8655 | 0 8656 | 0 8657 | 0 8658 | 0 8659 | 0 8660 | 0 8661 | 0 8662 | 0 8663 | 0 8664 | 0 8665 | 0 8666 | 0 8667 | 0 8668 | 0 8669 | 0 8670 | 0 8671 | 0 8672 | 0 8673 | 0 8674 | 0 8675 | 0 8676 | 0 8677 | 0 8678 | 0 8679 | 0 8680 | 0 8681 | 0 8682 | 0 8683 | 0 8684 | 0 8685 | 0 8686 | 0 8687 | 0 8688 | 0 8689 | 0 8690 | 0 8691 | 0 8692 | 0 8693 | 0 8694 | 0 8695 | 0 8696 | 0 8697 | 0 8698 | 0 8699 | 0 8700 | 0 8701 | 0 8702 | 0 8703 | 0 8704 | 0 8705 | 0 8706 | 0 8707 | 0 8708 | 0 8709 | 0 8710 | 0 8711 | 0 8712 | 0 8713 | 0 8714 | 0 8715 | 0 8716 | 0 8717 | 0 8718 | 0 8719 | 0 8720 | 0 8721 | 0 8722 | 0 8723 | 0 8724 | 0 8725 | 1 8726 | 1 8727 | 1 8728 | 1 8729 | 1 8730 | 1 8731 | 1 8732 | 1 8733 | 1 8734 | 1 8735 | 1 8736 | 1 8737 | 1 8738 | 1 8739 | 1 8740 | 1 8741 | 1 8742 | 1 8743 | 1 8744 | 1 8745 | 1 8746 | 1 8747 | 1 8748 | 1 8749 | 0 8750 | 0 8751 | 0 8752 | 0 8753 | 0 8754 | 1 8755 | 1 8756 | 1 8757 | 1 8758 | 1 8759 | 1 8760 | 1 8761 | 1 8762 | 1 8763 | 1 8764 | 1 8765 | 1 8766 | 1 8767 | 1 8768 | 1 8769 | 1 8770 | 1 8771 | 1 8772 | 1 8773 | 1 8774 | 1 8775 | 1 8776 | 0 8777 | 0 8778 | 0 8779 | 0 8780 | 0 8781 | 0 8782 | 0 8783 | 0 8784 | 0 8785 | 0 8786 | 0 8787 | 0 8788 | 0 8789 | 0 8790 | 0 8791 | 0 8792 | 0 8793 | 0 8794 | 0 8795 | 0 8796 | 0 8797 | 0 8798 | 0 8799 | 0 8800 | 0 8801 | 0 8802 | 0 8803 | 0 8804 | 0 8805 | 0 8806 | 0 8807 | 0 8808 | 0 8809 | 0 8810 | 0 8811 | 0 8812 | 0 8813 | 0 8814 | 0 8815 | 0 8816 | 0 8817 | 0 8818 | 0 8819 | 0 8820 | 0 8821 | 0 8822 | 0 8823 | 0 8824 | 0 8825 | 0 8826 | 0 8827 | 0 8828 | 0 8829 | 0 8830 | 0 8831 | 0 8832 | 0 8833 | 0 8834 | 0 8835 | 0 8836 | 0 8837 | 0 8838 | 0 8839 | 0 8840 | 0 8841 | 0 8842 | 0 8843 | 0 8844 | 0 8845 | 0 8846 | 0 8847 | 0 8848 | 0 8849 | 0 8850 | 0 8851 | 0 8852 | 0 8853 | 0 8854 | 0 8855 | 1 8856 | 1 8857 | 1 8858 | 1 8859 | 1 8860 | 1 8861 | 1 8862 | 1 8863 | 1 8864 | 1 8865 | 1 8866 | 1 8867 | 1 8868 | 1 8869 | 1 8870 | 1 8871 | 1 8872 | 1 8873 | 1 8874 | 1 8875 | 1 8876 | 0 8877 | 0 8878 | 0 8879 | 0 8880 | 0 8881 | 0 8882 | 0 8883 | 1 8884 | 1 8885 | 1 8886 | 1 8887 | 1 8888 | 1 8889 | 1 8890 | 1 8891 | 1 8892 | 1 8893 | 1 8894 | 1 8895 | 1 8896 | 1 8897 | 1 8898 | 1 8899 | 1 8900 | 1 8901 | 1 8902 | 1 8903 | 1 8904 | 0 8905 | 0 8906 | 0 8907 | 0 8908 | 0 8909 | 0 8910 | 0 8911 | 0 8912 | 0 8913 | 0 8914 | 0 8915 | 0 8916 | 0 8917 | 0 8918 | 0 8919 | 0 8920 | 0 8921 | 0 8922 | 0 8923 | 0 8924 | 0 8925 | 0 8926 | 0 8927 | 0 8928 | 0 8929 | 0 8930 | 0 8931 | 0 8932 | 0 8933 | 0 8934 | 0 8935 | 0 8936 | 0 8937 | 0 8938 | 0 8939 | 0 8940 | 0 8941 | 0 8942 | 0 8943 | 0 8944 | 0 8945 | 0 8946 | 0 8947 | 0 8948 | 0 8949 | 0 8950 | 0 8951 | 0 8952 | 0 8953 | 0 8954 | 0 8955 | 0 8956 | 0 8957 | 0 8958 | 0 8959 | 0 8960 | 0 8961 | 0 8962 | 0 8963 | 0 8964 | 0 8965 | 0 8966 | 0 8967 | 0 8968 | 0 8969 | 0 8970 | 0 8971 | 0 8972 | 1 8973 | 1 8974 | 0 8975 | 0 8976 | 0 8977 | 0 8978 | 0 8979 | 0 8980 | 0 8981 | 0 8982 | 0 8983 | 0 8984 | 0 8985 | 0 8986 | 1 8987 | 1 8988 | 1 8989 | 1 8990 | 1 8991 | 1 8992 | 1 8993 | 1 8994 | 1 8995 | 1 8996 | 1 8997 | 1 8998 | 1 8999 | 1 9000 | 1 9001 | 0 9002 | 0 9003 | 0 9004 | 0 9005 | 0 9006 | 0 9007 | 0 9008 | 0 9009 | 0 9010 | 0 9011 | 0 9012 | 1 9013 | 1 9014 | 1 9015 | 1 9016 | 1 9017 | 1 9018 | 1 9019 | 1 9020 | 1 9021 | 1 9022 | 1 9023 | 1 9024 | 1 9025 | 1 9026 | 1 9027 | 1 9028 | 1 9029 | 1 9030 | 1 9031 | 1 9032 | 1 9033 | 0 9034 | 0 9035 | 0 9036 | 0 9037 | 0 9038 | 0 9039 | 0 9040 | 0 9041 | 0 9042 | 0 9043 | 0 9044 | 0 9045 | 0 9046 | 0 9047 | 0 9048 | 0 9049 | 0 9050 | 0 9051 | 0 9052 | 0 9053 | 0 9054 | 0 9055 | 0 9056 | 0 9057 | 0 9058 | 0 9059 | 0 9060 | 0 9061 | 0 9062 | 0 9063 | 0 9064 | 0 9065 | 0 9066 | 0 9067 | 0 9068 | 0 9069 | 0 9070 | 0 9071 | 0 9072 | 0 9073 | 0 9074 | 0 9075 | 0 9076 | 0 9077 | 0 9078 | 0 9079 | 0 9080 | 0 9081 | 0 9082 | 0 9083 | 0 9084 | 0 9085 | 0 9086 | 0 9087 | 0 9088 | 0 9089 | 0 9090 | 0 9091 | 0 9092 | 0 9093 | 0 9094 | 0 9095 | 0 9096 | 0 9097 | 0 9098 | 0 9099 | 0 9100 | 1 9101 | 1 9102 | 1 9103 | 1 9104 | 0 9105 | 0 9106 | 0 9107 | 0 9108 | 0 9109 | 0 9110 | 0 9111 | 0 9112 | 0 9113 | 0 9114 | 0 9115 | 0 9116 | 0 9117 | 1 9118 | 1 9119 | 1 9120 | 1 9121 | 1 9122 | 1 9123 | 1 9124 | 1 9125 | 1 9126 | 1 9127 | 0 9128 | 0 9129 | 0 9130 | 0 9131 | 0 9132 | 0 9133 | 0 9134 | 0 9135 | 0 9136 | 0 9137 | 0 9138 | 0 9139 | 0 9140 | 0 9141 | 0 9142 | 1 9143 | 1 9144 | 1 9145 | 1 9146 | 1 9147 | 1 9148 | 1 9149 | 1 9150 | 1 9151 | 1 9152 | 1 9153 | 1 9154 | 1 9155 | 1 9156 | 1 9157 | 1 9158 | 1 9159 | 1 9160 | 1 9161 | 0 9162 | 0 9163 | 0 9164 | 0 9165 | 0 9166 | 0 9167 | 0 9168 | 0 9169 | 0 9170 | 0 9171 | 0 9172 | 0 9173 | 0 9174 | 0 9175 | 0 9176 | 0 9177 | 0 9178 | 0 9179 | 0 9180 | 0 9181 | 0 9182 | 0 9183 | 0 9184 | 0 9185 | 0 9186 | 0 9187 | 0 9188 | 0 9189 | 0 9190 | 0 9191 | 0 9192 | 0 9193 | 0 9194 | 0 9195 | 0 9196 | 0 9197 | 0 9198 | 0 9199 | 0 9200 | 0 9201 | 0 9202 | 0 9203 | 0 9204 | 0 9205 | 0 9206 | 0 9207 | 0 9208 | 0 9209 | 0 9210 | 0 9211 | 0 9212 | 0 9213 | 0 9214 | 0 9215 | 0 9216 | 0 9217 | 0 9218 | 0 9219 | 0 9220 | 0 9221 | 0 9222 | 0 9223 | 0 9224 | 0 9225 | 0 9226 | 0 9227 | 0 9228 | 1 9229 | 1 9230 | 1 9231 | 1 9232 | 1 9233 | 1 9234 | 0 9235 | 0 9236 | 0 9237 | 0 9238 | 0 9239 | 0 9240 | 0 9241 | 0 9242 | 0 9243 | 0 9244 | 0 9245 | 0 9246 | 0 9247 | 0 9248 | 0 9249 | 0 9250 | 0 9251 | 0 9252 | 0 9253 | 0 9254 | 0 9255 | 0 9256 | 0 9257 | 0 9258 | 0 9259 | 0 9260 | 0 9261 | 0 9262 | 0 9263 | 0 9264 | 0 9265 | 0 9266 | 0 9267 | 0 9268 | 0 9269 | 0 9270 | 0 9271 | 0 9272 | 0 9273 | 0 9274 | 0 9275 | 0 9276 | 0 9277 | 1 9278 | 1 9279 | 1 9280 | 1 9281 | 1 9282 | 1 9283 | 1 9284 | 1 9285 | 1 9286 | 1 9287 | 1 9288 | 1 9289 | 0 9290 | 1 9291 | 1 9292 | 1 9293 | 0 9294 | 0 9295 | 0 9296 | 0 9297 | 0 9298 | 0 9299 | 0 9300 | 0 9301 | 0 9302 | 0 9303 | 0 9304 | 0 9305 | 0 9306 | 0 9307 | 0 9308 | 0 9309 | 0 9310 | 0 9311 | 0 9312 | 0 9313 | 0 9314 | 0 9315 | 0 9316 | 0 9317 | 0 9318 | 0 9319 | 0 9320 | 0 9321 | 0 9322 | 0 9323 | 0 9324 | 0 9325 | 0 9326 | 0 9327 | 0 9328 | 0 9329 | 0 9330 | 0 9331 | 0 9332 | 0 9333 | 0 9334 | 0 9335 | 0 9336 | 0 9337 | 0 9338 | 0 9339 | 0 9340 | 0 9341 | 0 9342 | 0 9343 | 0 9344 | 0 9345 | 0 9346 | 0 9347 | 0 9348 | 0 9349 | 0 9350 | 0 9351 | 0 9352 | 0 9353 | 0 9354 | 0 9355 | 0 9356 | 1 9357 | 1 9358 | 1 9359 | 1 9360 | 1 9361 | 1 9362 | 1 9363 | 1 9364 | 0 9365 | 0 9366 | 0 9367 | 0 9368 | 0 9369 | 0 9370 | 0 9371 | 0 9372 | 0 9373 | 0 9374 | 0 9375 | 0 9376 | 0 9377 | 0 9378 | 0 9379 | 0 9380 | 0 9381 | 0 9382 | 0 9383 | 0 9384 | 0 9385 | 0 9386 | 0 9387 | 0 9388 | 0 9389 | 0 9390 | 0 9391 | 0 9392 | 0 9393 | 0 9394 | 0 9395 | 0 9396 | 0 9397 | 0 9398 | 0 9399 | 0 9400 | 0 9401 | 0 9402 | 0 9403 | 0 9404 | 0 9405 | 0 9406 | 0 9407 | 1 9408 | 1 9409 | 1 9410 | 1 9411 | 1 9412 | 1 9413 | 1 9414 | 1 9415 | 1 9416 | 1 9417 | 1 9418 | 1 9419 | 1 9420 | 1 9421 | 1 9422 | 0 9423 | 0 9424 | 0 9425 | 0 9426 | 0 9427 | 0 9428 | 0 9429 | 0 9430 | 0 9431 | 0 9432 | 0 9433 | 0 9434 | 0 9435 | 0 9436 | 0 9437 | 0 9438 | 0 9439 | 0 9440 | 0 9441 | 0 9442 | 0 9443 | 0 9444 | 0 9445 | 0 9446 | 0 9447 | 0 9448 | 0 9449 | 0 9450 | 0 9451 | 0 9452 | 0 9453 | 0 9454 | 0 9455 | 0 9456 | 0 9457 | 0 9458 | 0 9459 | 0 9460 | 0 9461 | 0 9462 | 0 9463 | 0 9464 | 0 9465 | 0 9466 | 0 9467 | 0 9468 | 0 9469 | 0 9470 | 0 9471 | 0 9472 | 0 9473 | 0 9474 | 0 9475 | 0 9476 | 0 9477 | 0 9478 | 0 9479 | 0 9480 | 0 9481 | 0 9482 | 0 9483 | 0 9484 | 1 9485 | 1 9486 | 1 9487 | 1 9488 | 1 9489 | 1 9490 | 1 9491 | 1 9492 | 1 9493 | 1 9494 | 0 9495 | 0 9496 | 0 9497 | 0 9498 | 0 9499 | 0 9500 | 0 9501 | 0 9502 | 0 9503 | 0 9504 | 0 9505 | 0 9506 | 0 9507 | 0 9508 | 0 9509 | 0 9510 | 0 9511 | 0 9512 | 0 9513 | 0 9514 | 0 9515 | 0 9516 | 0 9517 | 0 9518 | 0 9519 | 0 9520 | 0 9521 | 0 9522 | 0 9523 | 0 9524 | 0 9525 | 0 9526 | 0 9527 | 0 9528 | 0 9529 | 0 9530 | 0 9531 | 0 9532 | 0 9533 | 0 9534 | 0 9535 | 0 9536 | 1 9537 | 1 9538 | 1 9539 | 1 9540 | 1 9541 | 1 9542 | 1 9543 | 1 9544 | 1 9545 | 1 9546 | 1 9547 | 1 9548 | 1 9549 | 1 9550 | 1 9551 | 0 9552 | 0 9553 | 0 9554 | 0 9555 | 0 9556 | 0 9557 | 0 9558 | 0 9559 | 0 9560 | 0 9561 | 0 9562 | 0 9563 | 0 9564 | 0 9565 | 0 9566 | 0 9567 | 0 9568 | 0 9569 | 0 9570 | 0 9571 | 0 9572 | 0 9573 | 0 9574 | 0 9575 | 0 9576 | 0 9577 | 0 9578 | 0 9579 | 0 9580 | 0 9581 | 0 9582 | 0 9583 | 0 9584 | 0 9585 | 0 9586 | 0 9587 | 0 9588 | 0 9589 | 0 9590 | 0 9591 | 0 9592 | 0 9593 | 0 9594 | 0 9595 | 0 9596 | 0 9597 | 0 9598 | 0 9599 | 0 9600 | 0 9601 | 0 9602 | 0 9603 | 0 9604 | 0 9605 | 0 9606 | 0 9607 | 0 9608 | 0 9609 | 0 9610 | 0 9611 | 0 9612 | 1 9613 | 1 9614 | 1 9615 | 1 9616 | 1 9617 | 1 9618 | 1 9619 | 1 9620 | 1 9621 | 1 9622 | 1 9623 | 1 9624 | 0 9625 | 0 9626 | 0 9627 | 0 9628 | 0 9629 | 0 9630 | 0 9631 | 0 9632 | 0 9633 | 0 9634 | 0 9635 | 0 9636 | 0 9637 | 0 9638 | 0 9639 | 0 9640 | 0 9641 | 0 9642 | 0 9643 | 0 9644 | 0 9645 | 0 9646 | 0 9647 | 0 9648 | 0 9649 | 0 9650 | 0 9651 | 0 9652 | 0 9653 | 0 9654 | 0 9655 | 0 9656 | 0 9657 | 0 9658 | 0 9659 | 0 9660 | 0 9661 | 0 9662 | 0 9663 | 0 9664 | 0 9665 | 1 9666 | 1 9667 | 1 9668 | 1 9669 | 1 9670 | 1 9671 | 1 9672 | 1 9673 | 1 9674 | 1 9675 | 1 9676 | 1 9677 | 1 9678 | 1 9679 | 0 9680 | 0 9681 | 0 9682 | 0 9683 | 0 9684 | 0 9685 | 0 9686 | 0 9687 | 0 9688 | 0 9689 | 0 9690 | 0 9691 | 0 9692 | 0 9693 | 0 9694 | 0 9695 | 0 9696 | 0 9697 | 0 9698 | 0 9699 | 0 9700 | 0 9701 | 0 9702 | 0 9703 | 0 9704 | 0 9705 | 0 9706 | 0 9707 | 0 9708 | 0 9709 | 0 9710 | 0 9711 | 0 9712 | 0 9713 | 0 9714 | 0 9715 | 0 9716 | 0 9717 | 0 9718 | 0 9719 | 0 9720 | 0 9721 | 0 9722 | 0 9723 | 0 9724 | 0 9725 | 0 9726 | 0 9727 | 0 9728 | 0 9729 | 0 9730 | 0 9731 | 0 9732 | 0 9733 | 0 9734 | 0 9735 | 0 9736 | 0 9737 | 0 9738 | 0 9739 | 0 9740 | 1 9741 | 1 9742 | 1 9743 | 1 9744 | 1 9745 | 1 9746 | 1 9747 | 1 9748 | 1 9749 | 1 9750 | 1 9751 | 1 9752 | 1 9753 | 1 9754 | 0 9755 | 0 9756 | 0 9757 | 0 9758 | 0 9759 | 0 9760 | 0 9761 | 0 9762 | 0 9763 | 0 9764 | 0 9765 | 0 9766 | 0 9767 | 0 9768 | 0 9769 | 0 9770 | 0 9771 | 0 9772 | 0 9773 | 0 9774 | 0 9775 | 0 9776 | 0 9777 | 0 9778 | 0 9779 | 0 9780 | 0 9781 | 0 9782 | 0 9783 | 0 9784 | 0 9785 | 0 9786 | 0 9787 | 0 9788 | 0 9789 | 0 9790 | 0 9791 | 0 9792 | 0 9793 | 0 9794 | 1 9795 | 1 9796 | 1 9797 | 1 9798 | 1 9799 | 1 9800 | 1 9801 | 1 9802 | 1 9803 | 1 9804 | 1 9805 | 1 9806 | 1 9807 | 1 9808 | 1 9809 | 0 9810 | 0 9811 | 0 9812 | 0 9813 | 0 9814 | 0 9815 | 0 9816 | 0 9817 | 0 9818 | 0 9819 | 0 9820 | 0 9821 | 0 9822 | 0 9823 | 0 9824 | 0 9825 | 0 9826 | 0 9827 | 0 9828 | 0 9829 | 0 9830 | 0 9831 | 0 9832 | 0 9833 | 0 9834 | 0 9835 | 0 9836 | 0 9837 | 0 9838 | 0 9839 | 0 9840 | 0 9841 | 0 9842 | 0 9843 | 0 9844 | 0 9845 | 0 9846 | 0 9847 | 0 9848 | 0 9849 | 0 9850 | 0 9851 | 0 9852 | 0 9853 | 0 9854 | 0 9855 | 0 9856 | 0 9857 | 0 9858 | 0 9859 | 0 9860 | 0 9861 | 0 9862 | 0 9863 | 0 9864 | 0 9865 | 0 9866 | 0 9867 | 0 9868 | 1 9869 | 1 9870 | 1 9871 | 1 9872 | 1 9873 | 1 9874 | 1 9875 | 1 9876 | 1 9877 | 1 9878 | 1 9879 | 1 9880 | 1 9881 | 1 9882 | 1 9883 | 1 9884 | 0 9885 | 0 9886 | 0 9887 | 0 9888 | 0 9889 | 0 9890 | 0 9891 | 0 9892 | 0 9893 | 0 9894 | 0 9895 | 0 9896 | 0 9897 | 0 9898 | 0 9899 | 0 9900 | 0 9901 | 0 9902 | 0 9903 | 0 9904 | 0 9905 | 0 9906 | 0 9907 | 0 9908 | 0 9909 | 0 9910 | 0 9911 | 0 9912 | 0 9913 | 0 9914 | 0 9915 | 0 9916 | 0 9917 | 0 9918 | 0 9919 | 0 9920 | 0 9921 | 0 9922 | 0 9923 | 1 9924 | 1 9925 | 1 9926 | 1 9927 | 1 9928 | 1 9929 | 1 9930 | 1 9931 | 1 9932 | 1 9933 | 1 9934 | 1 9935 | 1 9936 | 1 9937 | 1 9938 | 0 9939 | 0 9940 | 0 9941 | 0 9942 | 0 9943 | 0 9944 | 0 9945 | 0 9946 | 0 9947 | 0 9948 | 0 9949 | 0 9950 | 0 9951 | 0 9952 | 0 9953 | 0 9954 | 0 9955 | 0 9956 | 0 9957 | 0 9958 | 0 9959 | 0 9960 | 0 9961 | 0 9962 | 0 9963 | 0 9964 | 0 9965 | 0 9966 | 0 9967 | 0 9968 | 0 9969 | 0 9970 | 0 9971 | 0 9972 | 0 9973 | 0 9974 | 0 9975 | 0 9976 | 0 9977 | 0 9978 | 0 9979 | 0 9980 | 0 9981 | 0 9982 | 0 9983 | 0 9984 | 0 9985 | 0 9986 | 0 9987 | 0 9988 | 0 9989 | 0 9990 | 0 9991 | 0 9992 | 0 9993 | 0 9994 | 0 9995 | 0 9996 | 1 9997 | 1 9998 | 1 9999 | 1 10000 | 1 10001 | 1 10002 | 1 10003 | 1 10004 | 1 10005 | 1 10006 | 1 10007 | 1 10008 | 1 10009 | 1 10010 | 1 10011 | 1 10012 | 1 10013 | 1 10014 | 1 10015 | 0 10016 | 0 10017 | 0 10018 | 0 10019 | 0 10020 | 0 10021 | 0 10022 | 0 10023 | 0 10024 | 0 10025 | 0 10026 | 0 10027 | 0 10028 | 0 10029 | 0 10030 | 0 10031 | 0 10032 | 0 10033 | 0 10034 | 0 10035 | 0 10036 | 0 10037 | 0 10038 | 0 10039 | 0 10040 | 0 10041 | 0 10042 | 1 10043 | 0 10044 | 0 10045 | 0 10046 | 0 10047 | 0 10048 | 0 10049 | 0 10050 | 0 10051 | 0 10052 | 1 10053 | 1 10054 | 1 10055 | 1 10056 | 1 10057 | 1 10058 | 1 10059 | 1 10060 | 1 10061 | 1 10062 | 1 10063 | 1 10064 | 1 10065 | 1 10066 | 1 10067 | 0 10068 | 0 10069 | 0 10070 | 0 10071 | 0 10072 | 0 10073 | 0 10074 | 0 10075 | 0 10076 | 0 10077 | 0 10078 | 0 10079 | 0 10080 | 0 10081 | 0 10082 | 0 10083 | 0 10084 | 0 10085 | 0 10086 | 0 10087 | 0 10088 | 0 10089 | 0 10090 | 0 10091 | 0 10092 | 0 10093 | 0 10094 | 0 10095 | 0 10096 | 0 10097 | 0 10098 | 0 10099 | 0 10100 | 0 10101 | 0 10102 | 0 10103 | 0 10104 | 0 10105 | 0 10106 | 0 10107 | 0 10108 | 0 10109 | 0 10110 | 0 10111 | 0 10112 | 0 10113 | 0 10114 | 0 10115 | 0 10116 | 0 10117 | 0 10118 | 0 10119 | 0 10120 | 0 10121 | 0 10122 | 0 10123 | 0 10124 | 1 10125 | 1 10126 | 1 10127 | 1 10128 | 1 10129 | 1 10130 | 1 10131 | 1 10132 | 1 10133 | 1 10134 | 1 10135 | 1 10136 | 1 10137 | 1 10138 | 1 10139 | 1 10140 | 1 10141 | 1 10142 | 1 10143 | 1 10144 | 1 10145 | 0 10146 | 0 10147 | 0 10148 | 0 10149 | 0 10150 | 0 10151 | 0 10152 | 0 10153 | 0 10154 | 0 10155 | 0 10156 | 0 10157 | 0 10158 | 0 10159 | 0 10160 | 0 10161 | 0 10162 | 0 10163 | 0 10164 | 0 10165 | 0 10166 | 0 10167 | 0 10168 | 0 10169 | 0 10170 | 1 10171 | 1 10172 | 0 10173 | 0 10174 | 0 10175 | 0 10176 | 0 10177 | 0 10178 | 0 10179 | 0 10180 | 1 10181 | 1 10182 | 1 10183 | 1 10184 | 1 10185 | 1 10186 | 1 10187 | 1 10188 | 1 10189 | 1 10190 | 1 10191 | 1 10192 | 1 10193 | 1 10194 | 1 10195 | 0 10196 | 0 10197 | 0 10198 | 0 10199 | 0 10200 | 0 10201 | 0 10202 | 0 10203 | 0 10204 | 0 10205 | 0 10206 | 0 10207 | 0 10208 | 0 10209 | 0 10210 | 0 10211 | 0 10212 | 0 10213 | 0 10214 | 0 10215 | 0 10216 | 0 10217 | 0 10218 | 0 10219 | 0 10220 | 0 10221 | 0 10222 | 0 10223 | 0 10224 | 0 10225 | 0 10226 | 0 10227 | 0 10228 | 0 10229 | 0 10230 | 0 10231 | 0 10232 | 0 10233 | 0 10234 | 0 10235 | 0 10236 | 0 10237 | 0 10238 | 0 10239 | 0 10240 | 0 10241 | 0 10242 | 0 10243 | 0 10244 | 0 10245 | 0 10246 | 0 10247 | 0 10248 | 0 10249 | 0 10250 | 0 10251 | 0 10252 | 1 10253 | 1 10254 | 1 10255 | 1 10256 | 1 10257 | 1 10258 | 1 10259 | 1 10260 | 1 10261 | 1 10262 | 1 10263 | 1 10264 | 1 10265 | 1 10266 | 1 10267 | 1 10268 | 1 10269 | 1 10270 | 1 10271 | 1 10272 | 1 10273 | 1 10274 | 1 10275 | 0 10276 | 0 10277 | 0 10278 | 0 10279 | 0 10280 | 0 10281 | 0 10282 | 0 10283 | 0 10284 | 0 10285 | 0 10286 | 0 10287 | 0 10288 | 0 10289 | 0 10290 | 0 10291 | 0 10292 | 0 10293 | 0 10294 | 0 10295 | 0 10296 | 0 10297 | 0 10298 | 1 10299 | 1 10300 | 1 10301 | 0 10302 | 0 10303 | 0 10304 | 0 10305 | 0 10306 | 0 10307 | 0 10308 | 0 10309 | 1 10310 | 1 10311 | 1 10312 | 1 10313 | 1 10314 | 1 10315 | 1 10316 | 1 10317 | 1 10318 | 1 10319 | 1 10320 | 1 10321 | 1 10322 | 1 10323 | 1 10324 | 0 10325 | 0 10326 | 0 10327 | 0 10328 | 0 10329 | 0 10330 | 0 10331 | 0 10332 | 0 10333 | 0 10334 | 0 10335 | 0 10336 | 0 10337 | 0 10338 | 0 10339 | 0 10340 | 0 10341 | 0 10342 | 0 10343 | 0 10344 | 0 10345 | 0 10346 | 0 10347 | 0 10348 | 0 10349 | 0 10350 | 0 10351 | 0 10352 | 0 10353 | 0 10354 | 0 10355 | 0 10356 | 0 10357 | 0 10358 | 0 10359 | 0 10360 | 0 10361 | 0 10362 | 0 10363 | 0 10364 | 0 10365 | 0 10366 | 0 10367 | 0 10368 | 0 10369 | 0 10370 | 0 10371 | 0 10372 | 0 10373 | 0 10374 | 0 10375 | 0 10376 | 0 10377 | 0 10378 | 0 10379 | 1 10380 | 1 10381 | 1 10382 | 1 10383 | 1 10384 | 1 10385 | 1 10386 | 1 10387 | 1 10388 | 1 10389 | 1 10390 | 1 10391 | 1 10392 | 1 10393 | 1 10394 | 1 10395 | 1 10396 | 1 10397 | 1 10398 | 1 10399 | 1 10400 | 1 10401 | 1 10402 | 1 10403 | 1 10404 | 1 10405 | 0 10406 | 0 10407 | 0 10408 | 0 10409 | 0 10410 | 0 10411 | 0 10412 | 0 10413 | 0 10414 | 0 10415 | 0 10416 | 0 10417 | 0 10418 | 0 10419 | 0 10420 | 0 10421 | 0 10422 | 0 10423 | 0 10424 | 0 10425 | 0 10426 | 1 10427 | 1 10428 | 1 10429 | 0 10430 | 0 10431 | 0 10432 | 0 10433 | 0 10434 | 0 10435 | 0 10436 | 0 10437 | 0 10438 | 1 10439 | 1 10440 | 1 10441 | 1 10442 | 1 10443 | 1 10444 | 1 10445 | 1 10446 | 1 10447 | 1 10448 | 1 10449 | 1 10450 | 1 10451 | 1 10452 | 1 10453 | 1 10454 | 1 10455 | 0 10456 | 0 10457 | 0 10458 | 0 10459 | 0 10460 | 0 10461 | 0 10462 | 0 10463 | 0 10464 | 0 10465 | 0 10466 | 0 10467 | 0 10468 | 0 10469 | 0 10470 | 0 10471 | 0 10472 | 0 10473 | 0 10474 | 0 10475 | 0 10476 | 0 10477 | 0 10478 | 0 10479 | 0 10480 | 0 10481 | 0 10482 | 0 10483 | 0 10484 | 0 10485 | 0 10486 | 0 10487 | 0 10488 | 0 10489 | 0 10490 | 0 10491 | 0 10492 | 0 10493 | 0 10494 | 0 10495 | 0 10496 | 0 10497 | 0 10498 | 0 10499 | 0 10500 | 0 10501 | 0 10502 | 0 10503 | 0 10504 | 0 10505 | 0 10506 | 0 10507 | 1 10508 | 1 10509 | 1 10510 | 1 10511 | 1 10512 | 1 10513 | 1 10514 | 1 10515 | 1 10516 | 1 10517 | 1 10518 | 1 10519 | 1 10520 | 1 10521 | 1 10522 | 1 10523 | 1 10524 | 1 10525 | 1 10526 | 1 10527 | 1 10528 | 1 10529 | 1 10530 | 1 10531 | 1 10532 | 1 10533 | 1 10534 | 1 10535 | 0 10536 | 0 10537 | 0 10538 | 0 10539 | 0 10540 | 0 10541 | 0 10542 | 0 10543 | 0 10544 | 0 10545 | 0 10546 | 0 10547 | 0 10548 | 0 10549 | 0 10550 | 0 10551 | 0 10552 | 0 10553 | 0 10554 | 1 10555 | 1 10556 | 1 10557 | 1 10558 | 0 10559 | 0 10560 | 0 10561 | 0 10562 | 0 10563 | 0 10564 | 0 10565 | 0 10566 | 0 10567 | 1 10568 | 1 10569 | 1 10570 | 1 10571 | 1 10572 | 1 10573 | 1 10574 | 1 10575 | 1 10576 | 1 10577 | 1 10578 | 1 10579 | 1 10580 | 1 10581 | 1 10582 | 1 10583 | 1 10584 | 0 10585 | 0 10586 | 0 10587 | 0 10588 | 0 10589 | 0 10590 | 0 10591 | 0 10592 | 0 10593 | 0 10594 | 0 10595 | 0 10596 | 0 10597 | 0 10598 | 0 10599 | 0 10600 | 0 10601 | 0 10602 | 0 10603 | 0 10604 | 0 10605 | 0 10606 | 0 10607 | 0 10608 | 0 10609 | 0 10610 | 0 10611 | 0 10612 | 0 10613 | 0 10614 | 0 10615 | 0 10616 | 0 10617 | 0 10618 | 0 10619 | 0 10620 | 0 10621 | 0 10622 | 0 10623 | 0 10624 | 0 10625 | 0 10626 | 0 10627 | 0 10628 | 0 10629 | 0 10630 | 0 10631 | 0 10632 | 0 10633 | 0 10634 | 0 10635 | 1 10636 | 1 10637 | 1 10638 | 1 10639 | 1 10640 | 1 10641 | 1 10642 | 1 10643 | 1 10644 | 1 10645 | 1 10646 | 1 10647 | 1 10648 | 1 10649 | 1 10650 | 1 10651 | 1 10652 | 1 10653 | 1 10654 | 1 10655 | 1 10656 | 1 10657 | 1 10658 | 1 10659 | 1 10660 | 1 10661 | 1 10662 | 1 10663 | 1 10664 | 1 10665 | 0 10666 | 0 10667 | 0 10668 | 0 10669 | 0 10670 | 0 10671 | 0 10672 | 0 10673 | 0 10674 | 0 10675 | 0 10676 | 0 10677 | 0 10678 | 0 10679 | 0 10680 | 0 10681 | 0 10682 | 1 10683 | 1 10684 | 1 10685 | 1 10686 | 1 10687 | 0 10688 | 0 10689 | 0 10690 | 0 10691 | 0 10692 | 0 10693 | 0 10694 | 0 10695 | 0 10696 | 0 10697 | 1 10698 | 1 10699 | 1 10700 | 1 10701 | 1 10702 | 1 10703 | 1 10704 | 1 10705 | 1 10706 | 1 10707 | 1 10708 | 1 10709 | 1 10710 | 1 10711 | 1 10712 | 1 10713 | 0 10714 | 0 10715 | 0 10716 | 0 10717 | 0 10718 | 0 10719 | 0 10720 | 0 10721 | 0 10722 | 0 10723 | 0 10724 | 0 10725 | 0 10726 | 0 10727 | 0 10728 | 0 10729 | 0 10730 | 0 10731 | 0 10732 | 0 10733 | 0 10734 | 0 10735 | 0 10736 | 0 10737 | 0 10738 | 0 10739 | 0 10740 | 0 10741 | 0 10742 | 0 10743 | 0 10744 | 0 10745 | 0 10746 | 0 10747 | 0 10748 | 0 10749 | 0 10750 | 0 10751 | 0 10752 | 0 10753 | 0 10754 | 0 10755 | 0 10756 | 0 10757 | 0 10758 | 0 10759 | 0 10760 | 0 10761 | 0 10762 | 0 10763 | 1 10764 | 1 10765 | 1 10766 | 1 10767 | 1 10768 | 1 10769 | 1 10770 | 1 10771 | 1 10772 | 1 10773 | 1 10774 | 1 10775 | 1 10776 | 1 10777 | 1 10778 | 1 10779 | 1 10780 | 1 10781 | 1 10782 | 1 10783 | 1 10784 | 1 10785 | 1 10786 | 1 10787 | 1 10788 | 1 10789 | 1 10790 | 1 10791 | 1 10792 | 1 10793 | 1 10794 | 1 10795 | 0 10796 | 0 10797 | 0 10798 | 0 10799 | 0 10800 | 0 10801 | 0 10802 | 0 10803 | 0 10804 | 0 10805 | 0 10806 | 0 10807 | 0 10808 | 0 10809 | 0 10810 | 1 10811 | 1 10812 | 1 10813 | 1 10814 | 1 10815 | 0 10816 | 0 10817 | 0 10818 | 0 10819 | 0 10820 | 0 10821 | 0 10822 | 0 10823 | 0 10824 | 0 10825 | 0 10826 | 0 10827 | 1 10828 | 1 10829 | 1 10830 | 1 10831 | 1 10832 | 1 10833 | 1 10834 | 1 10835 | 1 10836 | 1 10837 | 1 10838 | 1 10839 | 1 10840 | 1 10841 | 0 10842 | 0 10843 | 0 10844 | 0 10845 | 0 10846 | 0 10847 | 0 10848 | 0 10849 | 0 10850 | 0 10851 | 0 10852 | 0 10853 | 0 10854 | 0 10855 | 0 10856 | 0 10857 | 0 10858 | 0 10859 | 0 10860 | 0 10861 | 0 10862 | 0 10863 | 0 10864 | 0 10865 | 0 10866 | 0 10867 | 0 10868 | 0 10869 | 0 10870 | 0 10871 | 0 10872 | 0 10873 | 0 10874 | 0 10875 | 0 10876 | 0 10877 | 0 10878 | 0 10879 | 0 10880 | 0 10881 | 0 10882 | 0 10883 | 0 10884 | 0 10885 | 0 10886 | 0 10887 | 0 10888 | 0 10889 | 0 10890 | 0 10891 | 1 10892 | 1 10893 | 1 10894 | 1 10895 | 1 10896 | 1 10897 | 1 10898 | 1 10899 | 1 10900 | 1 10901 | 1 10902 | 1 10903 | 1 10904 | 1 10905 | 1 10906 | 1 10907 | 1 10908 | 1 10909 | 1 10910 | 1 10911 | 1 10912 | 1 10913 | 1 10914 | 1 10915 | 1 10916 | 1 10917 | 1 10918 | 1 10919 | 1 10920 | 1 10921 | 1 10922 | 1 10923 | 1 10924 | 1 10925 | 0 10926 | 0 10927 | 0 10928 | 0 10929 | 0 10930 | 0 10931 | 0 10932 | 0 10933 | 0 10934 | 0 10935 | 0 10936 | 0 10937 | 0 10938 | 1 10939 | 1 10940 | 1 10941 | 1 10942 | 1 10943 | 1 10944 | 0 10945 | 0 10946 | 0 10947 | 0 10948 | 0 10949 | 0 10950 | 0 10951 | 0 10952 | 0 10953 | 0 10954 | 0 10955 | 0 10956 | 1 10957 | 1 10958 | 1 10959 | 1 10960 | 1 10961 | 1 10962 | 1 10963 | 1 10964 | 1 10965 | 1 10966 | 1 10967 | 1 10968 | 1 10969 | 1 10970 | 0 10971 | 0 10972 | 0 10973 | 0 10974 | 0 10975 | 0 10976 | 0 10977 | 0 10978 | 0 10979 | 0 10980 | 0 10981 | 0 10982 | 0 10983 | 0 10984 | 0 10985 | 0 10986 | 0 10987 | 0 10988 | 0 10989 | 0 10990 | 0 10991 | 0 10992 | 0 10993 | 0 10994 | 0 10995 | 0 10996 | 0 10997 | 0 10998 | 0 10999 | 0 11000 | 0 11001 | 0 11002 | 0 11003 | 0 11004 | 0 11005 | 0 11006 | 0 11007 | 0 11008 | 0 11009 | 0 11010 | 0 11011 | 0 11012 | 0 11013 | 0 11014 | 0 11015 | 0 11016 | 0 11017 | 0 11018 | 0 11019 | 1 11020 | 1 11021 | 1 11022 | 1 11023 | 1 11024 | 1 11025 | 1 11026 | 1 11027 | 1 11028 | 1 11029 | 1 11030 | 1 11031 | 1 11032 | 1 11033 | 1 11034 | 1 11035 | 1 11036 | 1 11037 | 1 11038 | 1 11039 | 1 11040 | 1 11041 | 1 11042 | 0 11043 | 1 11044 | 1 11045 | 1 11046 | 1 11047 | 1 11048 | 1 11049 | 1 11050 | 1 11051 | 1 11052 | 1 11053 | 1 11054 | 1 11055 | 0 11056 | 0 11057 | 0 11058 | 0 11059 | 0 11060 | 0 11061 | 0 11062 | 0 11063 | 0 11064 | 0 11065 | 0 11066 | 1 11067 | 1 11068 | 1 11069 | 1 11070 | 1 11071 | 1 11072 | 0 11073 | 0 11074 | 0 11075 | 0 11076 | 0 11077 | 0 11078 | 0 11079 | 0 11080 | 0 11081 | 0 11082 | 0 11083 | 0 11084 | 1 11085 | 1 11086 | 1 11087 | 1 11088 | 1 11089 | 1 11090 | 1 11091 | 1 11092 | 1 11093 | 1 11094 | 1 11095 | 1 11096 | 1 11097 | 1 11098 | 0 11099 | 0 11100 | 0 11101 | 0 11102 | 0 11103 | 0 11104 | 0 11105 | 0 11106 | 0 11107 | 0 11108 | 0 11109 | 0 11110 | 0 11111 | 0 11112 | 0 11113 | 0 11114 | 0 11115 | 0 11116 | 0 11117 | 0 11118 | 0 11119 | 0 11120 | 0 11121 | 0 11122 | 0 11123 | 0 11124 | 0 11125 | 0 11126 | 0 11127 | 0 11128 | 0 11129 | 0 11130 | 0 11131 | 0 11132 | 0 11133 | 0 11134 | 0 11135 | 0 11136 | 0 11137 | 0 11138 | 0 11139 | 0 11140 | 0 11141 | 0 11142 | 0 11143 | 0 11144 | 0 11145 | 0 11146 | 0 11147 | 1 11148 | 1 11149 | 1 11150 | 1 11151 | 1 11152 | 1 11153 | 1 11154 | 1 11155 | 1 11156 | 1 11157 | 1 11158 | 1 11159 | 1 11160 | 1 11161 | 1 11162 | 1 11163 | 1 11164 | 1 11165 | 1 11166 | 1 11167 | 1 11168 | 1 11169 | 1 11170 | 0 11171 | 0 11172 | 0 11173 | 1 11174 | 1 11175 | 1 11176 | 1 11177 | 1 11178 | 1 11179 | 1 11180 | 1 11181 | 1 11182 | 1 11183 | 1 11184 | 1 11185 | 0 11186 | 0 11187 | 0 11188 | 0 11189 | 0 11190 | 0 11191 | 0 11192 | 0 11193 | 1 11194 | 1 11195 | 1 11196 | 1 11197 | 1 11198 | 1 11199 | 1 11200 | 0 11201 | 0 11202 | 0 11203 | 0 11204 | 0 11205 | 0 11206 | 0 11207 | 0 11208 | 0 11209 | 0 11210 | 0 11211 | 0 11212 | 1 11213 | 1 11214 | 1 11215 | 1 11216 | 1 11217 | 1 11218 | 1 11219 | 1 11220 | 1 11221 | 1 11222 | 1 11223 | 1 11224 | 1 11225 | 1 11226 | 1 11227 | 0 11228 | 0 11229 | 0 11230 | 0 11231 | 0 11232 | 0 11233 | 0 11234 | 0 11235 | 0 11236 | 0 11237 | 0 11238 | 0 11239 | 0 11240 | 0 11241 | 0 11242 | 0 11243 | 0 11244 | 0 11245 | 0 11246 | 0 11247 | 0 11248 | 0 11249 | 0 11250 | 0 11251 | 0 11252 | 0 11253 | 0 11254 | 0 11255 | 0 11256 | 0 11257 | 0 11258 | 0 11259 | 0 11260 | 0 11261 | 0 11262 | 0 11263 | 0 11264 | 0 11265 | 0 11266 | 0 11267 | 0 11268 | 0 11269 | 0 11270 | 0 11271 | 0 11272 | 0 11273 | 0 11274 | 0 11275 | 1 11276 | 1 11277 | 1 11278 | 1 11279 | 1 11280 | 1 11281 | 1 11282 | 1 11283 | 1 11284 | 1 11285 | 1 11286 | 1 11287 | 1 11288 | 1 11289 | 1 11290 | 1 11291 | 1 11292 | 1 11293 | 1 11294 | 1 11295 | 1 11296 | 1 11297 | 1 11298 | 1 11299 | 0 11300 | 0 11301 | 0 11302 | 1 11303 | 1 11304 | 1 11305 | 1 11306 | 1 11307 | 1 11308 | 1 11309 | 1 11310 | 1 11311 | 1 11312 | 1 11313 | 1 11314 | 1 11315 | 1 11316 | 0 11317 | 0 11318 | 0 11319 | 0 11320 | 1 11321 | 1 11322 | 1 11323 | 1 11324 | 1 11325 | 1 11326 | 1 11327 | 1 11328 | 1 11329 | 0 11330 | 0 11331 | 0 11332 | 0 11333 | 0 11334 | 0 11335 | 0 11336 | 0 11337 | 0 11338 | 0 11339 | 1 11340 | 1 11341 | 1 11342 | 1 11343 | 1 11344 | 1 11345 | 1 11346 | 1 11347 | 1 11348 | 1 11349 | 1 11350 | 1 11351 | 1 11352 | 1 11353 | 1 11354 | 1 11355 | 0 11356 | 0 11357 | 0 11358 | 0 11359 | 0 11360 | 0 11361 | 0 11362 | 0 11363 | 0 11364 | 0 11365 | 0 11366 | 0 11367 | 0 11368 | 0 11369 | 0 11370 | 0 11371 | 0 11372 | 0 11373 | 0 11374 | 0 11375 | 0 11376 | 0 11377 | 0 11378 | 0 11379 | 0 11380 | 0 11381 | 0 11382 | 0 11383 | 0 11384 | 0 11385 | 0 11386 | 0 11387 | 0 11388 | 0 11389 | 0 11390 | 0 11391 | 0 11392 | 0 11393 | 0 11394 | 0 11395 | 0 11396 | 0 11397 | 0 11398 | 0 11399 | 0 11400 | 0 11401 | 0 11402 | 0 11403 | 1 11404 | 1 11405 | 1 11406 | 1 11407 | 1 11408 | 1 11409 | 1 11410 | 1 11411 | 1 11412 | 1 11413 | 1 11414 | 1 11415 | 1 11416 | 1 11417 | 1 11418 | 1 11419 | 1 11420 | 1 11421 | 1 11422 | 1 11423 | 1 11424 | 1 11425 | 1 11426 | 1 11427 | 0 11428 | 0 11429 | 0 11430 | 0 11431 | 0 11432 | 1 11433 | 1 11434 | 1 11435 | 1 11436 | 1 11437 | 1 11438 | 1 11439 | 1 11440 | 1 11441 | 1 11442 | 1 11443 | 1 11444 | 1 11445 | 1 11446 | 1 11447 | 1 11448 | 1 11449 | 1 11450 | 1 11451 | 1 11452 | 1 11453 | 1 11454 | 1 11455 | 1 11456 | 1 11457 | 0 11458 | 0 11459 | 0 11460 | 0 11461 | 0 11462 | 0 11463 | 0 11464 | 0 11465 | 0 11466 | 0 11467 | 1 11468 | 1 11469 | 1 11470 | 1 11471 | 1 11472 | 1 11473 | 1 11474 | 1 11475 | 1 11476 | 1 11477 | 1 11478 | 1 11479 | 1 11480 | 1 11481 | 1 11482 | 1 11483 | 0 11484 | 0 11485 | 0 11486 | 0 11487 | 0 11488 | 0 11489 | 0 11490 | 0 11491 | 0 11492 | 0 11493 | 0 11494 | 0 11495 | 0 11496 | 0 11497 | 0 11498 | 0 11499 | 0 11500 | 0 11501 | 0 11502 | 0 11503 | 0 11504 | 1 11505 | 0 11506 | 0 11507 | 0 11508 | 0 11509 | 0 11510 | 0 11511 | 0 11512 | 0 11513 | 0 11514 | 0 11515 | 0 11516 | 0 11517 | 0 11518 | 0 11519 | 0 11520 | 0 11521 | 0 11522 | 0 11523 | 0 11524 | 0 11525 | 0 11526 | 0 11527 | 0 11528 | 0 11529 | 0 11530 | 0 11531 | 1 11532 | 1 11533 | 1 11534 | 1 11535 | 1 11536 | 1 11537 | 1 11538 | 1 11539 | 1 11540 | 1 11541 | 1 11542 | 1 11543 | 1 11544 | 1 11545 | 1 11546 | 1 11547 | 1 11548 | 1 11549 | 1 11550 | 1 11551 | 1 11552 | 1 11553 | 1 11554 | 1 11555 | 0 11556 | 0 11557 | 0 11558 | 0 11559 | 0 11560 | 0 11561 | 0 11562 | 1 11563 | 1 11564 | 1 11565 | 1 11566 | 1 11567 | 1 11568 | 1 11569 | 1 11570 | 1 11571 | 1 11572 | 1 11573 | 1 11574 | 1 11575 | 1 11576 | 1 11577 | 1 11578 | 1 11579 | 1 11580 | 1 11581 | 1 11582 | 1 11583 | 1 11584 | 1 11585 | 0 11586 | 0 11587 | 0 11588 | 0 11589 | 0 11590 | 0 11591 | 0 11592 | 0 11593 | 0 11594 | 0 11595 | 1 11596 | 1 11597 | 1 11598 | 1 11599 | 1 11600 | 1 11601 | 1 11602 | 1 11603 | 1 11604 | 1 11605 | 1 11606 | 1 11607 | 1 11608 | 1 11609 | 1 11610 | 1 11611 | 0 11612 | 0 11613 | 0 11614 | 0 11615 | 0 11616 | 0 11617 | 0 11618 | 0 11619 | 0 11620 | 0 11621 | 0 11622 | 0 11623 | 0 11624 | 0 11625 | 0 11626 | 0 11627 | 0 11628 | 0 11629 | 0 11630 | 0 11631 | 0 11632 | 1 11633 | 1 11634 | 0 11635 | 0 11636 | 0 11637 | 0 11638 | 0 11639 | 0 11640 | 0 11641 | 0 11642 | 0 11643 | 0 11644 | 0 11645 | 0 11646 | 0 11647 | 0 11648 | 0 11649 | 0 11650 | 0 11651 | 0 11652 | 0 11653 | 0 11654 | 0 11655 | 0 11656 | 0 11657 | 0 11658 | 0 11659 | 1 11660 | 1 11661 | 1 11662 | 1 11663 | 1 11664 | 1 11665 | 1 11666 | 1 11667 | 1 11668 | 1 11669 | 1 11670 | 1 11671 | 1 11672 | 1 11673 | 1 11674 | 1 11675 | 1 11676 | 1 11677 | 1 11678 | 1 11679 | 1 11680 | 1 11681 | 1 11682 | 1 11683 | 1 11684 | 0 11685 | 0 11686 | 0 11687 | 0 11688 | 0 11689 | 0 11690 | 0 11691 | 0 11692 | 1 11693 | 1 11694 | 1 11695 | 1 11696 | 1 11697 | 1 11698 | 1 11699 | 1 11700 | 1 11701 | 1 11702 | 1 11703 | 1 11704 | 1 11705 | 1 11706 | 1 11707 | 1 11708 | 1 11709 | 1 11710 | 1 11711 | 1 11712 | 1 11713 | 0 11714 | 0 11715 | 0 11716 | 0 11717 | 0 11718 | 0 11719 | 0 11720 | 0 11721 | 0 11722 | 0 11723 | 1 11724 | 1 11725 | 1 11726 | 1 11727 | 1 11728 | 1 11729 | 1 11730 | 1 11731 | 1 11732 | 1 11733 | 1 11734 | 1 11735 | 1 11736 | 1 11737 | 1 11738 | 0 11739 | 0 11740 | 0 11741 | 0 11742 | 0 11743 | 0 11744 | 0 11745 | 0 11746 | 0 11747 | 0 11748 | 0 11749 | 0 11750 | 0 11751 | 0 11752 | 0 11753 | 0 11754 | 0 11755 | 0 11756 | 0 11757 | 0 11758 | 0 11759 | 1 11760 | 1 11761 | 1 11762 | 0 11763 | 0 11764 | 0 11765 | 0 11766 | 0 11767 | 0 11768 | 0 11769 | 0 11770 | 0 11771 | 0 11772 | 0 11773 | 0 11774 | 0 11775 | 0 11776 | 0 11777 | 0 11778 | 0 11779 | 0 11780 | 0 11781 | 0 11782 | 0 11783 | 0 11784 | 0 11785 | 0 11786 | 0 11787 | 1 11788 | 1 11789 | 1 11790 | 1 11791 | 1 11792 | 1 11793 | 1 11794 | 1 11795 | 1 11796 | 1 11797 | 1 11798 | 1 11799 | 1 11800 | 1 11801 | 1 11802 | 1 11803 | 1 11804 | 1 11805 | 1 11806 | 1 11807 | 1 11808 | 1 11809 | 1 11810 | 1 11811 | 1 11812 | 0 11813 | 0 11814 | 0 11815 | 0 11816 | 0 11817 | 0 11818 | 0 11819 | 0 11820 | 0 11821 | 1 11822 | 1 11823 | 1 11824 | 1 11825 | 1 11826 | 1 11827 | 1 11828 | 1 11829 | 1 11830 | 1 11831 | 1 11832 | 1 11833 | 1 11834 | 1 11835 | 1 11836 | 1 11837 | 1 11838 | 1 11839 | 1 11840 | 1 11841 | 0 11842 | 0 11843 | 0 11844 | 0 11845 | 0 11846 | 0 11847 | 0 11848 | 0 11849 | 0 11850 | 0 11851 | 1 11852 | 1 11853 | 1 11854 | 1 11855 | 1 11856 | 1 11857 | 1 11858 | 1 11859 | 1 11860 | 1 11861 | 1 11862 | 1 11863 | 1 11864 | 1 11865 | 1 11866 | 0 11867 | 0 11868 | 0 11869 | 0 11870 | 0 11871 | 0 11872 | 0 11873 | 0 11874 | 0 11875 | 0 11876 | 0 11877 | 0 11878 | 0 11879 | 0 11880 | 0 11881 | 0 11882 | 0 11883 | 0 11884 | 0 11885 | 1 11886 | 1 11887 | 1 11888 | 1 11889 | 0 11890 | 0 11891 | 0 11892 | 0 11893 | 0 11894 | 0 11895 | 0 11896 | 0 11897 | 0 11898 | 0 11899 | 0 11900 | 0 11901 | 0 11902 | 0 11903 | 0 11904 | 0 11905 | 0 11906 | 0 11907 | 0 11908 | 0 11909 | 0 11910 | 0 11911 | 0 11912 | 0 11913 | 0 11914 | 0 11915 | 1 11916 | 1 11917 | 1 11918 | 1 11919 | 1 11920 | 1 11921 | 1 11922 | 1 11923 | 1 11924 | 1 11925 | 1 11926 | 1 11927 | 1 11928 | 1 11929 | 1 11930 | 1 11931 | 1 11932 | 1 11933 | 1 11934 | 1 11935 | 1 11936 | 1 11937 | 1 11938 | 1 11939 | 1 11940 | 0 11941 | 0 11942 | 0 11943 | 0 11944 | 0 11945 | 0 11946 | 0 11947 | 0 11948 | 0 11949 | 0 11950 | 0 11951 | 1 11952 | 1 11953 | 1 11954 | 1 11955 | 1 11956 | 1 11957 | 1 11958 | 1 11959 | 1 11960 | 1 11961 | 1 11962 | 1 11963 | 1 11964 | 1 11965 | 1 11966 | 1 11967 | 1 11968 | 1 11969 | 0 11970 | 0 11971 | 0 11972 | 0 11973 | 0 11974 | 0 11975 | 0 11976 | 0 11977 | 0 11978 | 0 11979 | 1 11980 | 1 11981 | 1 11982 | 1 11983 | 1 11984 | 1 11985 | 1 11986 | 1 11987 | 1 11988 | 1 11989 | 1 11990 | 1 11991 | 1 11992 | 1 11993 | 1 11994 | 0 11995 | 0 11996 | 0 11997 | 0 11998 | 0 11999 | 0 12000 | 0 12001 | 0 12002 | 0 12003 | 0 12004 | 0 12005 | 0 12006 | 0 12007 | 0 12008 | 0 12009 | 0 12010 | 1 12011 | 1 12012 | 1 12013 | 1 12014 | 1 12015 | 0 12016 | 0 12017 | 1 12018 | 1 12019 | 1 12020 | 0 12021 | 0 12022 | 0 12023 | 0 12024 | 0 12025 | 0 12026 | 0 12027 | 0 12028 | 0 12029 | 0 12030 | 0 12031 | 0 12032 | 0 12033 | 0 12034 | 0 12035 | 0 12036 | 0 12037 | 0 12038 | 0 12039 | 0 12040 | 0 12041 | 0 12042 | 0 12043 | 1 12044 | 1 12045 | 1 12046 | 1 12047 | 1 12048 | 1 12049 | 1 12050 | 1 12051 | 1 12052 | 1 12053 | 1 12054 | 1 12055 | 1 12056 | 1 12057 | 1 12058 | 1 12059 | 1 12060 | 1 12061 | 1 12062 | 1 12063 | 1 12064 | 1 12065 | 1 12066 | 1 12067 | 1 12068 | 0 12069 | 0 12070 | 0 12071 | 0 12072 | 0 12073 | 0 12074 | 0 12075 | 0 12076 | 0 12077 | 0 12078 | 0 12079 | 0 12080 | 0 12081 | 1 12082 | 1 12083 | 1 12084 | 1 12085 | 1 12086 | 1 12087 | 1 12088 | 1 12089 | 1 12090 | 1 12091 | 1 12092 | 1 12093 | 1 12094 | 1 12095 | 1 12096 | 1 12097 | 0 12098 | 0 12099 | 0 12100 | 0 12101 | 0 12102 | 0 12103 | 0 12104 | 0 12105 | 0 12106 | 0 12107 | 1 12108 | 1 12109 | 1 12110 | 1 12111 | 1 12112 | 1 12113 | 1 12114 | 1 12115 | 1 12116 | 1 12117 | 1 12118 | 1 12119 | 1 12120 | 1 12121 | 1 12122 | 0 12123 | 0 12124 | 0 12125 | 0 12126 | 0 12127 | 0 12128 | 0 12129 | 0 12130 | 0 12131 | 0 12132 | 0 12133 | 1 12134 | 1 12135 | 1 12136 | 1 12137 | 1 12138 | 1 12139 | 1 12140 | 1 12141 | 1 12142 | 1 12143 | 1 12144 | 1 12145 | 1 12146 | 1 12147 | 1 12148 | 0 12149 | 0 12150 | 0 12151 | 0 12152 | 0 12153 | 0 12154 | 0 12155 | 0 12156 | 0 12157 | 0 12158 | 0 12159 | 0 12160 | 0 12161 | 0 12162 | 0 12163 | 0 12164 | 0 12165 | 0 12166 | 0 12167 | 0 12168 | 0 12169 | 0 12170 | 0 12171 | 1 12172 | 1 12173 | 1 12174 | 1 12175 | 1 12176 | 1 12177 | 1 12178 | 1 12179 | 1 12180 | 1 12181 | 1 12182 | 1 12183 | 1 12184 | 1 12185 | 1 12186 | 1 12187 | 1 12188 | 1 12189 | 1 12190 | 1 12191 | 1 12192 | 1 12193 | 1 12194 | 1 12195 | 1 12196 | 1 12197 | 0 12198 | 0 12199 | 0 12200 | 0 12201 | 0 12202 | 0 12203 | 0 12204 | 0 12205 | 0 12206 | 0 12207 | 0 12208 | 0 12209 | 0 12210 | 0 12211 | 1 12212 | 1 12213 | 1 12214 | 1 12215 | 1 12216 | 1 12217 | 1 12218 | 1 12219 | 1 12220 | 1 12221 | 1 12222 | 1 12223 | 1 12224 | 0 12225 | 0 12226 | 0 12227 | 0 12228 | 0 12229 | 0 12230 | 0 12231 | 0 12232 | 0 12233 | 0 12234 | 1 12235 | 1 12236 | 1 12237 | 1 12238 | 1 12239 | 1 12240 | 1 12241 | 1 12242 | 1 12243 | 1 12244 | 1 12245 | 1 12246 | 1 12247 | 1 12248 | 1 12249 | 1 12250 | 0 12251 | 0 12252 | 0 12253 | 0 12254 | 0 12255 | 0 12256 | 1 12257 | 1 12258 | 1 12259 | 1 12260 | 1 12261 | 1 12262 | 1 12263 | 1 12264 | 1 12265 | 1 12266 | 1 12267 | 1 12268 | 1 12269 | 1 12270 | 1 12271 | 1 12272 | 1 12273 | 1 12274 | 1 12275 | 1 12276 | 1 12277 | 0 12278 | 0 12279 | 0 12280 | 0 12281 | 0 12282 | 0 12283 | 0 12284 | 0 12285 | 0 12286 | 0 12287 | 0 12288 | 0 12289 | 0 12290 | 0 12291 | 0 12292 | 0 12293 | 0 12294 | 0 12295 | 0 12296 | 0 12297 | 0 12298 | 0 12299 | 1 12300 | 1 12301 | 1 12302 | 1 12303 | 1 12304 | 1 12305 | 1 12306 | 1 12307 | 1 12308 | 1 12309 | 1 12310 | 1 12311 | 1 12312 | 1 12313 | 1 12314 | 1 12315 | 1 12316 | 1 12317 | 1 12318 | 1 12319 | 1 12320 | 1 12321 | 1 12322 | 1 12323 | 1 12324 | 1 12325 | 0 12326 | 0 12327 | 0 12328 | 0 12329 | 0 12330 | 0 12331 | 0 12332 | 0 12333 | 0 12334 | 0 12335 | 0 12336 | 0 12337 | 0 12338 | 0 12339 | 0 12340 | 0 12341 | 1 12342 | 1 12343 | 1 12344 | 1 12345 | 1 12346 | 1 12347 | 1 12348 | 1 12349 | 1 12350 | 1 12351 | 0 12352 | 0 12353 | 0 12354 | 0 12355 | 0 12356 | 0 12357 | 0 12358 | 0 12359 | 0 12360 | 0 12361 | 0 12362 | 1 12363 | 1 12364 | 1 12365 | 1 12366 | 1 12367 | 1 12368 | 1 12369 | 1 12370 | 1 12371 | 1 12372 | 1 12373 | 1 12374 | 1 12375 | 1 12376 | 1 12377 | 1 12378 | 0 12379 | 0 12380 | 0 12381 | 0 12382 | 0 12383 | 1 12384 | 1 12385 | 1 12386 | 1 12387 | 1 12388 | 1 12389 | 1 12390 | 1 12391 | 1 12392 | 1 12393 | 1 12394 | 1 12395 | 1 12396 | 1 12397 | 1 12398 | 1 12399 | 1 12400 | 1 12401 | 1 12402 | 1 12403 | 1 12404 | 1 12405 | 0 12406 | 0 12407 | 0 12408 | 0 12409 | 0 12410 | 0 12411 | 0 12412 | 0 12413 | 0 12414 | 0 12415 | 0 12416 | 0 12417 | 0 12418 | 0 12419 | 0 12420 | 0 12421 | 0 12422 | 0 12423 | 0 12424 | 0 12425 | 0 12426 | 0 12427 | 1 12428 | 1 12429 | 1 12430 | 1 12431 | 1 12432 | 1 12433 | 1 12434 | 1 12435 | 1 12436 | 1 12437 | 1 12438 | 1 12439 | 1 12440 | 1 12441 | 1 12442 | 1 12443 | 1 12444 | 1 12445 | 1 12446 | 1 12447 | 1 12448 | 1 12449 | 1 12450 | 1 12451 | 1 12452 | 1 12453 | 0 12454 | 0 12455 | 0 12456 | 0 12457 | 0 12458 | 0 12459 | 0 12460 | 0 12461 | 0 12462 | 0 12463 | 0 12464 | 0 12465 | 0 12466 | 0 12467 | 0 12468 | 0 12469 | 0 12470 | 0 12471 | 1 12472 | 1 12473 | 1 12474 | 1 12475 | 1 12476 | 1 12477 | 1 12478 | 0 12479 | 0 12480 | 0 12481 | 0 12482 | 0 12483 | 0 12484 | 0 12485 | 0 12486 | 0 12487 | 0 12488 | 0 12489 | 0 12490 | 1 12491 | 1 12492 | 1 12493 | 1 12494 | 1 12495 | 1 12496 | 1 12497 | 1 12498 | 1 12499 | 1 12500 | 1 12501 | 1 12502 | 1 12503 | 1 12504 | 1 12505 | 1 12506 | 0 12507 | 0 12508 | 0 12509 | 0 12510 | 0 12511 | 1 12512 | 1 12513 | 1 12514 | 1 12515 | 1 12516 | 1 12517 | 1 12518 | 1 12519 | 1 12520 | 1 12521 | 1 12522 | 1 12523 | 1 12524 | 1 12525 | 1 12526 | 1 12527 | 1 12528 | 1 12529 | 1 12530 | 1 12531 | 1 12532 | 1 12533 | 0 12534 | 0 12535 | 0 12536 | 0 12537 | 0 12538 | 0 12539 | 0 12540 | 0 12541 | 0 12542 | 0 12543 | 0 12544 | 0 12545 | 0 12546 | 0 12547 | 0 12548 | 0 12549 | 0 12550 | 0 12551 | 0 12552 | 0 12553 | 0 12554 | 0 12555 | 1 12556 | 1 12557 | 1 12558 | 1 12559 | 1 12560 | 1 12561 | 1 12562 | 1 12563 | 1 12564 | 1 12565 | 1 12566 | 1 12567 | 1 12568 | 1 12569 | 1 12570 | 1 12571 | 1 12572 | 1 12573 | 1 12574 | 1 12575 | 1 12576 | 1 12577 | 1 12578 | 1 12579 | 1 12580 | 1 12581 | 0 12582 | 0 12583 | 0 12584 | 0 12585 | 0 12586 | 0 12587 | 0 12588 | 0 12589 | 0 12590 | 0 12591 | 0 12592 | 0 12593 | 0 12594 | 0 12595 | 0 12596 | 0 12597 | 0 12598 | 0 12599 | 0 12600 | 0 12601 | 0 12602 | 0 12603 | 0 12604 | 0 12605 | 0 12606 | 0 12607 | 0 12608 | 0 12609 | 0 12610 | 0 12611 | 0 12612 | 0 12613 | 0 12614 | 0 12615 | 0 12616 | 0 12617 | 1 12618 | 1 12619 | 1 12620 | 1 12621 | 1 12622 | 1 12623 | 1 12624 | 1 12625 | 1 12626 | 1 12627 | 1 12628 | 1 12629 | 1 12630 | 1 12631 | 1 12632 | 1 12633 | 1 12634 | 0 12635 | 0 12636 | 0 12637 | 0 12638 | 1 12639 | 1 12640 | 1 12641 | 1 12642 | 1 12643 | 1 12644 | 1 12645 | 1 12646 | 1 12647 | 1 12648 | 1 12649 | 1 12650 | 1 12651 | 1 12652 | 1 12653 | 1 12654 | 1 12655 | 1 12656 | 1 12657 | 1 12658 | 1 12659 | 1 12660 | 1 12661 | 0 12662 | 0 12663 | 0 12664 | 0 12665 | 0 12666 | 0 12667 | 0 12668 | 0 12669 | 0 12670 | 0 12671 | 0 12672 | 0 12673 | 0 12674 | 0 12675 | 0 12676 | 0 12677 | 0 12678 | 0 12679 | 0 12680 | 0 12681 | 0 12682 | 0 12683 | 1 12684 | 1 12685 | 1 12686 | 1 12687 | 1 12688 | 1 12689 | 1 12690 | 1 12691 | 1 12692 | 1 12693 | 1 12694 | 1 12695 | 1 12696 | 1 12697 | 1 12698 | 1 12699 | 1 12700 | 1 12701 | 1 12702 | 1 12703 | 1 12704 | 1 12705 | 1 12706 | 1 12707 | 1 12708 | 1 12709 | 1 12710 | 0 12711 | 0 12712 | 0 12713 | 0 12714 | 0 12715 | 0 12716 | 0 12717 | 0 12718 | 0 12719 | 0 12720 | 0 12721 | 0 12722 | 0 12723 | 0 12724 | 0 12725 | 0 12726 | 0 12727 | 0 12728 | 0 12729 | 0 12730 | 0 12731 | 0 12732 | 0 12733 | 0 12734 | 0 12735 | 0 12736 | 0 12737 | 0 12738 | 0 12739 | 0 12740 | 0 12741 | 0 12742 | 0 12743 | 0 12744 | 0 12745 | 1 12746 | 1 12747 | 1 12748 | 1 12749 | 1 12750 | 1 12751 | 1 12752 | 1 12753 | 1 12754 | 1 12755 | 1 12756 | 1 12757 | 1 12758 | 1 12759 | 0 12760 | 1 12761 | 1 12762 | 1 12763 | 0 12764 | 0 12765 | 1 12766 | 1 12767 | 1 12768 | 1 12769 | 1 12770 | 1 12771 | 1 12772 | 1 12773 | 1 12774 | 1 12775 | 1 12776 | 1 12777 | 1 12778 | 1 12779 | 1 12780 | 1 12781 | 1 12782 | 1 12783 | 1 12784 | 1 12785 | 1 12786 | 1 12787 | 1 12788 | 1 12789 | 0 12790 | 0 12791 | 0 12792 | 0 12793 | 0 12794 | 0 12795 | 0 12796 | 0 12797 | 0 12798 | 0 12799 | 0 12800 | 0 12801 | 0 12802 | 0 12803 | 0 12804 | 0 12805 | 0 12806 | 0 12807 | 0 12808 | 0 12809 | 0 12810 | 0 12811 | 1 12812 | 1 12813 | 1 12814 | 1 12815 | 1 12816 | 1 12817 | 1 12818 | 1 12819 | 1 12820 | 1 12821 | 1 12822 | 1 12823 | 1 12824 | 1 12825 | 1 12826 | 1 12827 | 1 12828 | 1 12829 | 1 12830 | 1 12831 | 1 12832 | 1 12833 | 1 12834 | 1 12835 | 1 12836 | 1 12837 | 1 12838 | 0 12839 | 0 12840 | 0 12841 | 0 12842 | 0 12843 | 0 12844 | 0 12845 | 0 12846 | 0 12847 | 0 12848 | 0 12849 | 0 12850 | 0 12851 | 0 12852 | 0 12853 | 0 12854 | 0 12855 | 0 12856 | 0 12857 | 0 12858 | 0 12859 | 0 12860 | 0 12861 | 0 12862 | 0 12863 | 0 12864 | 0 12865 | 0 12866 | 0 12867 | 0 12868 | 0 12869 | 0 12870 | 0 12871 | 0 12872 | 0 12873 | 1 12874 | 1 12875 | 1 12876 | 1 12877 | 1 12878 | 1 12879 | 1 12880 | 1 12881 | 1 12882 | 1 12883 | 1 12884 | 0 12885 | 1 12886 | 0 12887 | 1 12888 | 1 12889 | 1 12890 | 1 12891 | 1 12892 | 1 12893 | 1 12894 | 1 12895 | 1 12896 | 1 12897 | 1 12898 | 1 12899 | 1 12900 | 1 12901 | 1 12902 | 1 12903 | 1 12904 | 1 12905 | 1 12906 | 1 12907 | 1 12908 | 1 12909 | 1 12910 | 1 12911 | 1 12912 | 1 12913 | 1 12914 | 1 12915 | 1 12916 | 0 12917 | 0 12918 | 0 12919 | 0 12920 | 0 12921 | 0 12922 | 0 12923 | 0 12924 | 0 12925 | 0 12926 | 0 12927 | 0 12928 | 0 12929 | 0 12930 | 0 12931 | 0 12932 | 0 12933 | 0 12934 | 0 12935 | 0 12936 | 0 12937 | 0 12938 | 0 12939 | 1 12940 | 1 12941 | 1 12942 | 1 12943 | 1 12944 | 1 12945 | 1 12946 | 1 12947 | 1 12948 | 1 12949 | 1 12950 | 1 12951 | 1 12952 | 1 12953 | 1 12954 | 1 12955 | 1 12956 | 1 12957 | 1 12958 | 1 12959 | 1 12960 | 1 12961 | 1 12962 | 1 12963 | 1 12964 | 1 12965 | 1 12966 | 0 12967 | 0 12968 | 0 12969 | 0 12970 | 0 12971 | 0 12972 | 0 12973 | 0 12974 | 0 12975 | 0 12976 | 0 12977 | 0 12978 | 0 12979 | 0 12980 | 0 12981 | 0 12982 | 0 12983 | 0 12984 | 0 12985 | 0 12986 | 0 12987 | 0 12988 | 0 12989 | 0 12990 | 0 12991 | 0 12992 | 0 12993 | 0 12994 | 0 12995 | 0 12996 | 0 12997 | 0 12998 | 0 12999 | 0 13000 | 1 13001 | 1 13002 | 1 13003 | 1 13004 | 1 13005 | 1 13006 | 1 13007 | 1 13008 | 1 13009 | 1 13010 | 1 13011 | 1 13012 | 1 13013 | 1 13014 | 1 13015 | 1 13016 | 1 13017 | 1 13018 | 1 13019 | 1 13020 | 1 13021 | 1 13022 | 1 13023 | 1 13024 | 1 13025 | 1 13026 | 1 13027 | 1 13028 | 1 13029 | 1 13030 | 1 13031 | 1 13032 | 1 13033 | 1 13034 | 1 13035 | 1 13036 | 1 13037 | 1 13038 | 1 13039 | 1 13040 | 1 13041 | 1 13042 | 1 13043 | 0 13044 | 0 13045 | 0 13046 | 0 13047 | 0 13048 | 0 13049 | 0 13050 | 0 13051 | 0 13052 | 0 13053 | 0 13054 | 0 13055 | 0 13056 | 0 13057 | 0 13058 | 0 13059 | 0 13060 | 0 13061 | 0 13062 | 0 13063 | 0 13064 | 0 13065 | 0 13066 | 0 13067 | 1 13068 | 1 13069 | 1 13070 | 1 13071 | 1 13072 | 1 13073 | 1 13074 | 1 13075 | 1 13076 | 1 13077 | 1 13078 | 1 13079 | 1 13080 | 1 13081 | 1 13082 | 1 13083 | 1 13084 | 1 13085 | 1 13086 | 1 13087 | 1 13088 | 1 13089 | 1 13090 | 1 13091 | 1 13092 | 1 13093 | 1 13094 | 1 13095 | 0 13096 | 0 13097 | 0 13098 | 0 13099 | 0 13100 | 0 13101 | 0 13102 | 0 13103 | 0 13104 | 0 13105 | 0 13106 | 0 13107 | 0 13108 | 0 13109 | 0 13110 | 0 13111 | 0 13112 | 0 13113 | 0 13114 | 0 13115 | 0 13116 | 0 13117 | 0 13118 | 0 13119 | 0 13120 | 0 13121 | 0 13122 | 0 13123 | 0 13124 | 0 13125 | 0 13126 | 0 13127 | 0 13128 | 1 13129 | 1 13130 | 1 13131 | 1 13132 | 1 13133 | 1 13134 | 1 13135 | 1 13136 | 1 13137 | 1 13138 | 1 13139 | 0 13140 | 1 13141 | 1 13142 | 1 13143 | 1 13144 | 1 13145 | 1 13146 | 1 13147 | 1 13148 | 1 13149 | 1 13150 | 1 13151 | 1 13152 | 1 13153 | 1 13154 | 1 13155 | 1 13156 | 1 13157 | 1 13158 | 1 13159 | 1 13160 | 1 13161 | 1 13162 | 1 13163 | 1 13164 | 1 13165 | 1 13166 | 1 13167 | 1 13168 | 1 13169 | 1 13170 | 0 13171 | 0 13172 | 0 13173 | 0 13174 | 0 13175 | 0 13176 | 0 13177 | 0 13178 | 0 13179 | 0 13180 | 0 13181 | 0 13182 | 0 13183 | 0 13184 | 0 13185 | 0 13186 | 0 13187 | 0 13188 | 0 13189 | 0 13190 | 0 13191 | 0 13192 | 0 13193 | 0 13194 | 0 13195 | 1 13196 | 1 13197 | 1 13198 | 1 13199 | 1 13200 | 1 13201 | 1 13202 | 1 13203 | 1 13204 | 1 13205 | 1 13206 | 1 13207 | 1 13208 | 1 13209 | 1 13210 | 1 13211 | 1 13212 | 1 13213 | 1 13214 | 1 13215 | 1 13216 | 1 13217 | 1 13218 | 1 13219 | 1 13220 | 1 13221 | 1 13222 | 1 13223 | 0 13224 | 0 13225 | 0 13226 | 0 13227 | 0 13228 | 0 13229 | 0 13230 | 0 13231 | 0 13232 | 0 13233 | 0 13234 | 0 13235 | 0 13236 | 0 13237 | 0 13238 | 0 13239 | 0 13240 | 0 13241 | 0 13242 | 0 13243 | 0 13244 | 0 13245 | 0 13246 | 0 13247 | 0 13248 | 0 13249 | 0 13250 | 0 13251 | 0 13252 | 0 13253 | 0 13254 | 0 13255 | 0 13256 | 1 13257 | 1 13258 | 1 13259 | 1 13260 | 1 13261 | 1 13262 | 1 13263 | 1 13264 | 1 13265 | 1 13266 | 1 13267 | 1 13268 | 1 13269 | 1 13270 | 1 13271 | 1 13272 | 1 13273 | 1 13274 | 1 13275 | 1 13276 | 1 13277 | 1 13278 | 1 13279 | 1 13280 | 1 13281 | 1 13282 | 1 13283 | 1 13284 | 1 13285 | 1 13286 | 1 13287 | 1 13288 | 1 13289 | 1 13290 | 1 13291 | 1 13292 | 1 13293 | 1 13294 | 1 13295 | 1 13296 | 0 13297 | 0 13298 | 0 13299 | 0 13300 | 0 13301 | 0 13302 | 0 13303 | 0 13304 | 0 13305 | 0 13306 | 0 13307 | 0 13308 | 0 13309 | 0 13310 | 0 13311 | 0 13312 | 0 13313 | 0 13314 | 0 13315 | 0 13316 | 0 13317 | 0 13318 | 0 13319 | 0 13320 | 0 13321 | 0 13322 | 0 13323 | 0 13324 | 0 13325 | 0 13326 | 0 13327 | 0 13328 | 0 13329 | 0 13330 | 0 13331 | 0 13332 | 1 13333 | 1 13334 | 1 13335 | 1 13336 | 1 13337 | 1 13338 | 1 13339 | 1 13340 | 1 13341 | 1 13342 | 1 13343 | 1 13344 | 1 13345 | 1 13346 | 1 13347 | 1 13348 | 1 13349 | 1 13350 | 1 13351 | 0 13352 | 0 13353 | 0 13354 | 0 13355 | 0 13356 | 0 13357 | 0 13358 | 0 13359 | 0 13360 | 0 13361 | 0 13362 | 0 13363 | 0 13364 | 0 13365 | 0 13366 | 0 13367 | 0 13368 | 0 13369 | 0 13370 | 0 13371 | 0 13372 | 0 13373 | 0 13374 | 0 13375 | 0 13376 | 0 13377 | 0 13378 | 0 13379 | 0 13380 | 0 13381 | 0 13382 | 0 13383 | 1 13384 | 1 13385 | 1 13386 | 1 13387 | 1 13388 | 1 13389 | 1 13390 | 1 13391 | 1 13392 | 1 13393 | 1 13394 | 1 13395 | 1 13396 | 1 13397 | 1 13398 | 1 13399 | 1 13400 | 1 13401 | 1 13402 | 1 13403 | 1 13404 | 1 13405 | 1 13406 | 1 13407 | 1 13408 | 1 13409 | 1 13410 | 1 13411 | 1 13412 | 1 13413 | 1 13414 | 1 13415 | 1 13416 | 1 13417 | 1 13418 | 1 13419 | 1 13420 | 1 13421 | 1 13422 | 0 13423 | 0 13424 | 0 13425 | 0 13426 | 0 13427 | 0 13428 | 0 13429 | 0 13430 | 0 13431 | 1 13432 | 0 13433 | 0 13434 | 0 13435 | 0 13436 | 0 13437 | 0 13438 | 0 13439 | 0 13440 | 0 13441 | 0 13442 | 0 13443 | 0 13444 | 0 13445 | 0 13446 | 0 13447 | 0 13448 | 0 13449 | 0 13450 | 0 13451 | 0 13452 | 0 13453 | 0 13454 | 0 13455 | 0 13456 | 0 13457 | 0 13458 | 0 13459 | 0 13460 | 0 13461 | 0 13462 | 0 13463 | 0 13464 | 0 13465 | 0 13466 | 0 13467 | 0 13468 | 0 13469 | 0 13470 | 1 13471 | 1 13472 | 1 13473 | 1 13474 | 1 13475 | 1 13476 | 1 13477 | 1 13478 | 1 13479 | 0 13480 | 0 13481 | 0 13482 | 0 13483 | 0 13484 | 0 13485 | 0 13486 | 0 13487 | 0 13488 | 0 13489 | 0 13490 | 0 13491 | 0 13492 | 0 13493 | 0 13494 | 0 13495 | 0 13496 | 0 13497 | 0 13498 | 0 13499 | 0 13500 | 0 13501 | 0 13502 | 0 13503 | 0 13504 | 0 13505 | 0 13506 | 0 13507 | 0 13508 | 0 13509 | 0 13510 | 0 13511 | 1 13512 | 1 13513 | 1 13514 | 1 13515 | 1 13516 | 1 13517 | 1 13518 | 1 13519 | 1 13520 | 1 13521 | 1 13522 | 1 13523 | 1 13524 | 1 13525 | 1 13526 | 1 13527 | 1 13528 | 1 13529 | 1 13530 | 1 13531 | 1 13532 | 1 13533 | 1 13534 | 1 13535 | 1 13536 | 1 13537 | 1 13538 | 1 13539 | 1 13540 | 1 13541 | 1 13542 | 1 13543 | 1 13544 | 1 13545 | 1 13546 | 1 13547 | 1 13548 | 0 13549 | 0 13550 | 0 13551 | 0 13552 | 0 13553 | 0 13554 | 0 13555 | 0 13556 | 0 13557 | 0 13558 | 0 13559 | 1 13560 | 0 13561 | 0 13562 | 0 13563 | 0 13564 | 0 13565 | 0 13566 | 0 13567 | 0 13568 | 0 13569 | 0 13570 | 0 13571 | 0 13572 | 0 13573 | 0 13574 | 0 13575 | 0 13576 | 0 13577 | 0 13578 | 0 13579 | 0 13580 | 0 13581 | 0 13582 | 0 13583 | 0 13584 | 0 13585 | 0 13586 | 0 13587 | 0 13588 | 0 13589 | 0 13590 | 0 13591 | 0 13592 | 0 13593 | 0 13594 | 0 13595 | 0 13596 | 0 13597 | 0 13598 | 0 13599 | 0 13600 | 0 13601 | 0 13602 | 0 13603 | 0 13604 | 0 13605 | 1 13606 | 1 13607 | 1 13608 | 0 13609 | 0 13610 | 0 13611 | 0 13612 | 0 13613 | 0 13614 | 0 13615 | 0 13616 | 0 13617 | 0 13618 | 0 13619 | 0 13620 | 0 13621 | 0 13622 | 0 13623 | 0 13624 | 0 13625 | 0 13626 | 0 13627 | 0 13628 | 0 13629 | 0 13630 | 0 13631 | 0 13632 | 0 13633 | 0 13634 | 0 13635 | 0 13636 | 0 13637 | 0 13638 | 1 13639 | 1 13640 | 1 13641 | 1 13642 | 1 13643 | 1 13644 | 1 13645 | 1 13646 | 1 13647 | 1 13648 | 1 13649 | 1 13650 | 1 13651 | 1 13652 | 1 13653 | 1 13654 | 1 13655 | 1 13656 | 1 13657 | 1 13658 | 1 13659 | 1 13660 | 1 13661 | 1 13662 | 1 13663 | 1 13664 | 1 13665 | 1 13666 | 1 13667 | 1 13668 | 1 13669 | 1 13670 | 1 13671 | 1 13672 | 1 13673 | 0 13674 | 0 13675 | 0 13676 | 0 13677 | 0 13678 | 0 13679 | 0 13680 | 0 13681 | 0 13682 | 0 13683 | 0 13684 | 0 13685 | 0 13686 | 1 13687 | 1 13688 | 0 13689 | 0 13690 | 0 13691 | 0 13692 | 0 13693 | 0 13694 | 0 13695 | 0 13696 | 0 13697 | 0 13698 | 0 13699 | 0 13700 | 0 13701 | 0 13702 | 0 13703 | 0 13704 | 0 13705 | 0 13706 | 0 13707 | 0 13708 | 0 13709 | 0 13710 | 0 13711 | 0 13712 | 0 13713 | 0 13714 | 0 13715 | 0 13716 | 0 13717 | 0 13718 | 0 13719 | 0 13720 | 0 13721 | 0 13722 | 0 13723 | 0 13724 | 0 13725 | 0 13726 | 0 13727 | 0 13728 | 0 13729 | 0 13730 | 0 13731 | 0 13732 | 0 13733 | 0 13734 | 0 13735 | 0 13736 | 0 13737 | 0 13738 | 0 13739 | 0 13740 | 0 13741 | 0 13742 | 0 13743 | 0 13744 | 0 13745 | 0 13746 | 0 13747 | 0 13748 | 0 13749 | 0 13750 | 0 13751 | 0 13752 | 0 13753 | 0 13754 | 0 13755 | 0 13756 | 0 13757 | 0 13758 | 0 13759 | 0 13760 | 0 13761 | 0 13762 | 0 13763 | 0 13764 | 0 13765 | 0 13766 | 1 13767 | 1 13768 | 1 13769 | 1 13770 | 1 13771 | 1 13772 | 1 13773 | 1 13774 | 1 13775 | 1 13776 | 1 13777 | 1 13778 | 1 13779 | 1 13780 | 1 13781 | 1 13782 | 1 13783 | 1 13784 | 1 13785 | 1 13786 | 1 13787 | 1 13788 | 1 13789 | 1 13790 | 1 13791 | 1 13792 | 1 13793 | 1 13794 | 1 13795 | 1 13796 | 1 13797 | 1 13798 | 0 13799 | 0 13800 | 0 13801 | 0 13802 | 0 13803 | 0 13804 | 0 13805 | 0 13806 | 0 13807 | 0 13808 | 0 13809 | 0 13810 | 0 13811 | 0 13812 | 0 13813 | 1 13814 | 1 13815 | 0 13816 | 0 13817 | 0 13818 | 0 13819 | 0 13820 | 0 13821 | 0 13822 | 0 13823 | 0 13824 | 0 13825 | 0 13826 | 0 13827 | 0 13828 | 0 13829 | 0 13830 | 0 13831 | 0 13832 | 0 13833 | 0 13834 | 0 13835 | 0 13836 | 0 13837 | 0 13838 | 0 13839 | 0 13840 | 0 13841 | 0 13842 | 0 13843 | 0 13844 | 0 13845 | 0 13846 | 0 13847 | 0 13848 | 0 13849 | 0 13850 | 0 13851 | 0 13852 | 0 13853 | 0 13854 | 0 13855 | 0 13856 | 0 13857 | 0 13858 | 0 13859 | 0 13860 | 0 13861 | 0 13862 | 0 13863 | 0 13864 | 0 13865 | 0 13866 | 0 13867 | 0 13868 | 0 13869 | 0 13870 | 0 13871 | 0 13872 | 0 13873 | 0 13874 | 0 13875 | 0 13876 | 0 13877 | 0 13878 | 0 13879 | 0 13880 | 0 13881 | 0 13882 | 0 13883 | 0 13884 | 0 13885 | 0 13886 | 0 13887 | 0 13888 | 0 13889 | 0 13890 | 0 13891 | 0 13892 | 0 13893 | 0 13894 | 1 13895 | 1 13896 | 1 13897 | 1 13898 | 1 13899 | 1 13900 | 1 13901 | 1 13902 | 1 13903 | 1 13904 | 1 13905 | 1 13906 | 1 13907 | 1 13908 | 1 13909 | 1 13910 | 1 13911 | 1 13912 | 1 13913 | 1 13914 | 1 13915 | 1 13916 | 1 13917 | 1 13918 | 1 13919 | 1 13920 | 1 13921 | 0 13922 | 0 13923 | 0 13924 | 0 13925 | 0 13926 | 0 13927 | 0 13928 | 0 13929 | 0 13930 | 0 13931 | 0 13932 | 0 13933 | 0 13934 | 0 13935 | 0 13936 | 0 13937 | 0 13938 | 0 13939 | 1 13940 | 1 13941 | 1 13942 | 1 13943 | 0 13944 | 0 13945 | 0 13946 | 0 13947 | 0 13948 | 0 13949 | 0 13950 | 0 13951 | 0 13952 | 0 13953 | 0 13954 | 0 13955 | 0 13956 | 0 13957 | 0 13958 | 0 13959 | 0 13960 | 0 13961 | 0 13962 | 0 13963 | 0 13964 | 0 13965 | 0 13966 | 0 13967 | 0 13968 | 0 13969 | 0 13970 | 0 13971 | 0 13972 | 0 13973 | 0 13974 | 0 13975 | 0 13976 | 0 13977 | 0 13978 | 0 13979 | 0 13980 | 0 13981 | 0 13982 | 0 13983 | 0 13984 | 0 13985 | 0 13986 | 0 13987 | 0 13988 | 0 13989 | 0 13990 | 0 13991 | 0 13992 | 0 13993 | 0 13994 | 0 13995 | 0 13996 | 0 13997 | 0 13998 | 0 13999 | 0 14000 | 0 14001 | 0 14002 | 0 14003 | 0 14004 | 0 14005 | 0 14006 | 0 14007 | 0 14008 | 0 14009 | 0 14010 | 0 14011 | 0 14012 | 0 14013 | 0 14014 | 0 14015 | 0 14016 | 0 14017 | 0 14018 | 0 14019 | 0 14020 | 0 14021 | 0 14022 | 0 14023 | 0 14024 | 0 14025 | 1 14026 | 1 14027 | 1 14028 | 1 14029 | 1 14030 | 1 14031 | 1 14032 | 1 14033 | 1 14034 | 1 14035 | 1 14036 | 1 14037 | 0 14038 | 1 14039 | 0 14040 | 0 14041 | 0 14042 | 0 14043 | 0 14044 | 0 14045 | 0 14046 | 0 14047 | 0 14048 | 0 14049 | 0 14050 | 0 14051 | 0 14052 | 0 14053 | 0 14054 | 0 14055 | 0 14056 | 0 14057 | 0 14058 | 0 14059 | 0 14060 | 0 14061 | 0 14062 | 0 14063 | 0 14064 | 0 14065 | 0 14066 | 1 14067 | 1 14068 | 1 14069 | 1 14070 | 0 14071 | 0 14072 | 0 14073 | 0 14074 | 0 14075 | 0 14076 | 0 14077 | 0 14078 | 0 14079 | 0 14080 | 0 14081 | 0 14082 | 0 14083 | 0 14084 | 0 14085 | 0 14086 | 0 14087 | 0 14088 | 0 14089 | 0 14090 | 0 14091 | 0 14092 | 0 14093 | 0 14094 | 0 14095 | 0 14096 | 0 14097 | 0 14098 | 0 14099 | 0 14100 | 0 14101 | 0 14102 | 0 14103 | 0 14104 | 0 14105 | 0 14106 | 0 14107 | 0 14108 | 0 14109 | 0 14110 | 0 14111 | 0 14112 | 0 14113 | 0 14114 | 0 14115 | 0 14116 | 0 14117 | 0 14118 | 0 14119 | 0 14120 | 0 14121 | 0 14122 | 0 14123 | 0 14124 | 0 14125 | 0 14126 | 0 14127 | 0 14128 | 0 14129 | 0 14130 | 0 14131 | 0 14132 | 0 14133 | 0 14134 | 0 14135 | 0 14136 | 0 14137 | 0 14138 | 0 14139 | 0 14140 | 0 14141 | 0 14142 | 0 14143 | 0 14144 | 0 14145 | 0 14146 | 0 14147 | 0 14148 | 0 14149 | 0 14150 | 0 14151 | 0 14152 | 0 14153 | 0 14154 | 0 14155 | 0 14156 | 0 14157 | 0 14158 | 0 14159 | 0 14160 | 0 14161 | 0 14162 | 0 14163 | 0 14164 | 0 14165 | 0 14166 | 0 14167 | 0 14168 | 0 14169 | 0 14170 | 0 14171 | 0 14172 | 0 14173 | 0 14174 | 0 14175 | 0 14176 | 0 14177 | 0 14178 | 0 14179 | 0 14180 | 0 14181 | 0 14182 | 0 14183 | 0 14184 | 0 14185 | 0 14186 | 0 14187 | 0 14188 | 0 14189 | 0 14190 | 0 14191 | 0 14192 | 0 14193 | 1 14194 | 1 14195 | 1 14196 | 1 14197 | 1 14198 | 0 14199 | 0 14200 | 0 14201 | 0 14202 | 0 14203 | 0 14204 | 0 14205 | 0 14206 | 0 14207 | 0 14208 | 0 14209 | 0 14210 | 0 14211 | 0 14212 | 0 14213 | 0 14214 | 0 14215 | 0 14216 | 0 14217 | 0 14218 | 0 14219 | 0 14220 | 0 14221 | 0 14222 | 0 14223 | 0 14224 | 0 14225 | 0 14226 | 0 14227 | 0 14228 | 0 14229 | 0 14230 | 0 14231 | 0 14232 | 0 14233 | 0 14234 | 0 14235 | 0 14236 | 0 14237 | 0 14238 | 0 14239 | 0 14240 | 0 14241 | 0 14242 | 0 14243 | 0 14244 | 0 14245 | 0 14246 | 0 14247 | 0 14248 | 0 14249 | 0 14250 | 0 14251 | 0 14252 | 0 14253 | 0 14254 | 0 14255 | 0 14256 | 0 14257 | 0 14258 | 0 14259 | 0 14260 | 0 14261 | 0 14262 | 0 14263 | 0 14264 | 0 14265 | 0 14266 | 0 14267 | 0 14268 | 0 14269 | 0 14270 | 0 14271 | 0 14272 | 0 14273 | 0 14274 | 0 14275 | 0 14276 | 0 14277 | 0 14278 | 0 14279 | 0 14280 | 0 14281 | 0 14282 | 0 14283 | 0 14284 | 0 14285 | 0 14286 | 0 14287 | 0 14288 | 0 14289 | 0 14290 | 0 14291 | 0 14292 | 0 14293 | 0 14294 | 0 14295 | 0 14296 | 0 14297 | 0 14298 | 0 14299 | 0 14300 | 0 14301 | 0 14302 | 0 14303 | 0 14304 | 0 14305 | 0 14306 | 0 14307 | 0 14308 | 0 14309 | 0 14310 | 0 14311 | 0 14312 | 0 14313 | 0 14314 | 0 14315 | 0 14316 | 0 14317 | 0 14318 | 0 14319 | 1 14320 | 1 14321 | 1 14322 | 1 14323 | 1 14324 | 1 14325 | 0 14326 | 0 14327 | 0 14328 | 0 14329 | 0 14330 | 0 14331 | 0 14332 | 0 14333 | 0 14334 | 0 14335 | 0 14336 | 0 14337 | 0 14338 | 0 14339 | 0 14340 | 0 14341 | 0 14342 | 0 14343 | 0 14344 | 0 14345 | 0 14346 | 0 14347 | 0 14348 | 0 14349 | 0 14350 | 0 14351 | 0 14352 | 0 14353 | 0 14354 | 0 14355 | 0 14356 | 0 14357 | 0 14358 | 0 14359 | 0 14360 | 0 14361 | 0 14362 | 0 14363 | 0 14364 | 0 14365 | 0 14366 | 0 14367 | 0 14368 | 0 14369 | 0 14370 | 0 14371 | 0 14372 | 0 14373 | 0 14374 | 0 14375 | 0 14376 | 0 14377 | 0 14378 | 0 14379 | 0 14380 | 0 14381 | 0 14382 | 0 14383 | 0 14384 | 0 14385 | 0 14386 | 0 14387 | 0 14388 | 0 14389 | 0 14390 | 0 14391 | 0 14392 | 0 14393 | 0 14394 | 0 14395 | 0 14396 | 0 14397 | 0 14398 | 0 14399 | 0 14400 | 0 14401 | 0 14402 | 0 14403 | 0 14404 | 0 14405 | 0 14406 | 0 14407 | 0 14408 | 0 14409 | 0 14410 | 0 14411 | 0 14412 | 0 14413 | 0 14414 | 0 14415 | 0 14416 | 0 14417 | 0 14418 | 0 14419 | 0 14420 | 0 14421 | 0 14422 | 0 14423 | 0 14424 | 0 14425 | 0 14426 | 0 14427 | 0 14428 | 0 14429 | 0 14430 | 0 14431 | 0 14432 | 0 14433 | 0 14434 | 0 14435 | 0 14436 | 0 14437 | 0 14438 | 0 14439 | 0 14440 | 0 14441 | 0 14442 | 0 14443 | 0 14444 | 0 14445 | 0 14446 | 1 14447 | 1 14448 | 1 14449 | 1 14450 | 1 14451 | 1 14452 | 0 14453 | 0 14454 | 0 14455 | 0 14456 | 0 14457 | 0 14458 | 0 14459 | 0 14460 | 0 14461 | 0 14462 | 0 14463 | 0 14464 | 0 14465 | 0 14466 | 0 14467 | 0 14468 | 0 14469 | 0 14470 | 0 14471 | 0 14472 | 0 14473 | 0 14474 | 0 14475 | 0 14476 | 0 14477 | 0 14478 | 0 14479 | 0 14480 | 0 14481 | 0 14482 | 0 14483 | 0 14484 | 0 14485 | 0 14486 | 0 14487 | 0 14488 | 0 14489 | 0 14490 | 0 14491 | 0 14492 | 0 14493 | 0 14494 | 0 14495 | 0 14496 | 0 14497 | 0 14498 | 0 14499 | 0 14500 | 0 14501 | 0 14502 | 0 14503 | 0 14504 | 0 14505 | 0 14506 | 0 14507 | 0 14508 | 0 14509 | 0 14510 | 0 14511 | 0 14512 | 0 14513 | 0 14514 | 0 14515 | 0 14516 | 0 14517 | 0 14518 | 0 14519 | 0 14520 | 0 14521 | 0 14522 | 0 14523 | 0 14524 | 0 14525 | 0 14526 | 0 14527 | 0 14528 | 0 14529 | 0 14530 | 0 14531 | 0 14532 | 0 14533 | 0 14534 | 0 14535 | 0 14536 | 0 14537 | 0 14538 | 0 14539 | 0 14540 | 0 14541 | 0 14542 | 0 14543 | 0 14544 | 0 14545 | 0 14546 | 0 14547 | 0 14548 | 0 14549 | 0 14550 | 0 14551 | 0 14552 | 0 14553 | 0 14554 | 0 14555 | 0 14556 | 0 14557 | 0 14558 | 0 14559 | 0 14560 | 0 14561 | 0 14562 | 0 14563 | 0 14564 | 0 14565 | 0 14566 | 0 14567 | 0 14568 | 0 14569 | 0 14570 | 0 14571 | 0 14572 | 1 14573 | 1 14574 | 1 14575 | 1 14576 | 1 14577 | 1 14578 | 0 14579 | 0 14580 | 0 14581 | 0 14582 | 0 14583 | 0 14584 | 0 14585 | 0 14586 | 0 14587 | 0 14588 | 0 14589 | 0 14590 | 0 14591 | 0 14592 | 0 14593 | 0 14594 | 0 14595 | 0 14596 | 0 14597 | 0 14598 | 0 14599 | 0 14600 | 0 14601 | 0 14602 | 0 14603 | 0 14604 | 0 14605 | 0 14606 | 0 14607 | 0 14608 | 0 14609 | 0 14610 | 0 14611 | 0 14612 | 0 14613 | 0 14614 | 0 14615 | 0 14616 | 0 14617 | 0 14618 | 0 14619 | 0 14620 | 0 14621 | 0 14622 | 0 14623 | 0 14624 | 0 14625 | 0 14626 | 0 14627 | 0 14628 | 0 14629 | 0 14630 | 0 14631 | 0 14632 | 0 14633 | 0 14634 | 0 14635 | 0 14636 | 0 14637 | 0 14638 | 0 14639 | 0 14640 | 0 14641 | 0 14642 | 0 14643 | 0 14644 | 0 14645 | 0 14646 | 0 14647 | 0 14648 | 0 14649 | 0 14650 | 0 14651 | 0 14652 | 0 14653 | 0 14654 | 0 14655 | 0 14656 | 0 14657 | 0 14658 | 0 14659 | 0 14660 | 0 14661 | 0 14662 | 0 14663 | 0 14664 | 0 14665 | 0 14666 | 0 14667 | 0 14668 | 0 14669 | 0 14670 | 0 14671 | 0 14672 | 0 14673 | 0 14674 | 0 14675 | 0 14676 | 0 14677 | 0 14678 | 0 14679 | 0 14680 | 0 14681 | 0 14682 | 0 14683 | 0 14684 | 0 14685 | 0 14686 | 0 14687 | 0 14688 | 0 14689 | 0 14690 | 0 14691 | 0 14692 | 0 14693 | 0 14694 | 0 14695 | 0 14696 | 0 14697 | 0 14698 | 0 14699 | 1 14700 | 1 14701 | 1 14702 | 1 14703 | 1 14704 | 1 14705 | 0 14706 | 0 14707 | 0 14708 | 0 14709 | 0 14710 | 0 14711 | 0 14712 | 0 14713 | 0 14714 | 0 14715 | 0 14716 | 0 14717 | 0 14718 | 0 14719 | 0 14720 | 0 14721 | 0 14722 | 0 14723 | 0 14724 | 0 14725 | 0 14726 | 0 14727 | 0 14728 | 0 14729 | 0 14730 | 0 14731 | 0 14732 | 0 14733 | 0 14734 | 0 14735 | 0 14736 | 0 14737 | 0 14738 | 0 14739 | 0 14740 | 0 14741 | 0 14742 | 0 14743 | 0 14744 | 0 14745 | 0 14746 | 0 14747 | 0 14748 | 0 14749 | 0 14750 | 0 14751 | 0 14752 | 0 14753 | 0 14754 | 0 14755 | 0 14756 | 0 14757 | 0 14758 | 0 14759 | 0 14760 | 0 14761 | 0 14762 | 0 14763 | 0 14764 | 0 14765 | 0 14766 | 0 14767 | 0 14768 | 0 14769 | 0 14770 | 0 14771 | 0 14772 | 0 14773 | 0 14774 | 0 14775 | 0 14776 | 0 14777 | 0 14778 | 0 14779 | 0 14780 | 0 14781 | 0 14782 | 0 14783 | 0 14784 | 0 14785 | 0 14786 | 0 14787 | 0 14788 | 0 14789 | 0 14790 | 0 14791 | 0 14792 | 0 14793 | 0 14794 | 0 14795 | 0 14796 | 0 14797 | 0 14798 | 0 14799 | 0 14800 | 0 14801 | 0 14802 | 0 14803 | 0 14804 | 0 14805 | 0 14806 | 0 14807 | 0 14808 | 0 14809 | 0 14810 | 0 14811 | 0 14812 | 0 14813 | 0 14814 | 0 14815 | 0 14816 | 0 14817 | 0 14818 | 0 14819 | 0 14820 | 0 14821 | 0 14822 | 0 14823 | 0 14824 | 0 14825 | 1 14826 | 1 14827 | 1 14828 | 1 14829 | 1 14830 | 1 14831 | 0 14832 | 0 14833 | 0 14834 | 0 14835 | 0 14836 | 0 14837 | 0 14838 | 0 14839 | 0 14840 | 0 14841 | 0 14842 | 0 14843 | 0 14844 | 0 14845 | 0 14846 | 0 14847 | 0 14848 | 0 14849 | 0 14850 | 0 14851 | 0 14852 | 0 14853 | 0 14854 | 0 14855 | 0 14856 | 0 14857 | 0 14858 | 0 14859 | 0 14860 | 0 14861 | 0 14862 | 0 14863 | 0 14864 | 0 14865 | 0 14866 | 0 14867 | 0 14868 | 0 14869 | 0 14870 | 0 14871 | 0 14872 | 0 14873 | 0 14874 | 0 14875 | 0 14876 | 0 14877 | 0 14878 | 0 14879 | 0 14880 | 0 14881 | 0 14882 | 0 14883 | 0 14884 | 0 14885 | 0 14886 | 0 14887 | 0 14888 | 0 14889 | 0 14890 | 0 14891 | 0 14892 | 0 14893 | 0 14894 | 0 14895 | 0 14896 | 0 14897 | 0 14898 | 0 14899 | 0 14900 | 0 14901 | 0 14902 | 0 14903 | 0 14904 | 0 14905 | 0 14906 | 0 14907 | 0 14908 | 0 14909 | 0 14910 | 0 14911 | 0 14912 | 0 14913 | 0 14914 | 0 14915 | 0 14916 | 0 14917 | 0 14918 | 0 14919 | 0 14920 | 0 14921 | 0 14922 | 0 14923 | 0 14924 | 0 14925 | 0 14926 | 0 14927 | 0 14928 | 0 14929 | 0 14930 | 0 14931 | 0 14932 | 0 14933 | 0 14934 | 0 14935 | 0 14936 | 0 14937 | 0 14938 | 0 14939 | 0 14940 | 0 14941 | 0 14942 | 0 14943 | 0 14944 | 0 14945 | 0 14946 | 0 14947 | 0 14948 | 0 14949 | 0 14950 | 0 14951 | 1 14952 | 1 14953 | 1 14954 | 1 14955 | 1 14956 | 1 14957 | 1 14958 | 0 14959 | 0 14960 | 0 14961 | 0 14962 | 0 14963 | 0 14964 | 0 14965 | 0 14966 | 0 14967 | 0 14968 | 0 14969 | 0 14970 | 0 14971 | 0 14972 | 0 14973 | 0 14974 | 0 14975 | 0 14976 | 0 14977 | 0 14978 | 0 14979 | 0 14980 | 0 14981 | 0 14982 | 0 14983 | 0 14984 | 0 14985 | 0 14986 | 0 14987 | 0 14988 | 0 14989 | 0 14990 | 0 14991 | 0 14992 | 0 14993 | 0 14994 | 0 14995 | 0 14996 | 0 14997 | 0 14998 | 0 14999 | 0 15000 | 0 15001 | 0 15002 | 0 15003 | 0 15004 | 0 15005 | 0 15006 | 0 15007 | 0 15008 | 0 15009 | 0 15010 | 0 15011 | 0 15012 | 0 15013 | 0 15014 | 0 15015 | 0 15016 | 0 15017 | 0 15018 | 0 15019 | 0 15020 | 0 15021 | 0 15022 | 0 15023 | 0 15024 | 0 15025 | 0 15026 | 0 15027 | 0 15028 | 0 15029 | 0 15030 | 0 15031 | 0 15032 | 0 15033 | 0 15034 | 0 15035 | 0 15036 | 0 15037 | 0 15038 | 0 15039 | 0 15040 | 0 15041 | 0 15042 | 0 15043 | 0 15044 | 0 15045 | 0 15046 | 0 15047 | 0 15048 | 0 15049 | 0 15050 | 0 15051 | 0 15052 | 0 15053 | 0 15054 | 0 15055 | 0 15056 | 0 15057 | 0 15058 | 0 15059 | 0 15060 | 0 15061 | 0 15062 | 0 15063 | 0 15064 | 0 15065 | 0 15066 | 0 15067 | 0 15068 | 0 15069 | 0 15070 | 0 15071 | 0 15072 | 0 15073 | 0 15074 | 0 15075 | 0 15076 | 1 15077 | 1 15078 | 1 15079 | 1 15080 | 1 15081 | 1 15082 | 1 15083 | 1 15084 | 0 15085 | 0 15086 | 0 15087 | 0 15088 | 0 15089 | 0 15090 | 0 15091 | 0 15092 | 0 15093 | 0 15094 | 0 15095 | 0 15096 | 0 15097 | 0 15098 | 0 15099 | 0 15100 | 0 15101 | 0 15102 | 0 15103 | 0 15104 | 0 15105 | 0 15106 | 0 15107 | 0 15108 | 0 15109 | 0 15110 | 0 15111 | 0 15112 | 0 15113 | 0 15114 | 1 15115 | 0 15116 | 0 15117 | 0 15118 | 0 15119 | 0 15120 | 0 15121 | 0 15122 | 0 15123 | 0 15124 | 0 15125 | 0 15126 | 0 15127 | 0 15128 | 0 15129 | 0 15130 | 0 15131 | 0 15132 | 0 15133 | 0 15134 | 0 15135 | 0 15136 | 0 15137 | 0 15138 | 0 15139 | 0 15140 | 0 15141 | 0 15142 | 0 15143 | 0 15144 | 0 15145 | 0 15146 | 0 15147 | 0 15148 | 0 15149 | 0 15150 | 0 15151 | 0 15152 | 0 15153 | 0 15154 | 0 15155 | 0 15156 | 0 15157 | 0 15158 | 0 15159 | 0 15160 | 0 15161 | 0 15162 | 0 15163 | 0 15164 | 0 15165 | 0 15166 | 0 15167 | 0 15168 | 0 15169 | 0 15170 | 0 15171 | 0 15172 | 0 15173 | 0 15174 | 0 15175 | 0 15176 | 0 15177 | 0 15178 | 0 15179 | 0 15180 | 0 15181 | 0 15182 | 0 15183 | 0 15184 | 0 15185 | 0 15186 | 0 15187 | 0 15188 | 0 15189 | 0 15190 | 0 15191 | 0 15192 | 0 15193 | 0 15194 | 0 15195 | 0 15196 | 0 15197 | 0 15198 | 0 15199 | 0 15200 | 1 15201 | 1 15202 | 1 15203 | 1 15204 | 1 15205 | 1 15206 | 1 15207 | 1 15208 | 1 15209 | 1 15210 | 0 15211 | 0 15212 | 0 15213 | 0 15214 | 0 15215 | 0 15216 | 0 15217 | 0 15218 | 0 15219 | 0 15220 | 0 15221 | 0 15222 | 0 15223 | 0 15224 | 0 15225 | 0 15226 | 0 15227 | 0 15228 | 0 15229 | 0 15230 | 0 15231 | 0 15232 | 0 15233 | 0 15234 | 0 15235 | 0 15236 | 0 15237 | 0 15238 | 0 15239 | 0 15240 | 0 15241 | 0 15242 | 1 15243 | 1 15244 | 1 15245 | 1 15246 | 1 15247 | 1 15248 | 1 15249 | 1 15250 | 1 15251 | 1 15252 | 0 15253 | 0 15254 | 0 15255 | 0 15256 | 0 15257 | 0 15258 | 0 15259 | 0 15260 | 0 15261 | 0 15262 | 0 15263 | 0 15264 | 0 15265 | 0 15266 | 0 15267 | 0 15268 | 0 15269 | 0 15270 | 0 15271 | 0 15272 | 0 15273 | 0 15274 | 0 15275 | 0 15276 | 0 15277 | 0 15278 | 0 15279 | 0 15280 | 0 15281 | 0 15282 | 0 15283 | 0 15284 | 0 15285 | 0 15286 | 0 15287 | 0 15288 | 0 15289 | 0 15290 | 0 15291 | 0 15292 | 0 15293 | 0 15294 | 0 15295 | 0 15296 | 0 15297 | 0 15298 | 0 15299 | 0 15300 | 0 15301 | 0 15302 | 0 15303 | 0 15304 | 0 15305 | 0 15306 | 0 15307 | 0 15308 | 0 15309 | 0 15310 | 0 15311 | 0 15312 | 0 15313 | 0 15314 | 0 15315 | 0 15316 | 0 15317 | 0 15318 | 0 15319 | 0 15320 | 0 15321 | 0 15322 | 0 15323 | 1 15324 | 1 15325 | 1 15326 | 1 15327 | 1 15328 | 1 15329 | 1 15330 | 1 15331 | 1 15332 | 1 15333 | 1 15334 | 1 15335 | 1 15336 | 1 15337 | 1 15338 | 1 15339 | 1 15340 | 0 15341 | 0 15342 | 0 15343 | 0 15344 | 0 15345 | 0 15346 | 0 15347 | 0 15348 | 0 15349 | 0 15350 | 0 15351 | 0 15352 | 0 15353 | 0 15354 | 0 15355 | 0 15356 | 0 15357 | 0 15358 | 0 15359 | 0 15360 | 0 15361 | 0 15362 | 0 15363 | 0 15364 | 0 15365 | 0 15366 | 0 15367 | 0 15368 | 0 15369 | 0 15370 | 0 15371 | 1 15372 | 1 15373 | 1 15374 | 1 15375 | 1 15376 | 1 15377 | 1 15378 | 1 15379 | 1 15380 | 1 15381 | 1 15382 | 1 15383 | 1 15384 | 1 15385 | 1 15386 | 1 15387 | 1 15388 | 1 15389 | 1 15390 | 1 15391 | 1 15392 | 1 15393 | 0 15394 | 0 15395 | 0 15396 | 0 15397 | 0 15398 | 0 15399 | 0 15400 | 0 15401 | 0 15402 | 0 15403 | 0 15404 | 0 15405 | 0 15406 | 0 15407 | 0 15408 | 0 15409 | 0 15410 | 0 15411 | 0 15412 | 0 15413 | 0 15414 | 0 15415 | 0 15416 | 0 15417 | 0 15418 | 0 15419 | 0 15420 | 0 15421 | 0 15422 | 0 15423 | 0 15424 | 0 15425 | 0 15426 | 0 15427 | 0 15428 | 0 15429 | 0 15430 | 0 15431 | 0 15432 | 0 15433 | 0 15434 | 0 15435 | 0 15436 | 0 15437 | 0 15438 | 0 15439 | 0 15440 | 0 15441 | 1 15442 | 1 15443 | 1 15444 | 1 15445 | 1 15446 | 1 15447 | 1 15448 | 1 15449 | 1 15450 | 1 15451 | 1 15452 | 1 15453 | 1 15454 | 1 15455 | 1 15456 | 1 15457 | 1 15458 | 1 15459 | 1 15460 | 1 15461 | 1 15462 | 1 15463 | 1 15464 | 1 15465 | 1 15466 | 1 15467 | 1 15468 | 0 15469 | 0 15470 | 0 15471 | 0 15472 | 0 15473 | 0 15474 | 0 15475 | 0 15476 | 0 15477 | 0 15478 | 0 15479 | 0 15480 | 0 15481 | 0 15482 | 0 15483 | 0 15484 | 0 15485 | 0 15486 | 0 15487 | 0 15488 | 0 15489 | 0 15490 | 0 15491 | 0 15492 | 0 15493 | 0 15494 | 0 15495 | 0 15496 | 0 15497 | 0 15498 | 0 15499 | 1 15500 | 1 15501 | 1 15502 | 1 15503 | 1 15504 | 1 15505 | 1 15506 | 1 15507 | 1 15508 | 1 15509 | 1 15510 | 1 15511 | 1 15512 | 1 15513 | 1 15514 | 1 15515 | 1 15516 | 1 15517 | 1 15518 | 1 15519 | 1 15520 | 1 15521 | 1 15522 | 1 15523 | 1 15524 | 1 15525 | 1 15526 | 1 15527 | 1 15528 | 1 15529 | 1 15530 | 1 15531 | 1 15532 | 1 15533 | 1 15534 | 1 15535 | 1 15536 | 1 15537 | 1 15538 | 1 15539 | 1 15540 | 1 15541 | 1 15542 | 1 15543 | 1 15544 | 1 15545 | 1 15546 | 1 15547 | 1 15548 | 1 15549 | 1 15550 | 1 15551 | 1 15552 | 1 15553 | 1 15554 | 1 15555 | 1 15556 | 1 15557 | 1 15558 | 1 15559 | 1 15560 | 1 15561 | 1 15562 | 1 15563 | 1 15564 | 1 15565 | 1 15566 | 1 15567 | 1 15568 | 1 15569 | 1 15570 | 1 15571 | 1 15572 | 1 15573 | 1 15574 | 1 15575 | 1 15576 | 1 15577 | 1 15578 | 1 15579 | 1 15580 | 1 15581 | 1 15582 | 1 15583 | 1 15584 | 1 15585 | 1 15586 | 1 15587 | 1 15588 | 1 15589 | 1 15590 | 1 15591 | 1 15592 | 0 15593 | 0 15594 | 0 15595 | 0 15596 | 0 15597 | 0 15598 | 0 15599 | 0 15600 | 0 15601 | 0 15602 | 0 15603 | 0 15604 | 0 15605 | 0 15606 | 0 15607 | 0 15608 | 0 15609 | 0 15610 | 0 15611 | 0 15612 | 0 15613 | 0 15614 | 0 15615 | 0 15616 | 0 15617 | 0 15618 | 0 15619 | 0 15620 | 0 15621 | 0 15622 | 0 15623 | 0 15624 | 0 15625 | 0 15626 | 0 15627 | 0 15628 | 1 15629 | 1 15630 | 1 15631 | 1 15632 | 1 15633 | 1 15634 | 1 15635 | 1 15636 | 1 15637 | 1 15638 | 1 15639 | 1 15640 | 1 15641 | 1 15642 | 1 15643 | 1 15644 | 1 15645 | 1 15646 | 1 15647 | 1 15648 | 1 15649 | 1 15650 | 1 15651 | 1 15652 | 1 15653 | 1 15654 | 1 15655 | 1 15656 | 1 15657 | 1 15658 | 1 15659 | 1 15660 | 1 15661 | 1 15662 | 1 15663 | 1 15664 | 1 15665 | 1 15666 | 1 15667 | 1 15668 | 1 15669 | 1 15670 | 1 15671 | 1 15672 | 1 15673 | 1 15674 | 1 15675 | 1 15676 | 1 15677 | 1 15678 | 1 15679 | 1 15680 | 1 15681 | 1 15682 | 1 15683 | 1 15684 | 1 15685 | 1 15686 | 1 15687 | 1 15688 | 1 15689 | 1 15690 | 1 15691 | 1 15692 | 1 15693 | 1 15694 | 1 15695 | 1 15696 | 1 15697 | 1 15698 | 1 15699 | 1 15700 | 1 15701 | 1 15702 | 1 15703 | 1 15704 | 1 15705 | 1 15706 | 1 15707 | 1 15708 | 1 15709 | 1 15710 | 1 15711 | 1 15712 | 1 15713 | 0 15714 | 0 15715 | 0 15716 | 0 15717 | 0 15718 | 0 15719 | 0 15720 | 0 15721 | 0 15722 | 0 15723 | 0 15724 | 0 15725 | 0 15726 | 0 15727 | 0 15728 | 0 15729 | 0 15730 | 0 15731 | 0 15732 | 0 15733 | 0 15734 | 0 15735 | 0 15736 | 0 15737 | 0 15738 | 0 15739 | 0 15740 | 0 15741 | 0 15742 | 0 15743 | 0 15744 | 0 15745 | 0 15746 | 0 15747 | 0 15748 | 0 15749 | 0 15750 | 0 15751 | 0 15752 | 0 15753 | 0 15754 | 0 15755 | 0 15756 | 0 15757 | 0 15758 | 0 15759 | 0 15760 | 0 15761 | 0 15762 | 0 15763 | 0 15764 | 0 15765 | 1 15766 | 1 15767 | 1 15768 | 1 15769 | 1 15770 | 1 15771 | 1 15772 | 1 15773 | 1 15774 | 1 15775 | 1 15776 | 1 15777 | 1 15778 | 1 15779 | 1 15780 | 1 15781 | 1 15782 | 1 15783 | 1 15784 | 1 15785 | 1 15786 | 1 15787 | 1 15788 | 1 15789 | 1 15790 | 0 15791 | 0 15792 | 1 15793 | 0 15794 | 1 15795 | 1 15796 | 0 15797 | 1 15798 | 0 15799 | 1 15800 | 0 15801 | 1 15802 | 1 15803 | 1 15804 | 1 15805 | 0 15806 | 1 15807 | 0 15808 | 1 15809 | 0 15810 | 1 15811 | 1 15812 | 1 15813 | 1 15814 | 1 15815 | 1 15816 | 1 15817 | 1 15818 | 1 15819 | 1 15820 | 1 15821 | 1 15822 | 1 15823 | 1 15824 | 0 15825 | 0 15826 | 0 15827 | 0 15828 | 0 15829 | 0 15830 | 0 15831 | 0 15832 | 0 15833 | 0 15834 | 0 15835 | 0 15836 | 0 15837 | 0 15838 | 0 15839 | 0 15840 | 0 15841 | 0 15842 | 0 15843 | 0 15844 | 0 15845 | 0 15846 | 0 15847 | 0 15848 | 0 15849 | 0 15850 | 0 15851 | 0 15852 | 0 15853 | 0 15854 | 0 15855 | 0 15856 | 0 15857 | 0 15858 | 0 15859 | 0 15860 | 0 15861 | 0 15862 | 0 15863 | 0 15864 | 0 15865 | 0 15866 | 0 15867 | 0 15868 | 0 15869 | 0 15870 | 0 15871 | 0 15872 | 0 15873 | 0 15874 | 0 15875 | 0 15876 | 0 15877 | 0 15878 | 0 15879 | 0 15880 | 0 15881 | 0 15882 | 0 15883 | 0 15884 | 0 15885 | 0 15886 | 0 15887 | 0 15888 | 0 15889 | 0 15890 | 0 15891 | 0 15892 | 0 15893 | 0 15894 | 0 15895 | 0 15896 | 0 15897 | 0 15898 | 0 15899 | 0 15900 | 0 15901 | 0 15902 | 0 15903 | 0 15904 | 0 15905 | 0 15906 | 0 15907 | 0 15908 | 0 15909 | 0 15910 | 0 15911 | 0 15912 | 0 15913 | 0 15914 | 0 15915 | 0 15916 | 0 15917 | 0 15918 | 0 15919 | 0 15920 | 0 15921 | 0 15922 | 0 15923 | 0 15924 | 0 15925 | 0 15926 | 0 15927 | 0 15928 | 0 15929 | 0 15930 | 0 15931 | 0 15932 | 0 15933 | 0 15934 | 0 15935 | 0 15936 | 0 15937 | 0 15938 | 0 15939 | 0 15940 | 0 15941 | 0 15942 | 0 15943 | 0 15944 | 0 15945 | 0 15946 | 0 15947 | 0 15948 | 0 15949 | 0 15950 | 0 15951 | 0 15952 | 0 15953 | 0 15954 | 0 15955 | 0 15956 | 0 15957 | 0 15958 | 0 15959 | 0 15960 | 0 15961 | 0 15962 | 0 15963 | 0 15964 | 0 15965 | 0 15966 | 0 15967 | 0 15968 | 0 15969 | 0 15970 | 0 15971 | 0 15972 | 0 15973 | 0 15974 | 0 15975 | 0 15976 | 0 15977 | 0 15978 | 0 15979 | 0 15980 | 0 15981 | 0 15982 | 0 15983 | 0 15984 | 0 15985 | 0 15986 | 0 15987 | 0 15988 | 0 15989 | 0 15990 | 0 15991 | 0 15992 | 0 15993 | 0 15994 | 0 15995 | 0 15996 | 0 15997 | 0 15998 | 0 15999 | 0 16000 | 0 16001 | 0 16002 | 0 16003 | 0 16004 | 0 16005 | 0 16006 | 0 16007 | 0 16008 | 0 16009 | 0 16010 | 0 16011 | 0 16012 | 0 16013 | 0 16014 | 0 16015 | 0 16016 | 0 16017 | 0 16018 | 0 16019 | 0 16020 | 0 16021 | 0 16022 | 0 16023 | 0 16024 | 0 16025 | 0 16026 | 0 16027 | 0 16028 | 0 16029 | 0 16030 | 0 16031 | 0 16032 | 0 16033 | 0 16034 | 0 16035 | 0 16036 | 0 16037 | 0 16038 | 0 16039 | 0 16040 | 0 16041 | 0 16042 | 0 16043 | 0 16044 | 0 16045 | 0 16046 | 0 16047 | 0 16048 | 0 16049 | 0 16050 | 0 16051 | 0 16052 | 0 16053 | 0 16054 | 0 16055 | 0 16056 | 0 16057 | 0 16058 | 0 16059 | 0 16060 | 0 16061 | 0 16062 | 0 16063 | 0 16064 | 0 16065 | 0 16066 | 0 16067 | 0 16068 | 0 16069 | 0 16070 | 0 16071 | 0 16072 | 0 16073 | 0 16074 | 0 16075 | 0 16076 | 0 16077 | 0 16078 | 0 16079 | 0 16080 | 0 16081 | 0 16082 | 0 16083 | 0 16084 | 0 16085 | 0 16086 | 0 16087 | 0 16088 | 0 16089 | 0 16090 | 0 16091 | 0 16092 | 0 16093 | 0 16094 | 0 16095 | 0 16096 | 0 16097 | 0 16098 | 0 16099 | 0 16100 | 0 16101 | 0 16102 | 0 16103 | 0 16104 | 0 16105 | 0 16106 | 0 16107 | 0 16108 | 0 16109 | 0 16110 | 0 16111 | 0 16112 | 0 16113 | 0 16114 | 0 16115 | 0 16116 | 0 16117 | 0 16118 | 0 16119 | 0 16120 | 0 16121 | 0 16122 | 0 16123 | 0 16124 | 0 16125 | 0 16126 | 0 16127 | 0 16128 | 0 16129 | 0 16130 | 0 16131 | 0 16132 | 0 16133 | 0 16134 | 0 16135 | 0 16136 | 0 16137 | 0 16138 | 0 16139 | 0 16140 | 0 16141 | 0 16142 | 0 16143 | 0 16144 | 0 16145 | 0 16146 | 0 16147 | 0 16148 | 0 16149 | 0 16150 | 0 16151 | 0 16152 | 0 16153 | 0 16154 | 0 16155 | 0 16156 | 0 16157 | 0 16158 | 0 16159 | 0 16160 | 0 16161 | 0 16162 | 0 16163 | 0 16164 | 0 16165 | 0 16166 | 0 16167 | 0 16168 | 0 16169 | 0 16170 | 0 16171 | 0 16172 | 0 16173 | 0 16174 | 0 16175 | 0 16176 | 0 16177 | 0 16178 | 0 16179 | 0 16180 | 0 16181 | 0 16182 | 0 16183 | 0 16184 | 0 16185 | 0 16186 | 0 16187 | 0 16188 | 0 16189 | 0 16190 | 0 16191 | 0 16192 | 0 16193 | 0 16194 | 0 16195 | 0 16196 | 0 16197 | 0 16198 | 0 16199 | 0 16200 | 0 16201 | 0 16202 | 0 16203 | 0 16204 | 0 16205 | 0 16206 | 0 16207 | 0 16208 | 0 16209 | 0 16210 | 0 16211 | 0 16212 | 0 16213 | 0 16214 | 0 16215 | 0 16216 | 0 16217 | 0 16218 | 0 16219 | 0 16220 | 0 16221 | 0 16222 | 0 16223 | 0 16224 | 0 16225 | 0 16226 | 0 16227 | 0 16228 | 0 16229 | 0 16230 | 0 16231 | 0 16232 | 0 16233 | 0 16234 | 0 16235 | 0 16236 | 0 16237 | 0 16238 | 0 16239 | 0 16240 | 0 16241 | 0 16242 | 0 16243 | 0 16244 | 0 16245 | 0 16246 | 0 16247 | 0 16248 | 0 16249 | 0 16250 | 0 16251 | 0 16252 | 0 16253 | 0 16254 | 0 16255 | 0 16256 | 0 16257 | 0 16258 | 0 16259 | 0 16260 | 0 16261 | 0 16262 | 0 16263 | 0 16264 | 0 16265 | 0 16266 | 0 16267 | 0 16268 | 0 16269 | 0 16270 | 0 16271 | 0 16272 | 0 16273 | 0 16274 | 0 16275 | 0 16276 | 0 16277 | 0 16278 | 0 16279 | 0 16280 | 0 16281 | 0 16282 | 0 16283 | 0 16284 | 0 16285 | 0 16286 | 0 16287 | 0 16288 | 0 16289 | 0 16290 | 0 16291 | 0 16292 | 0 16293 | 0 16294 | 0 16295 | 0 16296 | 0 16297 | 0 16298 | 0 16299 | 0 16300 | 0 16301 | 0 16302 | 0 16303 | 0 16304 | 0 16305 | 0 16306 | 0 16307 | 0 16308 | 0 16309 | 0 16310 | 0 16311 | 0 16312 | 0 16313 | 0 16314 | 0 16315 | 0 16316 | 0 16317 | 0 16318 | 0 16319 | 0 16320 | 0 16321 | 0 16322 | 0 16323 | 0 16324 | 0 16325 | 0 16326 | 0 16327 | 0 16328 | 0 16329 | 0 16330 | 0 16331 | 0 16332 | 0 16333 | 0 16334 | 0 16335 | 0 16336 | 0 16337 | 0 16338 | 0 16339 | 0 16340 | 0 16341 | 0 16342 | 0 16343 | 0 16344 | 0 16345 | 0 16346 | 0 16347 | 0 16348 | 0 16349 | 0 16350 | 0 16351 | 0 16352 | 0 16353 | 0 16354 | 0 16355 | 0 16356 | 0 16357 | 0 16358 | 0 16359 | 0 16360 | 0 16361 | 0 16362 | 0 16363 | 0 16364 | 0 16365 | 0 16366 | 0 16367 | 0 16368 | 0 16369 | 0 16370 | 0 16371 | 0 16372 | 0 16373 | 0 16374 | 0 16375 | 0 16376 | 0 16377 | 0 16378 | 0 16379 | 0 16380 | 0 16381 | 0 16382 | 0 16383 | 0 16384 | 0 -------------------------------------------------------------------------------- /main.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | ////////////////////////////////////////////////////////////////////////////////// 3 | // Kethan Narasimhalu 4 | // Masters Thesis 5 | // University of Texas at San Antonio 6 | // 7 | // System on Chip - Convolutional Neural Network 8 | // 9 | 10 | module main( 11 | input clk, 12 | input rst 13 | ); 14 | 15 | reg signed [3:0] image [0:16383]; 16 | reg signed [3:0] test [0:16383]; 17 | reg signed [7:0] con2 [0:15874]; 18 | reg signed [7:0] pool [0:7936]; 19 | 20 | //Read Input Images Into ROMS 21 | /*initial begin 22 | $readmemb("C:/Users/halok/Desktop/School/Grad VLSI/jordan1.txt", image, 0, 16383); 23 | $readmemb("C:/Users/halok/Desktop/School/Grad VLSI/jordan1.txt", test, 0, 16383); 24 | end */ 25 | 26 | //BRAM Start 27 | wire [13:0] bram_addr; 28 | wire [3:0] bram_in; 29 | wire [3:0] bram_out_image; 30 | wire [3:0] bram_out_test; 31 | wire [0:0] bram_wea = 0; 32 | reg bram_enable; 33 | reg [13:0] bram_counter; 34 | 35 | initial 36 | begin 37 | bram_counter = 0; 38 | bram_enable = 1; 39 | end 40 | 41 | blk_mem_gen_0 Image(clk,bram_enable,bram_wea,bram_addr,bram_in,bram_out_image); 42 | blk_mem_gen_1 Test(clk,bram_enable,bram_wea,bram_addr,bram_in,bram_out_test); 43 | 44 | assign bram_addr = bram_counter; 45 | 46 | always@(posedge clk) 47 | begin 48 | if(bram_enable) 49 | begin 50 | image[bram_counter] <= bram_out_image; 51 | test[bram_counter] <= bram_out_test; 52 | bram_counter = bram_counter + 1'b1; 53 | if(bram_addr == 16383) 54 | begin 55 | bram_enable <= 0; 56 | end 57 | end 58 | end 59 | //BRAM End 60 | 61 | //Convolution Stage 1 Image////////////////////////////////////////////////////////////////////////// 62 | reg image_enable; 63 | wire [3:0] con1_in1; 64 | wire [3:0] con1_in2; 65 | wire [3:0] con1_in3; 66 | wire [3:0] con1_in4; 67 | wire [3:0] con1_in5; 68 | wire [3:0] con1_in6; 69 | wire [3:0] con1_in7; 70 | wire [3:0] con1_in8; 71 | wire [3:0] con1_in9; 72 | wire signed [3:0] con1_final; 73 | wire finished_con1; 74 | 75 | reg [15:0] img_in1_addr; 76 | reg [15:0] img_in2_addr; 77 | reg [15:0] img_in3_addr; 78 | reg [15:0] img_in4_addr; 79 | reg [15:0] img_in5_addr; 80 | reg [15:0] img_in6_addr; 81 | reg [15:0] img_in7_addr; 82 | reg [15:0] img_in8_addr; 83 | reg [15:0] img_in9_addr; 84 | 85 | reg [15:0] img_addr = 0; 86 | 87 | reg[15:0] img_counter = 16'b0000_0000_0000_0001; 88 | 89 | initial 90 | begin 91 | img_in1_addr <= 16'b0000_0000_0000_0000; 92 | img_in2_addr <= 16'b0000_0000_0000_0001; 93 | img_in3_addr <= 16'b0000_0000_0000_0010; 94 | img_in4_addr <= 16'b0000_0000_1000_0000; 95 | img_in5_addr <= 16'b0000_0000_1000_0001; 96 | img_in6_addr <= 16'b0000_0000_1000_0010; 97 | img_in7_addr <= 16'b0000_0001_0000_0000; 98 | img_in8_addr <= 16'b0000_0001_0000_0001; 99 | img_in9_addr <= 16'b0000_0001_0000_0010; 100 | 101 | image_enable <=1'b1; 102 | 103 | end 104 | 105 | Convolution_1 Image_Convolution (clk, image_enable, con1_in1, con1_in2, con1_in3, con1_in4, con1_in5, con1_in6, con1_in7, con1_in8, con1_in9, con1_final, finished_con1); 106 | 107 | //Assign Inputs 108 | assign con1_in1 = (image_enable && (image[img_in1_addr])); 109 | assign con1_in2 = (image_enable && (image[img_in2_addr])); 110 | assign con1_in3 = (image_enable && (image[img_in3_addr])); 111 | assign con1_in4 = (image_enable && (image[img_in4_addr])); 112 | assign con1_in5 = (image_enable && (image[img_in5_addr])); 113 | assign con1_in6 = (image_enable && (image[img_in6_addr])); 114 | assign con1_in7 = (image_enable && (image[img_in7_addr])); 115 | assign con1_in8 = (image_enable && (image[img_in8_addr])); 116 | assign con1_in9 = (image_enable && (image[img_in9_addr])); 117 | 118 | //Block for Generating Address and Writing Con1 Outputs to ROM 119 | always @(posedge clk) 120 | begin 121 | if(bram_enable == 0) 122 | begin 123 | if(image_enable) 124 | begin 125 | if(rst) 126 | begin 127 | img_in1_addr <= 16'b0000_0000_0000_0000; 128 | img_in2_addr <= 16'b0000_0000_0000_0001; 129 | img_in3_addr <= 16'b0000_0000_0000_0010; 130 | img_in4_addr <= 16'b0000_0000_1000_0000; 131 | img_in5_addr <= 16'b0000_0000_1000_0001; 132 | img_in6_addr <= 16'b0000_0000_1000_0010; 133 | img_in7_addr <= 16'b0000_0001_0000_0000; 134 | img_in8_addr <= 16'b0000_0001_0000_0001; 135 | img_in9_addr <= 16'b0000_0001_0000_0010; 136 | end 137 | if(img_addr == 0) 138 | begin 139 | image[img_addr] = con1_final; 140 | end 141 | if(finished_con1) 142 | begin 143 | image[img_addr] = con1_final; 144 | img_addr = img_addr + 1'b1; 145 | if(img_in9_addr == 16383) 146 | begin 147 | image_enable = 0; 148 | end 149 | img_counter = img_counter + 1; 150 | if(img_counter == 127) 151 | begin 152 | img_in1_addr <= img_in1_addr + 16'b0000_0000_0000_0011; 153 | img_in2_addr <= img_in2_addr + 16'b0000_0000_0000_0011; 154 | img_in3_addr <= img_in3_addr + 16'b0000_0000_0000_0011; 155 | img_in4_addr <= img_in4_addr + 16'b0000_0000_0000_0011; 156 | img_in5_addr <= img_in5_addr + 16'b0000_0000_0000_0011; 157 | img_in6_addr <= img_in6_addr + 16'b0000_0000_0000_0011; 158 | img_in7_addr <= img_in7_addr + 16'b0000_0000_0000_0011; 159 | img_in8_addr <= img_in8_addr + 16'b0000_0000_0000_0011; 160 | img_in9_addr <= img_in9_addr + 16'b0000_0000_0000_0011; 161 | img_counter <= 16'b0000_0000_0000_0001; 162 | end 163 | else 164 | begin 165 | img_in1_addr <= img_in1_addr + 1'b1; 166 | img_in2_addr <= img_in2_addr + 1'b1; 167 | img_in3_addr <= img_in3_addr + 1'b1; 168 | img_in4_addr <= img_in4_addr + 1'b1; 169 | img_in5_addr <= img_in5_addr + 1'b1; 170 | img_in6_addr <= img_in6_addr + 1'b1; 171 | img_in7_addr <= img_in7_addr + 1'b1; 172 | img_in8_addr <= img_in8_addr + 1'b1; 173 | img_in9_addr <= img_in9_addr + 1'b1; 174 | end 175 | end 176 | end 177 | end 178 | end 179 | 180 | //Convolution Stage 1 Image END////////////////////////////////////////////////////////////////////////// 181 | 182 | //Convolution Stage 1 Test Pattern////////////////////////////////////////////////////////////////////// 183 | reg test_enable; 184 | wire [3:0] test_in1; 185 | wire [3:0] test_in2; 186 | wire [3:0] test_in3; 187 | wire [3:0] test_in4; 188 | wire [3:0] test_in5; 189 | wire [3:0] test_in6; 190 | wire [3:0] test_in7; 191 | wire [3:0] test_in8; 192 | wire [3:0] test_in9; 193 | wire signed [3:0] test_final; 194 | wire finished_test; 195 | 196 | reg [15:0] test_in1_addr; 197 | reg [15:0] test_in2_addr; 198 | reg [15:0] test_in3_addr; 199 | reg [15:0] test_in4_addr; 200 | reg [15:0] test_in5_addr; 201 | reg [15:0] test_in6_addr; 202 | reg [15:0] test_in7_addr; 203 | reg [15:0] test_in8_addr; 204 | reg [15:0] test_in9_addr; 205 | 206 | reg [15:0] test_addr = 0; 207 | 208 | reg [15:0] test_counter = 16'b0000_0000_0000_0001; 209 | 210 | initial 211 | begin 212 | test_in1_addr <= 16'b0000_0000_0000_0000; 213 | test_in2_addr <= 16'b0000_0000_0000_0001; 214 | test_in3_addr <= 16'b0000_0000_0000_0010; 215 | 216 | test_in4_addr <= 16'b0000_0000_1000_0000; 217 | test_in5_addr <= 16'b0000_0000_1000_0001; 218 | test_in6_addr <= 16'b0000_0000_1000_0010; 219 | 220 | test_in7_addr <= 16'b0000_0001_0000_0000; 221 | test_in8_addr <= 16'b0000_0001_0000_0001; 222 | test_in9_addr <= 16'b0000_0001_0000_0010; 223 | 224 | test_enable <=1'b1; 225 | end 226 | 227 | 228 | Convolution_1 Test_Convolution (clk, test_enable, test_in1, test_in2, test_in3, test_in4, test_in5, test_in6, test_in7, test_in8, test_in9, test_final, finished_test); 229 | 230 | //Pre Assigne Inputs 231 | assign test_in1 = (test_enable && (test[test_in1_addr])); 232 | assign test_in2 = (test_enable && (test[test_in2_addr])); 233 | assign test_in3 = (test_enable && (test[test_in3_addr])); 234 | assign test_in4 = (test_enable && (test[test_in4_addr])); 235 | assign test_in5 = (test_enable && (test[test_in5_addr])); 236 | assign test_in6 = (test_enable && (test[test_in6_addr])); 237 | assign test_in7 = (test_enable && (test[test_in7_addr])); 238 | assign test_in8 = (test_enable && (test[test_in8_addr])); 239 | assign test_in9 = (test_enable && (test[test_in9_addr])); 240 | 241 | //Block for Generating Address and Writing Con1 Outputs to ROM 242 | always @(posedge clk) 243 | begin 244 | if(bram_enable == 0) 245 | begin 246 | if(test_enable) 247 | begin 248 | if(rst) 249 | begin 250 | test_in1_addr <= 16'b0000_0000_0000_0000; 251 | test_in2_addr <= 16'b0000_0000_0000_0001; 252 | test_in3_addr <= 16'b0000_0000_0000_0010; 253 | test_in4_addr <= 16'b0000_0000_1000_0000; 254 | test_in5_addr <= 16'b0000_0000_1000_0001; 255 | test_in6_addr <= 16'b0000_0000_1000_0010; 256 | test_in7_addr <= 16'b0000_0001_0000_0000; 257 | test_in8_addr <= 16'b0000_0001_0000_0001; 258 | test_in9_addr <= 16'b0000_0001_0000_0010; 259 | end 260 | if(finished_test) 261 | begin 262 | test[test_addr] = test_final; 263 | test_addr = test_addr + 1'b1; 264 | if(test_in9_addr == 16383) 265 | begin 266 | test_enable = 0; 267 | end 268 | test_counter = test_counter + 1; 269 | if(test_counter == 127) 270 | begin 271 | test_in1_addr <=test_in1_addr + 16'b0000_0000_0000_0011; 272 | test_in2_addr <=test_in2_addr + 16'b0000_0000_0000_0011; 273 | test_in3_addr <=test_in3_addr + 16'b0000_0000_0000_0011; 274 | test_in4_addr <=test_in4_addr + 16'b0000_0000_0000_0011; 275 | test_in5_addr <=test_in5_addr + 16'b0000_0000_0000_0011; 276 | test_in6_addr <=test_in6_addr + 16'b0000_0000_0000_0011; 277 | test_in7_addr <=test_in7_addr + 16'b0000_0000_0000_0011; 278 | test_in8_addr <=test_in8_addr + 16'b0000_0000_0000_0011; 279 | test_in9_addr <=test_in9_addr + 16'b0000_0000_0000_0011; 280 | test_counter <= 16'b0000_0000_0000_0001; 281 | end 282 | else 283 | begin 284 | test_in1_addr <= test_in1_addr + 1'b1; 285 | test_in2_addr <= test_in2_addr + 1'b1; 286 | test_in3_addr <= test_in3_addr + 1'b1; 287 | test_in4_addr <= test_in4_addr + 1'b1; 288 | test_in5_addr <= test_in5_addr + 1'b1; 289 | test_in6_addr <= test_in6_addr + 1'b1; 290 | test_in7_addr <= test_in7_addr + 1'b1; 291 | test_in8_addr <= test_in8_addr + 1'b1; 292 | test_in9_addr <= test_in9_addr + 1'b1; 293 | end 294 | end 295 | end 296 | end 297 | end 298 | 299 | //Convolution Stage 1 Test Pattern END////////////////////////////////////////////////////////////////////////// 300 | 301 | //Convolution Stage 2////////////////////////////////////////////////////////////////////////////////////////// 302 | 303 | reg con2_enable; 304 | reg [15:0] con2_counter = 16'b0000_0000_0000_0001; 305 | reg [15:0] con2_addr = 0; 306 | wire finished_con2; 307 | wire signed [3:0] con2_img_in1; 308 | wire signed [3:0] con2_img_in2; 309 | wire signed [3:0] con2_img_in3; 310 | wire signed [3:0] con2_img_in4; 311 | wire signed [3:0] con2_img_in5; 312 | wire signed [3:0] con2_img_in6; 313 | wire signed [3:0] con2_img_in7; 314 | wire signed [3:0] con2_img_in8; 315 | wire signed [3:0] con2_img_in9; 316 | wire signed [3:0] con2_test_in1; 317 | wire signed [3:0] con2_test_in2; 318 | wire signed [3:0] con2_test_in3; 319 | wire signed [3:0] con2_test_in4; 320 | wire signed [3:0] con2_test_in5; 321 | wire signed [3:0] con2_test_in6; 322 | wire signed [3:0] con2_test_in7; 323 | wire signed [3:0] con2_test_in8; 324 | wire signed [3:0] con2_test_in9; 325 | wire signed [7:0] con2_final; 326 | 327 | reg [15:0] con2_in1_addr; 328 | reg [15:0] con2_in2_addr; 329 | reg [15:0] con2_in3_addr; 330 | reg [15:0] con2_in4_addr; 331 | reg [15:0] con2_in5_addr; 332 | reg [15:0] con2_in6_addr; 333 | reg [15:0] con2_in7_addr; 334 | reg [15:0] con2_in8_addr; 335 | reg [15:0] con2_in9_addr; 336 | 337 | reg [15:0] con2_in10_addr; 338 | reg [15:0] con2_in11_addr; 339 | reg [15:0] con2_in12_addr; 340 | reg [15:0] con2_in13_addr; 341 | reg [15:0] con2_in14_addr; 342 | reg [15:0] con2_in15_addr; 343 | reg [15:0] con2_in16_addr; 344 | reg [15:0] con2_in17_addr; 345 | reg [15:0] con2_in18_addr; 346 | 347 | 348 | initial 349 | begin 350 | con2_in1_addr <= 16'b0000_0000_0000_0000; 351 | con2_in2_addr <= 16'b0000_0000_0000_0001; 352 | con2_in3_addr <= 16'b0000_0000_0000_0010; 353 | 354 | con2_in4_addr <= 16'b0000_0000_1000_0000; 355 | con2_in5_addr <= 16'b0000_0000_1000_0001; 356 | con2_in6_addr <= 16'b0000_0000_1000_0010; 357 | 358 | con2_in7_addr <= 16'b0000_0001_0000_0000; 359 | con2_in8_addr <= 16'b0000_0001_0000_0001; 360 | con2_in9_addr <= 16'b0000_0001_0000_0010; 361 | 362 | con2_in10_addr <= 16'b0000_0000_0000_0000; 363 | con2_in11_addr <= 16'b0000_0000_0000_0001; 364 | con2_in12_addr <= 16'b0000_0000_0000_0010; 365 | 366 | con2_in13_addr <= 16'b0000_0000_1000_0000; 367 | con2_in14_addr <= 16'b0000_0000_1000_0001; 368 | con2_in15_addr <= 16'b0000_0000_1000_0010; 369 | 370 | con2_in16_addr <= 16'b0000_0001_0000_0000; 371 | con2_in17_addr <= 16'b0000_0001_0000_0001; 372 | con2_in18_addr <= 16'b0000_0001_0000_0010; 373 | 374 | con2_enable <=1'b1; 375 | end 376 | 377 | 378 | assign con2_img_in1 = (con2_enable && (image[con2_in1_addr])); 379 | assign con2_img_in2 = (con2_enable && (image[con2_in2_addr])); 380 | assign con2_img_in3 = (con2_enable && (image[con2_in3_addr])); 381 | assign con2_img_in4 = (con2_enable && (image[con2_in4_addr])); 382 | assign con2_img_in5 = (con2_enable && (image[con2_in5_addr])); 383 | assign con2_img_in6 = (con2_enable && (image[con2_in6_addr])); 384 | assign con2_img_in7 = (con2_enable && (image[con2_in7_addr])); 385 | assign con2_img_in8 = (con2_enable && (image[con2_in8_addr])); 386 | assign con2_img_in9 = (con2_enable && (image[con2_in9_addr])); 387 | assign con2_test_in1 = (con2_enable && (test[con2_in10_addr])); 388 | assign con2_test_in2 = (con2_enable && (test[con2_in11_addr])); 389 | assign con2_test_in3 = (con2_enable && (test[con2_in12_addr])); 390 | assign con2_test_in4 = (con2_enable && (test[con2_in13_addr])); 391 | assign con2_test_in5 = (con2_enable && (test[con2_in14_addr])); 392 | assign con2_test_in6 = (con2_enable && (test[con2_in15_addr])); 393 | assign con2_test_in7 = (con2_enable && (test[con2_in16_addr])); 394 | assign con2_test_in8 = (con2_enable && (test[con2_in17_addr])); 395 | assign con2_test_in9 = (con2_enable && (test[con2_in18_addr])); 396 | 397 | Convolution_2 c1(clk,con2_enable, 398 | con2_img_in1,con2_img_in2,con2_img_in3,con2_img_in4,con2_img_in5,con2_img_in6,con2_img_in7,con2_img_in8,con2_img_in9, 399 | con2_test_in1,con2_test_in2,con2_test_in3,con2_test_in4,con2_test_in5,con2_test_in6,con2_test_in7,con2_test_in8,con2_test_in9, 400 | con2_final,finished_con2); 401 | 402 | always@(posedge clk) 403 | begin 404 | if((image_enable == 0) && (test_enable == 0)) 405 | begin 406 | if(con2_enable) 407 | begin 408 | if(rst) 409 | begin 410 | con2_in1_addr <= 16'b0000_0000_0000_0000; 411 | con2_in2_addr <= 16'b0000_0000_0000_0001; 412 | con2_in3_addr <= 16'b0000_0000_0000_0010; 413 | con2_in4_addr <= 16'b0000_0000_1000_0000; 414 | con2_in5_addr <= 16'b0000_0000_1000_0001; 415 | con2_in6_addr <= 16'b0000_0000_1000_0010; 416 | con2_in7_addr <= 16'b0000_0001_0000_0000; 417 | con2_in8_addr <= 16'b0000_0001_0000_0001; 418 | con2_in9_addr <= 16'b0000_0001_0000_0010; 419 | con2_in10_addr <= 16'b0000_0000_0000_0000; 420 | con2_in11_addr <= 16'b0000_0000_0000_0001; 421 | con2_in12_addr <= 16'b0000_0000_0000_0010; 422 | con2_in13_addr <= 16'b0000_0000_1000_0000; 423 | con2_in14_addr <= 16'b0000_0000_1000_0001; 424 | con2_in15_addr <= 16'b0000_0000_1000_0010; 425 | con2_in16_addr <= 16'b0000_0001_0000_0000; 426 | con2_in17_addr <= 16'b0000_0001_0000_0001; 427 | con2_in18_addr <= 16'b0000_0001_0000_0010; 428 | end 429 | if(finished_con2) 430 | begin 431 | con2[con2_addr] = con2_final; 432 | con2_addr = con2_addr + 1'b1; 433 | if((con2_in9_addr == 16383) && (con2_in18_addr == 16383)) 434 | begin 435 | con2_enable <= 0; 436 | end 437 | con2_counter = con2_counter + 1; 438 | if(con2_counter == 127) 439 | begin 440 | con2_in1_addr <=con2_in1_addr + 16'b0000_0000_0000_0011; 441 | con2_in2_addr <=con2_in2_addr + 16'b0000_0000_0000_0011; 442 | con2_in3_addr <=con2_in3_addr + 16'b0000_0000_0000_0011; 443 | con2_in4_addr <=con2_in4_addr + 16'b0000_0000_0000_0011; 444 | con2_in5_addr <=con2_in5_addr + 16'b0000_0000_0000_0011; 445 | con2_in6_addr <=con2_in6_addr + 16'b0000_0000_0000_0011; 446 | con2_in7_addr <=con2_in7_addr + 16'b0000_0000_0000_0011; 447 | con2_in8_addr <=con2_in8_addr + 16'b0000_0000_0000_0011; 448 | con2_in9_addr <=con2_in9_addr + 16'b0000_0000_0000_0011; 449 | con2_in10_addr <=con2_in10_addr + 16'b0000_0000_0000_0011; 450 | con2_in11_addr <=con2_in11_addr + 16'b0000_0000_0000_0011; 451 | con2_in12_addr <=con2_in12_addr + 16'b0000_0000_0000_0011; 452 | con2_in13_addr <=con2_in13_addr + 16'b0000_0000_0000_0011; 453 | con2_in14_addr <=con2_in14_addr + 16'b0000_0000_0000_0011; 454 | con2_in15_addr <=con2_in15_addr + 16'b0000_0000_0000_0011; 455 | con2_in16_addr <=con2_in16_addr + 16'b0000_0000_0000_0011; 456 | con2_in17_addr <=con2_in17_addr + 16'b0000_0000_0000_0011; 457 | con2_in18_addr <=con2_in18_addr + 16'b0000_0000_0000_0011; 458 | con2_counter <= 16'b0000_0000_0000_0001; 459 | end 460 | else 461 | begin 462 | con2_in1_addr <=con2_in1_addr + 1'b1; 463 | con2_in2_addr <=con2_in2_addr + 1'b1; 464 | con2_in3_addr <=con2_in3_addr + 1'b1; 465 | con2_in4_addr <=con2_in4_addr + 1'b1; 466 | con2_in5_addr <=con2_in5_addr + 1'b1; 467 | con2_in6_addr <=con2_in6_addr + 1'b1; 468 | con2_in7_addr <=con2_in7_addr + 1'b1; 469 | con2_in8_addr <=con2_in8_addr + 1'b1; 470 | con2_in9_addr <=con2_in9_addr + 1'b1; 471 | con2_in10_addr <=con2_in10_addr + 1'b1; 472 | con2_in11_addr <=con2_in11_addr + 1'b1; 473 | con2_in12_addr <=con2_in12_addr + 1'b1; 474 | con2_in13_addr <=con2_in13_addr + 1'b1; 475 | con2_in14_addr <=con2_in14_addr + 1'b1; 476 | con2_in15_addr <=con2_in15_addr + 1'b1; 477 | con2_in16_addr <=con2_in16_addr + 1'b1; 478 | con2_in17_addr <=con2_in17_addr + 1'b1; 479 | con2_in18_addr <=con2_in18_addr + 1'b1; 480 | end 481 | end 482 | end 483 | end 484 | end 485 | 486 | //Convolution Stage 2 END///////////////////////////////////////////////////////////////////////////////////// 487 | 488 | //Max Pooling Start//////////////////////////////////////////////////////////////////////////////////// 489 | reg pool_enable; 490 | wire finished_pool; 491 | wire signed [15:0] pool_in1; 492 | wire signed [15:0] pool_in2; 493 | wire signed [15:0] pool_in3; 494 | wire signed [15:0] pool_in4; 495 | wire signed [15:0] pool_final; 496 | 497 | reg [15:0] pool_in1_addr; 498 | reg [15:0] pool_in2_addr; 499 | reg [15:0] pool_in3_addr; 500 | reg [15:0] pool_in4_addr; 501 | reg [15:0] pool_addr = 0; 502 | 503 | reg [15:0] pool_counter = 0; 504 | reg pool_stop = 1'b1; 505 | 506 | initial 507 | begin 508 | pool_in1_addr <= 16'b0000_0000_0000_0000; 509 | pool_in2_addr <= 16'b0000_0000_0000_0001; 510 | pool_in3_addr <= 16'b0000_0000_0101_1011; 511 | pool_in4_addr <= 16'b0000_0000_0101_1100; 512 | 513 | pool_enable = 1'b1; 514 | end 515 | 516 | maxpool MaxPooling(clk,pool_enable,pool_in1,pool_in2,pool_in3,pool_in4,pool_final,finished_pool); 517 | 518 | assign pool_in1 = ((con2[pool_in1_addr])); 519 | assign pool_in2 = ((con2[pool_in2_addr])); 520 | assign pool_in3 = ((con2[pool_in3_addr])); 521 | assign pool_in4 = ((con2[pool_in4_addr])); 522 | 523 | always @(posedge clk) 524 | begin 525 | if(con2_enable == 0) 526 | begin 527 | if(pool_stop) 528 | begin 529 | if(rst) 530 | begin 531 | pool_in1_addr <= 16'b0000_0000_0000_0000; 532 | pool_in2_addr <= 16'b0000_0000_0000_0001; 533 | pool_in3_addr <= 16'b0000_0000_0111_1110; 534 | pool_in4_addr <= 16'b0000_0000_0111_1111; 535 | end 536 | if(finished_pool) 537 | begin 538 | pool[pool_addr] = pool_final; 539 | pool_addr = pool_addr + 1'b1; 540 | if(pool_in4_addr == 7759) 541 | begin 542 | pool_stop = 0; 543 | pool_enable = 0; 544 | end 545 | pool_counter = pool_counter + 1; 546 | if(pool_counter == 90) 547 | begin 548 | pool_in1_addr <=pool_in1_addr + 16'b0000_0000_0000_0010; 549 | pool_in2_addr <=pool_in2_addr + 16'b0000_0000_0000_0010; 550 | pool_in3_addr <=pool_in3_addr + 16'b0000_0000_0000_0010; 551 | pool_in4_addr <=pool_in4_addr + 16'b0000_0000_0000_0010; 552 | pool_counter <= 16'b0000_0000_0000_0001; 553 | end 554 | else 555 | begin 556 | pool_in1_addr <= pool_in1_addr + 1'b1; 557 | pool_in2_addr <= pool_in2_addr + 1'b1; 558 | pool_in3_addr <= pool_in3_addr + 1'b1; 559 | pool_in4_addr <= pool_in4_addr + 1'b1; 560 | end 561 | end 562 | end 563 | end 564 | end 565 | 566 | //Max Pooling END//////////////////////////////////////////////////////////////////////////////////// 567 | /* 568 | //Write to File START///////////////////////////////////////////////////////////////////////////////////// 569 | integer outfile; 570 | reg [15:0] file_counter = 0; 571 | initial 572 | begin 573 | outfile = $fopen("C:/Users/halok/Desktop/School/Grad VLSI/pool.txt","w"); 574 | end 575 | 576 | always@(posedge clk) 577 | begin 578 | if(pool_stop == 0) 579 | begin 580 | if(file_counter < 7759) 581 | begin 582 | $fwrite(outfile,"%d\n",pool[file_counter]); 583 | file_counter = file_counter + 1'b1; 584 | end 585 | else 586 | begin 587 | $fclose(outfile); 588 | end 589 | end 590 | end 591 | 592 | //Write to File End///////////////////////////////////////////////////////////////////////////////// 593 | */ 594 | endmodule 595 | 596 | -------------------------------------------------------------------------------- /maxpool.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | ////////////////////////////////////////////////////////////////////////////////// 3 | // Maxpooling of Second Stage Convolution 4 | ////////////////////////////////////////////////////////////////////////////////// 5 | 6 | 7 | module maxpool( 8 | input clk, 9 | input enable, 10 | input signed [15:0] in1, 11 | input signed [15:0] in2, 12 | input signed [15:0] in3, 13 | input signed [15:0] in4, 14 | output reg signed [15:0] final, 15 | output reg signed pool_finished 16 | ); 17 | 18 | reg signed [21:0] temp1; 19 | reg signed [21:0] temp2; 20 | 21 | always@(posedge clk) 22 | if(enable) 23 | begin 24 | if(in1 < in2) 25 | begin 26 | temp1 <= in2; 27 | end 28 | else 29 | begin 30 | temp1 <= in1; 31 | end 32 | if(in1 == in2) 33 | begin 34 | temp1 <= in1; 35 | end 36 | if(in3 < in4) 37 | begin 38 | temp2 <= in4; 39 | end 40 | else 41 | begin 42 | temp2 <= in3; 43 | end 44 | if(in3 == in4) 45 | begin 46 | temp2 <= in3; 47 | end 48 | if(temp1 < temp2) 49 | begin 50 | final <= temp2; 51 | pool_finished <= 1; 52 | 53 | end 54 | else 55 | begin 56 | final <= temp1; 57 | pool_finished <= 1; 58 | end 59 | if(temp1 == temp2) 60 | begin 61 | final <= temp1; 62 | pool_finished <= 1; 63 | end 64 | end 65 | endmodule 66 | --------------------------------------------------------------------------------