├── MIPS汇编语言程序设计实验 ├── 18329015-郝裕玮-实验一.doc ├── 18329015-郝裕玮-实验一.pdf └── 实验一.asm ├── README.md ├── 单周期CPU ├── 18329015 郝裕玮 02 单周期CPU.pdf ├── Abacus_Verilog_SrcFiles │ ├── Adder_Subtractor.v │ ├── Basys3_Abacus_Top.v │ ├── Binary_to_BCD_B1_bcdout1.v │ ├── Binary_to_BCD_B2_bcdout2.v │ ├── Binary_to_BCD_B_bcdout.v │ ├── Display_QU.v │ ├── Display_REM.v │ ├── Divider.v │ ├── README.txt │ ├── Seg_7_Display.v │ ├── Segment_Scroll.v │ ├── multi_4_4_pp0.v │ ├── multi_4_4_pp1.v │ ├── multi_4_4_pp2.v │ └── multi_4_4_pp3.v ├── Single-Cycle-CPU │ ├── SingleCPU.cache │ │ └── wt │ │ │ ├── gui_handlers.wdf │ │ │ ├── java_command_handlers.wdf │ │ │ ├── project.wpc │ │ │ ├── synthesis.wdf │ │ │ ├── synthesis_details.wdf │ │ │ ├── webtalk_pa.xml │ │ │ └── xsim.wdf │ ├── SingleCPU.hw │ │ ├── SingleCPU.lpr │ │ └── hw_1 │ │ │ └── hw.xml │ ├── SingleCPU.ip_user_files │ │ └── README.txt │ ├── SingleCPU.runs │ │ ├── .jobs │ │ │ ├── vrs_config_1.xml │ │ │ ├── vrs_config_2.xml │ │ │ ├── vrs_config_3.xml │ │ │ ├── vrs_config_4.xml │ │ │ ├── vrs_config_5.xml │ │ │ ├── vrs_config_6.xml │ │ │ └── vrs_config_7.xml │ │ ├── impl_1 │ │ │ ├── .Vivado_Implementation.queue.rst │ │ │ ├── .init_design.begin.rst │ │ │ ├── .init_design.end.rst │ │ │ ├── .opt_design.begin.rst │ │ │ ├── .opt_design.end.rst │ │ │ ├── .phys_opt_design.begin.rst │ │ │ ├── .phys_opt_design.end.rst │ │ │ ├── .place_design.begin.rst │ │ │ ├── .place_design.end.rst │ │ │ ├── .route_design.begin.rst │ │ │ ├── .route_design.end.rst │ │ │ ├── .vivado.begin.rst │ │ │ ├── .vivado.end.rst │ │ │ ├── .write_bitstream.begin.rst │ │ │ ├── .write_bitstream.end.rst │ │ │ ├── Basy3.bit │ │ │ ├── Basy3.tcl │ │ │ ├── Basy3.vdi │ │ │ ├── Basy3_bus_skew_routed.pb │ │ │ ├── Basy3_bus_skew_routed.rpt │ │ │ ├── Basy3_bus_skew_routed.rpx │ │ │ ├── Basy3_clock_utilization_routed.rpt │ │ │ ├── Basy3_control_sets_placed.rpt │ │ │ ├── Basy3_drc_opted.pb │ │ │ ├── Basy3_drc_opted.rpt │ │ │ ├── Basy3_drc_opted.rpx │ │ │ ├── Basy3_drc_routed.pb │ │ │ ├── Basy3_drc_routed.rpt │ │ │ ├── Basy3_drc_routed.rpx │ │ │ ├── Basy3_io_placed.rpt │ │ │ ├── Basy3_methodology_drc_routed.pb │ │ │ ├── Basy3_methodology_drc_routed.rpt │ │ │ ├── Basy3_methodology_drc_routed.rpx │ │ │ ├── Basy3_opt.dcp │ │ │ ├── Basy3_physopt.dcp │ │ │ ├── Basy3_placed.dcp │ │ │ ├── Basy3_power_routed.rpt │ │ │ ├── Basy3_power_routed.rpx │ │ │ ├── Basy3_power_summary_routed.pb │ │ │ ├── Basy3_route_status.pb │ │ │ ├── Basy3_route_status.rpt │ │ │ ├── Basy3_routed.dcp │ │ │ ├── Basy3_timing_summary_routed.pb │ │ │ ├── Basy3_timing_summary_routed.rpt │ │ │ ├── Basy3_timing_summary_routed.rpx │ │ │ ├── Basy3_utilization_placed.pb │ │ │ ├── Basy3_utilization_placed.rpt │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── init_design.pb │ │ │ ├── opt_design.pb │ │ │ ├── phys_opt_design.pb │ │ │ ├── place_design.pb │ │ │ ├── project.wdf │ │ │ ├── route_design.pb │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── usage_statistics_webtalk.html │ │ │ ├── usage_statistics_webtalk.xml │ │ │ ├── vivado.jou │ │ │ ├── vivado.pb │ │ │ └── write_bitstream.pb │ │ └── synth_1 │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ ├── .Xil │ │ │ └── Basy3_propImpl.xdc │ │ │ ├── .vivado.begin.rst │ │ │ ├── .vivado.end.rst │ │ │ ├── Basy3.dcp │ │ │ ├── Basy3.tcl │ │ │ ├── Basy3.vds │ │ │ ├── Basy3_utilization_synth.pb │ │ │ ├── Basy3_utilization_synth.rpt │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── __synthesis_is_complete__ │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── vivado.jou │ │ │ └── vivado.pb │ ├── SingleCPU.sim │ │ └── sim_1 │ │ │ └── behav │ │ │ └── xsim │ │ │ ├── compile.bat │ │ │ ├── compile.log │ │ │ ├── elaborate.bat │ │ │ ├── elaborate.log │ │ │ ├── glbl.v │ │ │ ├── sim.tcl │ │ │ ├── sim_behav.wdb │ │ │ ├── sim_vlog.prj │ │ │ ├── simulate.bat │ │ │ ├── simulate.log │ │ │ ├── webtalk.jou │ │ │ ├── webtalk.log │ │ │ ├── webtalk_12628.backup.jou │ │ │ ├── webtalk_12628.backup.log │ │ │ ├── webtalk_12764.backup.jou │ │ │ ├── webtalk_12764.backup.log │ │ │ ├── webtalk_13232.backup.jou │ │ │ ├── webtalk_13232.backup.log │ │ │ ├── webtalk_13564.backup.jou │ │ │ ├── webtalk_13564.backup.log │ │ │ ├── webtalk_8632.backup.jou │ │ │ ├── webtalk_8632.backup.log │ │ │ ├── xelab.pb │ │ │ ├── xsim.dir │ │ │ ├── sim_behav │ │ │ │ ├── Compile_Options.txt │ │ │ │ ├── TempBreakPointFile.txt │ │ │ │ ├── obj │ │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ │ ├── xsim_1.c │ │ │ │ │ └── xsim_1.win64.obj │ │ │ │ ├── webtalk │ │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ │ └── usage_statistics_ext_xsim.xml │ │ │ │ ├── xsim.dbg │ │ │ │ ├── xsim.mem │ │ │ │ ├── xsim.reloc │ │ │ │ ├── xsim.rlx │ │ │ │ ├── xsim.rtti │ │ │ │ ├── xsim.svtype │ │ │ │ ├── xsim.type │ │ │ │ ├── xsim.xdbg │ │ │ │ ├── xsimSettings.ini │ │ │ │ ├── xsimcrash.log │ │ │ │ ├── xsimk.exe │ │ │ │ └── xsimkernel.log │ │ │ └── xil_defaultlib │ │ │ │ ├── @a@l@u.sdb │ │ │ │ ├── @adder.sdb │ │ │ │ ├── @c@p@u.sdb │ │ │ │ ├── @control@unit.sdb │ │ │ │ ├── @data@mem.sdb │ │ │ │ ├── @ins@mem.sdb │ │ │ │ ├── @ins@select.sdb │ │ │ │ ├── @p@c.sdb │ │ │ │ ├── @register@file.sdb │ │ │ │ ├── glbl.sdb │ │ │ │ ├── sign_zero_extend.sdb │ │ │ │ ├── sim.sdb │ │ │ │ └── xil_defaultlib.rlx │ │ │ ├── xsim.ini │ │ │ ├── xvlog.log │ │ │ └── xvlog.pb │ ├── SingleCPU.srcs │ │ ├── sim_1 │ │ │ └── new │ │ │ │ └── sim.v │ │ └── sources_1 │ │ │ └── new │ │ │ ├── ALU.v │ │ │ ├── Adder.v │ │ │ ├── Basy3.v │ │ │ ├── CPU.v │ │ │ ├── ControlUnit.v │ │ │ ├── DataMem.v │ │ │ ├── InsMem.v │ │ │ ├── InsSelect.v │ │ │ ├── PC.v │ │ │ ├── RegisterFile.v │ │ │ ├── Untitled-1.c │ │ │ ├── clk_show.v │ │ │ ├── display.v │ │ │ ├── remove_shake.v │ │ │ └── sign_zero_extend.v │ ├── SingleCPU.xpr │ ├── vivado.jou │ ├── vivado.log │ ├── vivado_11252.backup.jou │ ├── vivado_11252.backup.log │ ├── vivado_12564.backup.jou │ ├── vivado_12564.backup.log │ ├── vivado_2756.backup.jou │ ├── vivado_2756.backup.log │ ├── vivado_7748.backup.jou │ ├── vivado_7748.backup.log │ ├── vivado_8720.backup.jou │ └── vivado_8720.backup.log ├── 单周期CPU实验报告.doc └── 测试代码段.docx └── 多周期CPU ├── 18329015 郝裕玮 03 多周期CPU.pdf ├── 18329015-郝裕玮-多周期CPU.doc ├── Multiple-Cycle-CPU ├── Multiple-Cycle-CPU.cache │ └── wt │ │ ├── gui_handlers.wdf │ │ ├── java_command_handlers.wdf │ │ ├── project.wpc │ │ ├── synthesis.wdf │ │ ├── synthesis_details.wdf │ │ ├── webtalk_pa.xml │ │ └── xsim.wdf ├── Multiple-Cycle-CPU.hw │ ├── Multiple-Cycle-CPU.lpr │ └── hw_1 │ │ └── hw.xml ├── Multiple-Cycle-CPU.ip_user_files │ └── README.txt ├── Multiple-Cycle-CPU.runs │ ├── .jobs │ │ ├── vrs_config_1.xml │ │ ├── vrs_config_2.xml │ │ ├── vrs_config_3.xml │ │ ├── vrs_config_4.xml │ │ ├── vrs_config_5.xml │ │ ├── vrs_config_6.xml │ │ ├── vrs_config_7.xml │ │ └── vrs_config_8.xml │ ├── impl_1 │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── TOP_CPU.tcl │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── project.wdf │ │ ├── rundef.js │ │ ├── runme.bat │ │ └── runme.sh │ └── synth_1 │ │ ├── .Vivado_Synthesis.queue.rst │ │ ├── .Xil │ │ └── Vivado-11840-LAPTOP-K6ETJT1R │ │ │ ├── .lpr │ │ │ ├── realtime │ │ │ ├── TOP_CPU.tcl │ │ │ └── dupFiles.rpt │ │ │ └── wt │ │ │ └── project.wpc │ │ ├── .vivado.begin.rst │ │ ├── .vivado.error.rst │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── TOP_CPU.tcl │ │ ├── TOP_CPU.vds │ │ ├── __synthesis_is_running__ │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── vivado.jou │ │ └── vivado.pb ├── Multiple-Cycle-CPU.sim │ └── sim_1 │ │ └── behav │ │ └── xsim │ │ ├── compile.bat │ │ ├── compile.log │ │ ├── elaborate.bat │ │ ├── elaborate.log │ │ ├── glbl.v │ │ ├── sim.tcl │ │ ├── sim_behav.wdb │ │ ├── sim_vlog.prj │ │ ├── simulate.bat │ │ ├── simulate.log │ │ ├── webtalk.jou │ │ ├── webtalk.log │ │ ├── webtalk_10220.backup.jou │ │ ├── webtalk_10220.backup.log │ │ ├── webtalk_11028.backup.jou │ │ ├── webtalk_11028.backup.log │ │ ├── webtalk_1860.backup.jou │ │ ├── webtalk_1860.backup.log │ │ ├── webtalk_7364.backup.jou │ │ ├── webtalk_7364.backup.log │ │ ├── xelab.pb │ │ ├── xsim.dir │ │ ├── sim_behav │ │ │ ├── Compile_Options.txt │ │ │ ├── TempBreakPointFile.txt │ │ │ ├── obj │ │ │ │ ├── xsim_0.win64.obj │ │ │ │ ├── xsim_1.c │ │ │ │ └── xsim_1.win64.obj │ │ │ ├── webtalk │ │ │ │ ├── .xsim_webtallk.info │ │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ │ └── usage_statistics_ext_xsim.xml │ │ │ ├── xsim.dbg │ │ │ ├── xsim.mem │ │ │ ├── xsim.reloc │ │ │ ├── xsim.rlx │ │ │ ├── xsim.rtti │ │ │ ├── xsim.svtype │ │ │ ├── xsim.type │ │ │ ├── xsim.xdbg │ │ │ ├── xsimSettings.ini │ │ │ ├── xsimcrash.log │ │ │ ├── xsimk.exe │ │ │ └── xsimkernel.log │ │ └── xil_defaultlib │ │ │ ├── @a@l@u.sdb │ │ │ ├── @adder.sdb │ │ │ ├── @c@p@u.sdb │ │ │ ├── @control@unit.sdb │ │ │ ├── @data@mem.sdb │ │ │ ├── @ins@mem.sdb │ │ │ ├── @left@shift2.sdb │ │ │ ├── @mux2.sdb │ │ │ ├── @mux3.sdb │ │ │ ├── @mux4.sdb │ │ │ ├── @p@c.sdb │ │ │ ├── @register@file.sdb │ │ │ ├── @same@register.sdb │ │ │ ├── glbl.sdb │ │ │ ├── sign_zero_extend.sdb │ │ │ ├── sim.sdb │ │ │ └── xil_defaultlib.rlx │ │ ├── xsim.ini │ │ ├── xvlog.log │ │ └── xvlog.pb ├── Multiple-Cycle-CPU.srcs │ ├── constrs_1 │ │ └── new │ │ │ └── basy3Ports.xdc │ ├── sim_1 │ │ └── new │ │ │ └── sim.v │ └── sources_1 │ │ └── new │ │ ├── ALU.v │ │ ├── Adder.v │ │ ├── CPU.v │ │ ├── ControlUnit.v │ │ ├── DataMem.v │ │ ├── Extend.v │ │ ├── InsMem.v │ │ ├── LeftShift2.v │ │ ├── Mux2.v │ │ ├── Mux3.v │ │ ├── Mux4.v │ │ ├── PC.v │ │ ├── RegisterFile.v │ │ ├── SameRegister.v │ │ ├── TOP_CPU.v │ │ ├── clk_slow.v │ │ ├── display.v │ │ ├── remove_shake.v │ │ └── sign_zero_extend.v ├── Multiple-Cycle-CPU.xpr ├── vivado.jou ├── vivado.log ├── vivado_11744.backup.jou ├── vivado_11744.backup.log ├── vivado_14248.backup.jou ├── vivado_14248.backup.log ├── vivado_5412.backup.jou ├── vivado_5412.backup.log ├── vivado_8908.backup.jou ├── vivado_8908.backup.log ├── vivado_9608.backup.jou └── vivado_9608.backup.log ├── instruction.txt ├── 汇编器 ├── Compiler.cpp ├── Compiler.exe ├── instruction.txt └── test.asm └── 测试代码段.docx /MIPS汇编语言程序设计实验/18329015-郝裕玮-实验一.doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/MIPS汇编语言程序设计实验/18329015-郝裕玮-实验一.doc -------------------------------------------------------------------------------- /MIPS汇编语言程序设计实验/18329015-郝裕玮-实验一.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/MIPS汇编语言程序设计实验/18329015-郝裕玮-实验一.pdf -------------------------------------------------------------------------------- /MIPS汇编语言程序设计实验/实验一.asm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/MIPS汇编语言程序设计实验/实验一.asm -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | # Computer-Composition-Principle-Experiment 2 | ## 2020计算机组成原理实验 3 | 授课教师:陈志广
4 | 仅供参考,杜绝抄袭!
5 | 如果对你有用的话,麻烦点个star~ 6 | -------------------------------------------------------------------------------- /单周期CPU/18329015 郝裕玮 02 单周期CPU.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/18329015 郝裕玮 02 单周期CPU.pdf -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/Adder_Subtractor.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/Adder_Subtractor.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/Basys3_Abacus_Top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/Basys3_Abacus_Top.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/Binary_to_BCD_B1_bcdout1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/Binary_to_BCD_B1_bcdout1.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/Binary_to_BCD_B2_bcdout2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/Binary_to_BCD_B2_bcdout2.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/Binary_to_BCD_B_bcdout.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/Binary_to_BCD_B_bcdout.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/Display_QU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/Display_QU.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/Display_REM.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/Display_REM.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/Divider.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/Divider.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/README.txt -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/Seg_7_Display.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/Seg_7_Display.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/Segment_Scroll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/Segment_Scroll.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/multi_4_4_pp0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/multi_4_4_pp0.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/multi_4_4_pp1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/multi_4_4_pp1.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/multi_4_4_pp2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/multi_4_4_pp2.v -------------------------------------------------------------------------------- /单周期CPU/Abacus_Verilog_SrcFiles/multi_4_4_pp3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Abacus_Verilog_SrcFiles/multi_4_4_pp3.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/gui_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/gui_handlers.wdf -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/java_command_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/java_command_handlers.wdf -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/project.wpc -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/synthesis.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/synthesis.wdf -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/synthesis_details.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/synthesis_details.wdf -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/xsim.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.cache/wt/xsim.wdf -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.hw/SingleCPU.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.hw/SingleCPU.lpr -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.hw/hw_1/hw.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.hw/hw_1/hw.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.ip_user_files/README.txt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_1.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_2.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_3.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_3.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_4.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_4.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_5.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_5.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_6.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_6.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_7.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/.jobs/vrs_config_7.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.Vivado_Implementation.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.init_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.init_design.begin.rst -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.init_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.opt_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.opt_design.begin.rst -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.phys_opt_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.phys_opt_design.begin.rst -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.phys_opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.place_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.place_design.begin.rst -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.place_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.route_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.route_design.begin.rst -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.route_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.vivado.begin.rst -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.write_bitstream.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.write_bitstream.begin.rst -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/.write_bitstream.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3.bit -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3.tcl -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3.vdi -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_bus_skew_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_bus_skew_routed.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_bus_skew_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_bus_skew_routed.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_bus_skew_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_bus_skew_routed.rpx -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_clock_utilization_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_clock_utilization_routed.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_control_sets_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_control_sets_placed.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_opted.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_opted.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_opted.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_opted.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_opted.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_opted.rpx -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_routed.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_routed.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_drc_routed.rpx -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_io_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_io_placed.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_methodology_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_methodology_drc_routed.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_methodology_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_methodology_drc_routed.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_methodology_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_methodology_drc_routed.rpx -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_opt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_opt.dcp -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_physopt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_physopt.dcp -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_placed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_placed.dcp -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_power_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_power_routed.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_power_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_power_routed.rpx -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_power_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_power_summary_routed.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_route_status.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_route_status.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_route_status.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_route_status.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_routed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_routed.dcp -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_timing_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_timing_summary_routed.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_timing_summary_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_timing_summary_routed.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_timing_summary_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_timing_summary_routed.rpx -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_utilization_placed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_utilization_placed.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_utilization_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/Basy3_utilization_placed.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/ISEWrap.js -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/ISEWrap.sh -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/gen_run.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/htr.txt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/init_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/init_design.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/opt_design.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/phys_opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/phys_opt_design.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/place_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/place_design.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/project.wdf -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/route_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/route_design.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/rundef.js -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/runme.bat -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/runme.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/runme.sh -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/usage_statistics_webtalk.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/usage_statistics_webtalk.html -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/usage_statistics_webtalk.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/usage_statistics_webtalk.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/vivado.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/vivado.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/write_bitstream.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/impl_1/write_bitstream.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/.Xil/Basy3_propImpl.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/.Xil/Basy3_propImpl.xdc -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/Basy3.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/Basy3.dcp -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/Basy3.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/Basy3.tcl -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/Basy3.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/Basy3.vds -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/Basy3_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/Basy3_utilization_synth.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/Basy3_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/Basy3_utilization_synth.rpt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/__synthesis_is_complete__: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/runme.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/compile.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/compile.bat -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/compile.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/compile.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/elaborate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/elaborate.bat -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/elaborate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/elaborate.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/glbl.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/sim.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/sim.tcl -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/sim_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/sim_behav.wdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/sim_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/sim_vlog.prj -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/simulate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/simulate.bat -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/simulate.log: -------------------------------------------------------------------------------- 1 | Vivado Simulator 2019.2 2 | Time resolution is 1 ps 3 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_12628.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_12628.backup.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_12628.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_12628.backup.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_12764.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_12764.backup.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_12764.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_12764.backup.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_13232.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_13232.backup.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_13232.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_13232.backup.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_13564.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_13564.backup.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_13564.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_13564.backup.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_8632.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_8632.backup.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_8632.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/webtalk_8632.backup.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xelab.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xelab.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/Compile_Options.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/Compile_Options.txt -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_0.win64.obj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_0.win64.obj -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.win64.obj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.win64.obj -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/.xsim_webtallk.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/.xsim_webtallk.info -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.html -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.xml -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.dbg -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.mem -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.reloc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.reloc -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.rlx -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.rtti: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.rtti -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.svtype: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.svtype -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.type: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.type -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.xdbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.xdbg -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimSettings.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimSettings.ini -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimk.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimk.exe -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimkernel.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimkernel.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@a@l@u.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@a@l@u.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@adder.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@adder.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@c@p@u.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@c@p@u.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@control@unit.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@control@unit.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@data@mem.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@data@mem.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@ins@mem.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@ins@mem.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@ins@select.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@ins@select.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@p@c.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@p@c.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@register@file.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@register@file.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/sign_zero_extend.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/sign_zero_extend.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/sim.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/sim.sdb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xsim.ini -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xvlog.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xvlog.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xvlog.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.sim/sim_1/behav/xsim/xvlog.pb -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sim_1/new/sim.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sim_1/new/sim.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/ALU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/ALU.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/Adder.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/Adder.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/Basy3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/Basy3.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/CPU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/CPU.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/ControlUnit.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/ControlUnit.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/DataMem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/DataMem.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/InsMem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/InsMem.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/InsSelect.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/InsSelect.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/PC.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/PC.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/RegisterFile.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/RegisterFile.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/Untitled-1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/Untitled-1.c -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/clk_show.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/clk_show.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/display.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/display.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/remove_shake.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/remove_shake.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/sign_zero_extend.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.srcs/sources_1/new/sign_zero_extend.v -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/SingleCPU.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/SingleCPU.xpr -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado_11252.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado_11252.backup.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado_11252.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado_11252.backup.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado_12564.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado_12564.backup.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado_12564.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado_12564.backup.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado_2756.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado_2756.backup.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado_2756.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado_2756.backup.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado_7748.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado_7748.backup.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado_7748.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado_7748.backup.log -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado_8720.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado_8720.backup.jou -------------------------------------------------------------------------------- /单周期CPU/Single-Cycle-CPU/vivado_8720.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/Single-Cycle-CPU/vivado_8720.backup.log -------------------------------------------------------------------------------- /单周期CPU/单周期CPU实验报告.doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/单周期CPU实验报告.doc -------------------------------------------------------------------------------- /单周期CPU/测试代码段.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/单周期CPU/测试代码段.docx -------------------------------------------------------------------------------- /多周期CPU/18329015 郝裕玮 03 多周期CPU.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/18329015 郝裕玮 03 多周期CPU.pdf -------------------------------------------------------------------------------- /多周期CPU/18329015-郝裕玮-多周期CPU.doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/18329015-郝裕玮-多周期CPU.doc -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/gui_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/gui_handlers.wdf -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/java_command_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/java_command_handlers.wdf -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/project.wpc -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/synthesis.wdf: -------------------------------------------------------------------------------- 1 | version:1 2 | -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/synthesis_details.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/synthesis_details.wdf -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/xsim.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.cache/wt/xsim.wdf -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.hw/Multiple-Cycle-CPU.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.hw/Multiple-Cycle-CPU.lpr -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.hw/hw_1/hw.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.hw/hw_1/hw.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.ip_user_files/README.txt -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_1.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_2.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_3.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_3.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_4.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_4.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_5.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_5.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_6.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_6.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_7.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_7.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_8.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/.jobs/vrs_config_8.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/ISEWrap.js -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/ISEWrap.sh -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/TOP_CPU.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/TOP_CPU.tcl -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/gen_run.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/htr.txt -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/project.wdf -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/rundef.js -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/runme.bat -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/impl_1/runme.sh -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.Xil/Vivado-11840-LAPTOP-K6ETJT1R/.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.Xil/Vivado-11840-LAPTOP-K6ETJT1R/.lpr -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.Xil/Vivado-11840-LAPTOP-K6ETJT1R/realtime/TOP_CPU.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.Xil/Vivado-11840-LAPTOP-K6ETJT1R/realtime/TOP_CPU.tcl -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.Xil/Vivado-11840-LAPTOP-K6ETJT1R/realtime/dupFiles.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.Xil/Vivado-11840-LAPTOP-K6ETJT1R/realtime/dupFiles.rpt -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.Xil/Vivado-11840-LAPTOP-K6ETJT1R/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.Xil/Vivado-11840-LAPTOP-K6ETJT1R/wt/project.wpc -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/.vivado.error.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/TOP_CPU.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/TOP_CPU.tcl -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/TOP_CPU.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/TOP_CPU.vds -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/__synthesis_is_running__: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/runme.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/compile.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/compile.bat -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/compile.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/elaborate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/elaborate.bat -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/elaborate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/elaborate.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/glbl.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/sim.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/sim.tcl -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/sim_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/sim_behav.wdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/sim_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/sim_vlog.prj -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/simulate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/simulate.bat -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/simulate.log: -------------------------------------------------------------------------------- 1 | Vivado Simulator 2019.2 2 | Time resolution is 1 ps 3 | -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_10220.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_10220.backup.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_10220.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_10220.backup.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_11028.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_11028.backup.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_11028.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_11028.backup.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_1860.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_1860.backup.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_1860.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_1860.backup.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_7364.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_7364.backup.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_7364.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/webtalk_7364.backup.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xelab.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xelab.pb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/Compile_Options.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/Compile_Options.txt -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_0.win64.obj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_0.win64.obj -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.win64.obj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.win64.obj -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/.xsim_webtallk.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/.xsim_webtallk.info -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.html -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.xml -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.dbg -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.mem -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.reloc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.reloc -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.rlx -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.rtti: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.rtti -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.svtype: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.svtype -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.type: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.type -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.xdbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.xdbg -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimSettings.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimSettings.ini -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimcrash.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimk.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimk.exe -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimkernel.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimkernel.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@a@l@u.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@a@l@u.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@adder.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@adder.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@c@p@u.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@c@p@u.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@control@unit.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@control@unit.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@data@mem.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@data@mem.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@ins@mem.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@ins@mem.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@left@shift2.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@left@shift2.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mux2.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mux2.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mux3.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mux3.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mux4.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mux4.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@p@c.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@p@c.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@register@file.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@register@file.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@same@register.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@same@register.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/sign_zero_extend.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/sign_zero_extend.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/sim.sdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/sim.sdb -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xsim.ini -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xvlog.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.sim/sim_1/behav/xsim/xvlog.pb: -------------------------------------------------------------------------------- 1 | 2 |  3 | 4 | End Record -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/constrs_1/new/basy3Ports.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/constrs_1/new/basy3Ports.xdc -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sim_1/new/sim.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sim_1/new/sim.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/ALU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/ALU.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/Adder.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/Adder.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/CPU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/CPU.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/ControlUnit.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/ControlUnit.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/DataMem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/DataMem.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/Extend.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/Extend.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/InsMem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/InsMem.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/LeftShift2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/LeftShift2.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/Mux2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/Mux2.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/Mux3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/Mux3.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/Mux4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/Mux4.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/PC.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/PC.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/RegisterFile.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/RegisterFile.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/SameRegister.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/SameRegister.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/TOP_CPU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/TOP_CPU.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/clk_slow.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/clk_slow.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/display.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/display.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/remove_shake.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/remove_shake.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/sign_zero_extend.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.srcs/sources_1/new/sign_zero_extend.v -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/Multiple-Cycle-CPU.xpr -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado_11744.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado_11744.backup.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado_11744.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado_11744.backup.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado_14248.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado_14248.backup.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado_14248.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado_14248.backup.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado_5412.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado_5412.backup.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado_5412.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado_5412.backup.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado_8908.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado_8908.backup.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado_8908.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado_8908.backup.log -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado_9608.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado_9608.backup.jou -------------------------------------------------------------------------------- /多周期CPU/Multiple-Cycle-CPU/vivado_9608.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/Multiple-Cycle-CPU/vivado_9608.backup.log -------------------------------------------------------------------------------- /多周期CPU/instruction.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/instruction.txt -------------------------------------------------------------------------------- /多周期CPU/汇编器/Compiler.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/汇编器/Compiler.cpp -------------------------------------------------------------------------------- /多周期CPU/汇编器/Compiler.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/汇编器/Compiler.exe -------------------------------------------------------------------------------- /多周期CPU/汇编器/instruction.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/汇编器/instruction.txt -------------------------------------------------------------------------------- /多周期CPU/汇编器/test.asm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/汇编器/test.asm -------------------------------------------------------------------------------- /多周期CPU/测试代码段.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/ConstHall/Computer-Composition-Principle-Experiment/HEAD/多周期CPU/测试代码段.docx --------------------------------------------------------------------------------