├── IO_LAB_nexysvideo ├── readme.md ├── sdk │ ├── .vscode │ │ └── settings.json │ ├── VERSION │ ├── board │ │ └── wujian100_open_evb │ │ │ ├── board_init.c │ │ │ ├── gcc_csky.ld │ │ │ └── include │ │ │ ├── pin.h │ │ │ ├── test_driver_config.h │ │ │ └── test_kernel_config.h │ ├── csi_core │ │ └── include │ │ │ ├── core_rv32.h │ │ │ ├── csi_core.h │ │ │ └── csi_rv32_gcc.h │ ├── csi_driver │ │ ├── include │ │ │ ├── drv_aes.h │ │ │ ├── drv_common.h │ │ │ ├── drv_crc.h │ │ │ ├── drv_dmac.h │ │ │ ├── drv_eflash.h │ │ │ ├── drv_errno.h │ │ │ ├── drv_gpio.h │ │ │ ├── drv_i2s.h │ │ │ ├── drv_iic.h │ │ │ ├── drv_intc.h │ │ │ ├── drv_irq.h │ │ │ ├── drv_pmu.h │ │ │ ├── drv_pwm.h │ │ │ ├── drv_rsa.h │ │ │ ├── drv_rtc.h │ │ │ ├── drv_sha.h │ │ │ ├── drv_spi.h │ │ │ ├── drv_spiflash.h │ │ │ ├── drv_timer.h │ │ │ ├── drv_trng.h │ │ │ ├── drv_usart.h │ │ │ └── drv_wdt.h │ │ └── wujian100_open │ │ │ ├── devices.c │ │ │ ├── include │ │ │ ├── drv_usi_iic.h │ │ │ ├── drv_usi_spi.h │ │ │ ├── drv_usi_usart.h │ │ │ ├── io.h │ │ │ ├── pin_name.h │ │ │ ├── pinmux.h │ │ │ ├── soc.h │ │ │ ├── sys_freq.h │ │ │ ├── usi_pin_planning.h │ │ │ ├── wj_dmac_v2.h │ │ │ ├── wj_oip_gpio.h │ │ │ ├── wj_oip_timer.h │ │ │ ├── wj_oip_wdt.h │ │ │ ├── wj_pwm.h │ │ │ ├── wj_rtc.h │ │ │ ├── wj_usi.h │ │ │ ├── wj_usi_iic.h │ │ │ ├── wj_usi_spi.h │ │ │ └── wj_usi_usart.h │ │ │ ├── isr.c │ │ │ ├── lib.c │ │ │ ├── novic_irq_tbl.c │ │ │ ├── pinmux.c │ │ │ ├── startup.S │ │ │ ├── sys_freq.c │ │ │ ├── system.c │ │ │ ├── trap_c.c │ │ │ ├── vectors.S │ │ │ ├── wj_dmac_v2.c │ │ │ ├── wj_irq.c │ │ │ ├── wj_oip_gpio.c │ │ │ ├── wj_oip_timer.c │ │ │ ├── wj_oip_wdt.c │ │ │ ├── wj_pwm.c │ │ │ ├── wj_rtc.c │ │ │ ├── wj_usi.c │ │ │ ├── wj_usi_iic.c │ │ │ ├── wj_usi_spi.c │ │ │ ├── wj_usi_usart.c │ │ │ └── wj_usi_wrap.c │ ├── csi_kernel │ │ ├── include │ │ │ └── csi_kernel.h │ │ └── rhino │ │ │ ├── adapter │ │ │ └── csi_rhino.c │ │ │ ├── arch │ │ │ ├── include │ │ │ │ ├── k_config.h │ │ │ │ ├── k_types.h │ │ │ │ └── port.h │ │ │ └── riscv │ │ │ │ ├── cpu_impl.c │ │ │ │ ├── csky_sched.c │ │ │ │ ├── port_c.c │ │ │ │ └── port_s.S │ │ │ ├── board │ │ │ ├── board_cpu_pwr.c │ │ │ ├── board_cpu_pwr_rtc.c │ │ │ ├── board_cpu_pwr_systick.c │ │ │ └── board_cpu_pwr_timer.c │ │ │ ├── common │ │ │ ├── k_atomic.c │ │ │ ├── k_atomic.h │ │ │ ├── k_cpuset.h │ │ │ ├── k_ffs.c │ │ │ ├── k_ffs.h │ │ │ ├── k_fifo.c │ │ │ └── k_trace.c │ │ │ ├── core │ │ │ ├── include │ │ │ │ ├── k_api.h │ │ │ │ ├── k_bitmap.h │ │ │ │ ├── k_buf_queue.h │ │ │ │ ├── k_critical.h │ │ │ │ ├── k_default_config.h │ │ │ │ ├── k_endian.h │ │ │ │ ├── k_err.h │ │ │ │ ├── k_event.h │ │ │ │ ├── k_fifo.h │ │ │ │ ├── k_hook.h │ │ │ │ ├── k_internal.h │ │ │ │ ├── k_list.h │ │ │ │ ├── k_mm.h │ │ │ │ ├── k_mm_blk.h │ │ │ │ ├── k_mm_debug.h │ │ │ │ ├── k_mm_region.h │ │ │ │ ├── k_mutex.h │ │ │ │ ├── k_obj.h │ │ │ │ ├── k_queue.h │ │ │ │ ├── k_ringbuf.h │ │ │ │ ├── k_sched.h │ │ │ │ ├── k_sem.h │ │ │ │ ├── k_soc.h │ │ │ │ ├── k_stats.h │ │ │ │ ├── k_sys.h │ │ │ │ ├── k_task.h │ │ │ │ ├── k_task_sem.h │ │ │ │ ├── k_time.h │ │ │ │ ├── k_timer.h │ │ │ │ ├── k_trace.h │ │ │ │ └── k_workqueue.h │ │ │ ├── k_buf_queue.c │ │ │ ├── k_dyn_mem_proc.c │ │ │ ├── k_err.c │ │ │ ├── k_event.c │ │ │ ├── k_idle.c │ │ │ ├── k_mm.c │ │ │ ├── k_mm_blk.c │ │ │ ├── k_mm_debug.c │ │ │ ├── k_mutex.c │ │ │ ├── k_obj.c │ │ │ ├── k_pend.c │ │ │ ├── k_queue.c │ │ │ ├── k_ringbuf.c │ │ │ ├── k_sched.c │ │ │ ├── k_sem.c │ │ │ ├── k_stats.c │ │ │ ├── k_sys.c │ │ │ ├── k_task.c │ │ │ ├── k_task_sem.c │ │ │ ├── k_tick.c │ │ │ ├── k_time.c │ │ │ ├── k_timer.c │ │ │ └── k_workqueue.c │ │ │ ├── driver │ │ │ ├── coretim.h │ │ │ ├── hook_impl.c │ │ │ ├── hook_weak.c │ │ │ ├── systick.c │ │ │ └── yoc_impl.c │ │ │ └── pwrmgmt │ │ │ ├── cpu_pwr_api.h │ │ │ ├── cpu_pwr_config.h │ │ │ ├── cpu_pwr_hal_lib.h │ │ │ ├── cpu_pwr_lib.h │ │ │ ├── cpu_tickless.h │ │ │ ├── dl_list.h │ │ │ ├── pwr_debug.h │ │ │ └── pwr_state.h │ ├── libs │ │ ├── include │ │ │ ├── errno.h │ │ │ ├── mm.h │ │ │ ├── mm_queue.h │ │ │ ├── ringbuffer │ │ │ │ └── ringbuffer.h │ │ │ ├── sys │ │ │ │ └── _stdint.h │ │ │ ├── syslog.h │ │ │ ├── time.h │ │ │ └── umm_heap.h │ │ ├── libc │ │ │ ├── _init.c │ │ │ ├── clock_gettime.c │ │ │ ├── malloc.c │ │ │ └── minilibc_port.c │ │ ├── libnewlib_wrap.a │ │ ├── mm │ │ │ ├── dq_addlast.c │ │ │ ├── dq_rem.c │ │ │ ├── lib_mallinfo.c │ │ │ ├── mm_addfreechunk.c │ │ │ ├── mm_free.c │ │ │ ├── mm_initialize.c │ │ │ ├── mm_leak.c │ │ │ ├── mm_mallinfo.c │ │ │ ├── mm_malloc.c │ │ │ └── mm_size2ndx.c │ │ ├── ringbuffer │ │ │ └── ringbuffer.c │ │ └── syslog │ │ │ └── syslog.c │ ├── projects │ │ └── examples │ │ │ ├── core │ │ │ └── vic │ │ │ │ ├── CDK │ │ │ │ └── wujian100_open-vic.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ └── csi_config.h │ │ │ │ ├── example_vic.c │ │ │ │ └── sub.mk │ │ │ ├── driver │ │ │ ├── dmac │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-dmac.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_dmac.c │ │ │ │ └── sub.mk │ │ │ ├── gpio │ │ │ │ ├── CDK │ │ │ │ │ ├── .cdk │ │ │ │ │ │ ├── compilation.db │ │ │ │ │ │ ├── refactoring.db │ │ │ │ │ │ ├── wujian100_open-gpio.session │ │ │ │ │ │ └── wujian100_open-gpio.tags │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── Obj │ │ │ │ │ │ ├── .d │ │ │ │ │ │ ├── __rt_entry.S │ │ │ │ │ │ ├── gpio_example_gpio.d │ │ │ │ │ │ ├── gpio_example_gpio.o │ │ │ │ │ │ ├── libc__init.d │ │ │ │ │ │ ├── libc__init.o │ │ │ │ │ │ ├── libc_clock_gettime.d │ │ │ │ │ │ ├── libc_clock_gettime.o │ │ │ │ │ │ ├── libc_malloc.d │ │ │ │ │ │ ├── libc_malloc.o │ │ │ │ │ │ ├── libc_minilibc_port.d │ │ │ │ │ │ ├── libc_minilibc_port.o │ │ │ │ │ │ ├── mm_dq_addlast.d │ │ │ │ │ │ ├── mm_dq_addlast.o │ │ │ │ │ │ ├── mm_dq_rem.d │ │ │ │ │ │ ├── mm_dq_rem.o │ │ │ │ │ │ ├── mm_lib_mallinfo.d │ │ │ │ │ │ ├── mm_lib_mallinfo.o │ │ │ │ │ │ ├── mm_mm_addfreechunk.d │ │ │ │ │ │ ├── mm_mm_addfreechunk.o │ │ │ │ │ │ ├── mm_mm_free.d │ │ │ │ │ │ ├── mm_mm_free.o │ │ │ │ │ │ ├── mm_mm_initialize.d │ │ │ │ │ │ ├── mm_mm_initialize.o │ │ │ │ │ │ ├── mm_mm_leak.d │ │ │ │ │ │ ├── mm_mm_leak.o │ │ │ │ │ │ ├── mm_mm_mallinfo.d │ │ │ │ │ │ ├── mm_mm_mallinfo.o │ │ │ │ │ │ ├── mm_mm_malloc.d │ │ │ │ │ │ ├── mm_mm_malloc.o │ │ │ │ │ │ ├── mm_mm_size2ndx.d │ │ │ │ │ │ ├── mm_mm_size2ndx.o │ │ │ │ │ │ ├── ringbuffer_ringbuffer.d │ │ │ │ │ │ ├── ringbuffer_ringbuffer.o │ │ │ │ │ │ ├── syslog_syslog.d │ │ │ │ │ │ ├── syslog_syslog.o │ │ │ │ │ │ ├── wujian100_open-gpio.elf │ │ │ │ │ │ ├── wujian100_open_devices.d │ │ │ │ │ │ ├── wujian100_open_devices.o │ │ │ │ │ │ ├── wujian100_open_evb_board_init.d │ │ │ │ │ │ ├── wujian100_open_evb_board_init.o │ │ │ │ │ │ ├── wujian100_open_isr.d │ │ │ │ │ │ ├── wujian100_open_isr.o │ │ │ │ │ │ ├── wujian100_open_lib.d │ │ │ │ │ │ ├── wujian100_open_lib.o │ │ │ │ │ │ ├── wujian100_open_novic_irq_tbl.d │ │ │ │ │ │ ├── wujian100_open_novic_irq_tbl.o │ │ │ │ │ │ ├── wujian100_open_pinmux.d │ │ │ │ │ │ ├── wujian100_open_pinmux.o │ │ │ │ │ │ ├── wujian100_open_startup.d │ │ │ │ │ │ ├── wujian100_open_startup.o │ │ │ │ │ │ ├── wujian100_open_sys_freq.d │ │ │ │ │ │ ├── wujian100_open_sys_freq.o │ │ │ │ │ │ ├── wujian100_open_system.d │ │ │ │ │ │ ├── wujian100_open_system.o │ │ │ │ │ │ ├── wujian100_open_trap_c.d │ │ │ │ │ │ ├── wujian100_open_trap_c.o │ │ │ │ │ │ ├── wujian100_open_vectors.d │ │ │ │ │ │ ├── wujian100_open_vectors.o │ │ │ │ │ │ ├── wujian100_open_wj_dmac_v2.d │ │ │ │ │ │ ├── wujian100_open_wj_dmac_v2.o │ │ │ │ │ │ ├── wujian100_open_wj_irq.d │ │ │ │ │ │ ├── wujian100_open_wj_irq.o │ │ │ │ │ │ ├── wujian100_open_wj_oip_gpio.d │ │ │ │ │ │ ├── wujian100_open_wj_oip_gpio.o │ │ │ │ │ │ ├── wujian100_open_wj_oip_timer.d │ │ │ │ │ │ ├── wujian100_open_wj_oip_timer.o │ │ │ │ │ │ ├── wujian100_open_wj_oip_wdt.d │ │ │ │ │ │ ├── wujian100_open_wj_oip_wdt.o │ │ │ │ │ │ ├── wujian100_open_wj_pwm.d │ │ │ │ │ │ ├── wujian100_open_wj_pwm.o │ │ │ │ │ │ ├── wujian100_open_wj_rtc.d │ │ │ │ │ │ ├── wujian100_open_wj_rtc.o │ │ │ │ │ │ ├── wujian100_open_wj_usi.d │ │ │ │ │ │ ├── wujian100_open_wj_usi.o │ │ │ │ │ │ ├── wujian100_open_wj_usi_iic.d │ │ │ │ │ │ ├── wujian100_open_wj_usi_iic.o │ │ │ │ │ │ ├── wujian100_open_wj_usi_spi.d │ │ │ │ │ │ ├── wujian100_open_wj_usi_spi.o │ │ │ │ │ │ ├── wujian100_open_wj_usi_usart.d │ │ │ │ │ │ ├── wujian100_open_wj_usi_usart.o │ │ │ │ │ │ ├── wujian100_open_wj_usi_wrap.d │ │ │ │ │ │ └── wujian100_open_wj_usi_wrap.o │ │ │ │ │ ├── wujian100_open-gpio.cdkproj │ │ │ │ │ ├── wujian100_open-gpio.cdkws │ │ │ │ │ ├── wujian100_open-gpio.mk │ │ │ │ │ └── wujian100_open-gpio.txt │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_gpio.c │ │ │ │ └── sub.mk │ │ │ ├── iic │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-iic.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_iic.c │ │ │ │ └── sub.mk │ │ │ ├── pwm │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-pwm.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_pwm.c │ │ │ │ └── sub.mk │ │ │ ├── rtc │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-rtc.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_rtc.c │ │ │ │ └── sub.mk │ │ │ ├── spi │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-spi.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_spi.c │ │ │ │ ├── sub.mk │ │ │ │ ├── w25q64fv.c │ │ │ │ └── w25q64fv.h │ │ │ ├── timer │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-timer.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_timer.c │ │ │ │ └── sub.mk │ │ │ ├── usart │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-usart.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_usart.c │ │ │ │ └── sub.mk │ │ │ └── wdt │ │ │ │ ├── CDK │ │ │ │ └── wujian100_open-wdt.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ └── csi_config.h │ │ │ │ ├── example_wdt.c │ │ │ │ └── sub.mk │ │ │ └── hello_world │ │ │ ├── CDK │ │ │ ├── .cdk │ │ │ │ ├── compilation.db │ │ │ │ ├── refactoring.db │ │ │ │ ├── wujian100_open-hello_world.session │ │ │ │ └── wujian100_open-hello_world.tags │ │ │ ├── Makefile │ │ │ ├── cdkws.mk │ │ │ ├── wujian100_open-hello_world.cdkproj │ │ │ ├── wujian100_open-hello_world.cdkws │ │ │ ├── wujian100_open-hello_world.mk │ │ │ ├── wujian100_open-hello_world.modify.bat │ │ │ └── wujian100_open-hello_world.txt │ │ │ ├── LED │ │ │ ├── led.c │ │ │ └── led.h │ │ │ ├── Makefile │ │ │ ├── configs │ │ │ └── csi_config.h │ │ │ ├── key_gpio_intr │ │ │ ├── key.c │ │ │ └── key.h │ │ │ ├── main.c │ │ │ ├── oled128_32 │ │ │ ├── oled128_32.c │ │ │ ├── oled128_32.h │ │ │ └── oledfont.h │ │ │ ├── sd_driver │ │ │ ├── all.c │ │ │ ├── diskio.h │ │ │ ├── ff.c │ │ │ ├── ff.h │ │ │ ├── ffconf.h │ │ │ └── softspi_sd_mmc.c │ │ │ └── sub.mk │ └── utilities │ │ ├── aft_build.sh │ │ ├── flash.init │ │ └── gdb.init ├── wujian100_open_top.bin └── wujian100_open_top.bit ├── readme.md ├── rtthread_nexysvideo ├── readme.md ├── sdk │ ├── .vscode │ │ └── settings.json │ ├── board │ │ └── wujian100_open_evb │ │ │ ├── board_init.c │ │ │ ├── gcc_csky.ld │ │ │ └── include │ │ │ ├── pin.h │ │ │ ├── test_driver_config.h │ │ │ └── test_kernel_config.h │ ├── csi_core │ │ └── include │ │ │ ├── core_rv32.h │ │ │ ├── csi_core.h │ │ │ └── csi_rv32_gcc.h │ ├── csi_driver │ │ ├── include │ │ │ ├── drv_aes.h │ │ │ ├── drv_common.h │ │ │ ├── drv_crc.h │ │ │ ├── drv_dmac.h │ │ │ ├── drv_eflash.h │ │ │ ├── drv_errno.h │ │ │ ├── drv_gpio.h │ │ │ ├── drv_i2s.h │ │ │ ├── drv_iic.h │ │ │ ├── drv_intc.h │ │ │ ├── drv_irq.h │ │ │ ├── drv_pmu.h │ │ │ ├── drv_pwm.h │ │ │ ├── drv_rsa.h │ │ │ ├── drv_rtc.h │ │ │ ├── drv_sha.h │ │ │ ├── drv_spi.h │ │ │ ├── drv_spiflash.h │ │ │ ├── drv_timer.h │ │ │ ├── drv_trng.h │ │ │ ├── drv_usart.h │ │ │ └── drv_wdt.h │ │ └── wujian100_open │ │ │ ├── devices.c │ │ │ ├── include │ │ │ ├── drv_usi_iic.h │ │ │ ├── drv_usi_spi.h │ │ │ ├── drv_usi_usart.h │ │ │ ├── io.h │ │ │ ├── pin_name.h │ │ │ ├── pinmux.h │ │ │ ├── soc.h │ │ │ ├── sys_freq.h │ │ │ ├── usi_pin_planning.h │ │ │ ├── wj_dmac_v2.h │ │ │ ├── wj_oip_gpio.h │ │ │ ├── wj_oip_timer.h │ │ │ ├── wj_oip_wdt.h │ │ │ ├── wj_pwm.h │ │ │ ├── wj_rtc.h │ │ │ ├── wj_usi.h │ │ │ ├── wj_usi_iic.h │ │ │ ├── wj_usi_spi.h │ │ │ └── wj_usi_usart.h │ │ │ ├── isr.c │ │ │ ├── lib.c │ │ │ ├── novic_irq_tbl.c │ │ │ ├── pinmux.c │ │ │ ├── startup.S │ │ │ ├── sys_freq.c │ │ │ ├── system.c │ │ │ ├── trap_c.c │ │ │ ├── vectors.S │ │ │ ├── wj_dmac_v2.c │ │ │ ├── wj_irq.c │ │ │ ├── wj_oip_gpio.c │ │ │ ├── wj_oip_timer.c │ │ │ ├── wj_oip_wdt.c │ │ │ ├── wj_pwm.c │ │ │ ├── wj_rtc.c │ │ │ ├── wj_usi.c │ │ │ ├── wj_usi_iic.c │ │ │ ├── wj_usi_spi.c │ │ │ ├── wj_usi_usart.c │ │ │ └── wj_usi_wrap.c │ ├── csi_kernel │ │ ├── include │ │ │ └── csi_kernel.h │ │ └── rhino │ │ │ ├── adapter │ │ │ └── csi_rhino.c │ │ │ ├── arch │ │ │ ├── include │ │ │ │ ├── k_config.h │ │ │ │ ├── k_types.h │ │ │ │ └── port.h │ │ │ └── riscv │ │ │ │ ├── cpu_impl.c │ │ │ │ ├── csky_sched.c │ │ │ │ ├── port_c.c │ │ │ │ └── port_s.S │ │ │ ├── board │ │ │ ├── board_cpu_pwr.c │ │ │ ├── board_cpu_pwr_rtc.c │ │ │ ├── board_cpu_pwr_systick.c │ │ │ └── board_cpu_pwr_timer.c │ │ │ ├── common │ │ │ ├── k_atomic.c │ │ │ ├── k_atomic.h │ │ │ ├── k_cpuset.h │ │ │ ├── k_ffs.c │ │ │ ├── k_ffs.h │ │ │ ├── k_fifo.c │ │ │ └── k_trace.c │ │ │ ├── core │ │ │ ├── include │ │ │ │ ├── k_api.h │ │ │ │ ├── k_bitmap.h │ │ │ │ ├── k_buf_queue.h │ │ │ │ ├── k_critical.h │ │ │ │ ├── k_default_config.h │ │ │ │ ├── k_endian.h │ │ │ │ ├── k_err.h │ │ │ │ ├── k_event.h │ │ │ │ ├── k_fifo.h │ │ │ │ ├── k_hook.h │ │ │ │ ├── k_internal.h │ │ │ │ ├── k_list.h │ │ │ │ ├── k_mm.h │ │ │ │ ├── k_mm_blk.h │ │ │ │ ├── k_mm_debug.h │ │ │ │ ├── k_mm_region.h │ │ │ │ ├── k_mutex.h │ │ │ │ ├── k_obj.h │ │ │ │ ├── k_queue.h │ │ │ │ ├── k_ringbuf.h │ │ │ │ ├── k_sched.h │ │ │ │ ├── k_sem.h │ │ │ │ ├── k_soc.h │ │ │ │ ├── k_stats.h │ │ │ │ ├── k_sys.h │ │ │ │ ├── k_task.h │ │ │ │ ├── k_task_sem.h │ │ │ │ ├── k_time.h │ │ │ │ ├── k_timer.h │ │ │ │ ├── k_trace.h │ │ │ │ └── k_workqueue.h │ │ │ ├── k_buf_queue.c │ │ │ ├── k_dyn_mem_proc.c │ │ │ ├── k_err.c │ │ │ ├── k_event.c │ │ │ ├── k_idle.c │ │ │ ├── k_mm.c │ │ │ ├── k_mm_blk.c │ │ │ ├── k_mm_debug.c │ │ │ ├── k_mutex.c │ │ │ ├── k_obj.c │ │ │ ├── k_pend.c │ │ │ ├── k_queue.c │ │ │ ├── k_ringbuf.c │ │ │ ├── k_sched.c │ │ │ ├── k_sem.c │ │ │ ├── k_stats.c │ │ │ ├── k_sys.c │ │ │ ├── k_task.c │ │ │ ├── k_task_sem.c │ │ │ ├── k_tick.c │ │ │ ├── k_time.c │ │ │ ├── k_timer.c │ │ │ └── k_workqueue.c │ │ │ ├── driver │ │ │ ├── coretim.h │ │ │ ├── hook_impl.c │ │ │ ├── hook_weak.c │ │ │ ├── systick.c │ │ │ └── yoc_impl.c │ │ │ └── pwrmgmt │ │ │ ├── cpu_pwr_api.h │ │ │ ├── cpu_pwr_config.h │ │ │ ├── cpu_pwr_hal_lib.h │ │ │ ├── cpu_pwr_lib.h │ │ │ ├── cpu_tickless.h │ │ │ ├── dl_list.h │ │ │ ├── pwr_debug.h │ │ │ └── pwr_state.h │ ├── libs │ │ ├── include │ │ │ ├── errno.h │ │ │ ├── mm.h │ │ │ ├── mm_queue.h │ │ │ ├── ringbuffer │ │ │ │ └── ringbuffer.h │ │ │ ├── sys │ │ │ │ └── _stdint.h │ │ │ ├── syslog.h │ │ │ ├── time.h │ │ │ └── umm_heap.h │ │ ├── libc │ │ │ ├── _init.c │ │ │ ├── clock_gettime.c │ │ │ ├── malloc.c │ │ │ └── minilibc_port.c │ │ ├── libnewlib_wrap.a │ │ ├── mm │ │ │ ├── dq_addlast.c │ │ │ ├── dq_rem.c │ │ │ ├── lib_mallinfo.c │ │ │ ├── mm_addfreechunk.c │ │ │ ├── mm_free.c │ │ │ ├── mm_initialize.c │ │ │ ├── mm_leak.c │ │ │ ├── mm_mallinfo.c │ │ │ ├── mm_malloc.c │ │ │ └── mm_size2ndx.c │ │ ├── ringbuffer │ │ │ └── ringbuffer.c │ │ └── syslog │ │ │ └── syslog.c │ ├── projects │ │ └── examples │ │ │ ├── core │ │ │ └── vic │ │ │ │ ├── CDK │ │ │ │ └── wujian100_open-vic.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ └── csi_config.h │ │ │ │ ├── example_vic.c │ │ │ │ └── sub.mk │ │ │ ├── driver │ │ │ ├── dmac │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-dmac.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_dmac.c │ │ │ │ └── sub.mk │ │ │ ├── gpio │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-gpio.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_gpio.c │ │ │ │ └── sub.mk │ │ │ ├── iic │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-iic.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_iic.c │ │ │ │ └── sub.mk │ │ │ ├── pwm │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-pwm.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_pwm.c │ │ │ │ └── sub.mk │ │ │ ├── rtc │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-rtc.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_rtc.c │ │ │ │ └── sub.mk │ │ │ ├── spi │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-spi.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_spi.c │ │ │ │ ├── sub.mk │ │ │ │ ├── w25q64fv.c │ │ │ │ └── w25q64fv.h │ │ │ ├── timer │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-timer.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_timer.c │ │ │ │ └── sub.mk │ │ │ ├── usart │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-usart.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_usart.c │ │ │ │ └── sub.mk │ │ │ └── wdt │ │ │ │ ├── CDK │ │ │ │ └── wujian100_open-wdt.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ └── csi_config.h │ │ │ │ ├── example_wdt.c │ │ │ │ └── sub.mk │ │ │ └── hello_world │ │ │ ├── CDK │ │ │ ├── .cdk │ │ │ │ ├── compilation.db │ │ │ │ ├── refactoring.db │ │ │ │ ├── wujian100_open-hello_world.session │ │ │ │ └── wujian100_open-hello_world.tags │ │ │ ├── Makefile │ │ │ ├── Obj │ │ │ │ └── wujian100_open-hello_world.elf │ │ │ ├── cdkws.mk │ │ │ ├── panel │ │ │ ├── rttos2wujian100 │ │ │ │ ├── board.c │ │ │ │ ├── components │ │ │ │ │ └── finsh │ │ │ │ │ │ ├── cmd.c │ │ │ │ │ │ ├── finsh.h │ │ │ │ │ │ ├── finsh_api.h │ │ │ │ │ │ ├── msh.c │ │ │ │ │ │ ├── msh.h │ │ │ │ │ │ ├── shell.c │ │ │ │ │ │ └── shell.h │ │ │ │ ├── include │ │ │ │ │ ├── libc │ │ │ │ │ │ ├── libc_dirent.h │ │ │ │ │ │ ├── libc_errno.h │ │ │ │ │ │ ├── libc_fcntl.h │ │ │ │ │ │ ├── libc_fdset.h │ │ │ │ │ │ ├── libc_ioctl.h │ │ │ │ │ │ ├── libc_signal.h │ │ │ │ │ │ └── libc_stat.h │ │ │ │ │ ├── rtdbg.h │ │ │ │ │ ├── rtdebug.h │ │ │ │ │ ├── rtdef.h │ │ │ │ │ ├── rthw.h │ │ │ │ │ ├── rtlibc.h │ │ │ │ │ ├── rtm.h │ │ │ │ │ ├── rtservice.h │ │ │ │ │ └── rtthread.h │ │ │ │ ├── libcpu │ │ │ │ │ ├── common │ │ │ │ │ │ ├── context_gcc.S │ │ │ │ │ │ ├── cpuport.c │ │ │ │ │ │ ├── cpuport.h │ │ │ │ │ │ ├── riscv-ops.h │ │ │ │ │ │ └── riscv-plic.h │ │ │ │ │ └── e902_test │ │ │ │ │ │ └── interrupt_gcc.S │ │ │ │ ├── rtconfig.h │ │ │ │ └── src │ │ │ │ │ ├── clock.c │ │ │ │ │ ├── components.c │ │ │ │ │ ├── cpu.c │ │ │ │ │ ├── finsh_e902.c │ │ │ │ │ ├── idle.c │ │ │ │ │ ├── ipc.c │ │ │ │ │ ├── irq.c │ │ │ │ │ ├── kservice.c │ │ │ │ │ ├── mem.c │ │ │ │ │ ├── memheap.c │ │ │ │ │ ├── mempool.c │ │ │ │ │ ├── object.c │ │ │ │ │ ├── ringbuffer_RTtread.c │ │ │ │ │ ├── scheduler.c │ │ │ │ │ ├── slab.c │ │ │ │ │ ├── thread.c │ │ │ │ │ └── timer.c │ │ │ ├── wujian100_open-hello_world.cdkproj │ │ │ ├── wujian100_open-hello_world.cdkws │ │ │ ├── wujian100_open-hello_world.mk │ │ │ ├── wujian100_open-hello_world.modify.bat │ │ │ └── wujian100_open-hello_world.txt │ │ │ ├── Makefile │ │ │ ├── configs │ │ │ └── csi_config.h │ │ │ ├── main.c │ │ │ └── sub.mk │ └── utilities │ │ ├── aft_build.sh │ │ ├── flash.init │ │ └── gdb.init ├── wujian100_open_top.bin └── wujian100_open_top.bit ├── softmax_nexysvideo ├── pc_work │ ├── data_gen.py │ └── draw_error.py ├── readme.md ├── sdk │ ├── .vscode │ │ └── settings.json │ ├── VERSION │ ├── board │ │ └── wujian100_open_evb │ │ │ ├── board_init.c │ │ │ ├── gcc_csky.ld │ │ │ └── include │ │ │ ├── pin.h │ │ │ ├── test_driver_config.h │ │ │ └── test_kernel_config.h │ ├── csi_core │ │ └── include │ │ │ ├── core_rv32.h │ │ │ ├── csi_core.h │ │ │ └── csi_rv32_gcc.h │ ├── csi_driver │ │ ├── include │ │ │ ├── drv_aes.h │ │ │ ├── drv_common.h │ │ │ ├── drv_crc.h │ │ │ ├── drv_dmac.h │ │ │ ├── drv_eflash.h │ │ │ ├── drv_errno.h │ │ │ ├── drv_gpio.h │ │ │ ├── drv_i2s.h │ │ │ ├── drv_iic.h │ │ │ ├── drv_intc.h │ │ │ ├── drv_irq.h │ │ │ ├── drv_pmu.h │ │ │ ├── drv_pwm.h │ │ │ ├── drv_rsa.h │ │ │ ├── drv_rtc.h │ │ │ ├── drv_sha.h │ │ │ ├── drv_spi.h │ │ │ ├── drv_spiflash.h │ │ │ ├── drv_timer.h │ │ │ ├── drv_trng.h │ │ │ ├── drv_usart.h │ │ │ └── drv_wdt.h │ │ └── wujian100_open │ │ │ ├── devices.c │ │ │ ├── include │ │ │ ├── drv_usi_iic.h │ │ │ ├── drv_usi_spi.h │ │ │ ├── drv_usi_usart.h │ │ │ ├── io.h │ │ │ ├── pin_name.h │ │ │ ├── pinmux.h │ │ │ ├── soc.h │ │ │ ├── sys_freq.h │ │ │ ├── usi_pin_planning.h │ │ │ ├── wj_dmac_v2.h │ │ │ ├── wj_oip_gpio.h │ │ │ ├── wj_oip_timer.h │ │ │ ├── wj_oip_wdt.h │ │ │ ├── wj_pwm.h │ │ │ ├── wj_rtc.h │ │ │ ├── wj_usi.h │ │ │ ├── wj_usi_iic.h │ │ │ ├── wj_usi_spi.h │ │ │ └── wj_usi_usart.h │ │ │ ├── isr.c │ │ │ ├── lib.c │ │ │ ├── novic_irq_tbl.c │ │ │ ├── pinmux.c │ │ │ ├── startup.S │ │ │ ├── sys_freq.c │ │ │ ├── system.c │ │ │ ├── trap_c.c │ │ │ ├── vectors.S │ │ │ ├── wj_dmac_v2.c │ │ │ ├── wj_irq.c │ │ │ ├── wj_oip_gpio.c │ │ │ ├── wj_oip_timer.c │ │ │ ├── wj_oip_wdt.c │ │ │ ├── wj_pwm.c │ │ │ ├── wj_rtc.c │ │ │ ├── wj_usi.c │ │ │ ├── wj_usi_iic.c │ │ │ ├── wj_usi_spi.c │ │ │ ├── wj_usi_usart.c │ │ │ └── wj_usi_wrap.c │ ├── csi_kernel │ │ ├── include │ │ │ └── csi_kernel.h │ │ └── rhino │ │ │ ├── adapter │ │ │ └── csi_rhino.c │ │ │ ├── arch │ │ │ ├── include │ │ │ │ ├── k_config.h │ │ │ │ ├── k_types.h │ │ │ │ └── port.h │ │ │ └── riscv │ │ │ │ ├── cpu_impl.c │ │ │ │ ├── csky_sched.c │ │ │ │ ├── port_c.c │ │ │ │ └── port_s.S │ │ │ ├── board │ │ │ ├── board_cpu_pwr.c │ │ │ ├── board_cpu_pwr_rtc.c │ │ │ ├── board_cpu_pwr_systick.c │ │ │ └── board_cpu_pwr_timer.c │ │ │ ├── common │ │ │ ├── k_atomic.c │ │ │ ├── k_atomic.h │ │ │ ├── k_cpuset.h │ │ │ ├── k_ffs.c │ │ │ ├── k_ffs.h │ │ │ ├── k_fifo.c │ │ │ └── k_trace.c │ │ │ ├── core │ │ │ ├── include │ │ │ │ ├── k_api.h │ │ │ │ ├── k_bitmap.h │ │ │ │ ├── k_buf_queue.h │ │ │ │ ├── k_critical.h │ │ │ │ ├── k_default_config.h │ │ │ │ ├── k_endian.h │ │ │ │ ├── k_err.h │ │ │ │ ├── k_event.h │ │ │ │ ├── k_fifo.h │ │ │ │ ├── k_hook.h │ │ │ │ ├── k_internal.h │ │ │ │ ├── k_list.h │ │ │ │ ├── k_mm.h │ │ │ │ ├── k_mm_blk.h │ │ │ │ ├── k_mm_debug.h │ │ │ │ ├── k_mm_region.h │ │ │ │ ├── k_mutex.h │ │ │ │ ├── k_obj.h │ │ │ │ ├── k_queue.h │ │ │ │ ├── k_ringbuf.h │ │ │ │ ├── k_sched.h │ │ │ │ ├── k_sem.h │ │ │ │ ├── k_soc.h │ │ │ │ ├── k_stats.h │ │ │ │ ├── k_sys.h │ │ │ │ ├── k_task.h │ │ │ │ ├── k_task_sem.h │ │ │ │ ├── k_time.h │ │ │ │ ├── k_timer.h │ │ │ │ ├── k_trace.h │ │ │ │ └── k_workqueue.h │ │ │ ├── k_buf_queue.c │ │ │ ├── k_dyn_mem_proc.c │ │ │ ├── k_err.c │ │ │ ├── k_event.c │ │ │ ├── k_idle.c │ │ │ ├── k_mm.c │ │ │ ├── k_mm_blk.c │ │ │ ├── k_mm_debug.c │ │ │ ├── k_mutex.c │ │ │ ├── k_obj.c │ │ │ ├── k_pend.c │ │ │ ├── k_queue.c │ │ │ ├── k_ringbuf.c │ │ │ ├── k_sched.c │ │ │ ├── k_sem.c │ │ │ ├── k_stats.c │ │ │ ├── k_sys.c │ │ │ ├── k_task.c │ │ │ ├── k_task_sem.c │ │ │ ├── k_tick.c │ │ │ ├── k_time.c │ │ │ ├── k_timer.c │ │ │ └── k_workqueue.c │ │ │ ├── driver │ │ │ ├── coretim.h │ │ │ ├── hook_impl.c │ │ │ ├── hook_weak.c │ │ │ ├── systick.c │ │ │ └── yoc_impl.c │ │ │ └── pwrmgmt │ │ │ ├── cpu_pwr_api.h │ │ │ ├── cpu_pwr_config.h │ │ │ ├── cpu_pwr_hal_lib.h │ │ │ ├── cpu_pwr_lib.h │ │ │ ├── cpu_tickless.h │ │ │ ├── dl_list.h │ │ │ ├── pwr_debug.h │ │ │ └── pwr_state.h │ ├── libs │ │ ├── include │ │ │ ├── errno.h │ │ │ ├── mm.h │ │ │ ├── mm_queue.h │ │ │ ├── ringbuffer │ │ │ │ └── ringbuffer.h │ │ │ ├── sys │ │ │ │ └── _stdint.h │ │ │ ├── syslog.h │ │ │ ├── time.h │ │ │ └── umm_heap.h │ │ ├── libc │ │ │ ├── _init.c │ │ │ ├── clock_gettime.c │ │ │ ├── malloc.c │ │ │ └── minilibc_port.c │ │ ├── libnewlib_wrap.a │ │ ├── mm │ │ │ ├── dq_addlast.c │ │ │ ├── dq_rem.c │ │ │ ├── lib_mallinfo.c │ │ │ ├── mm_addfreechunk.c │ │ │ ├── mm_free.c │ │ │ ├── mm_initialize.c │ │ │ ├── mm_leak.c │ │ │ ├── mm_mallinfo.c │ │ │ ├── mm_malloc.c │ │ │ └── mm_size2ndx.c │ │ ├── ringbuffer │ │ │ └── ringbuffer.c │ │ └── syslog │ │ │ └── syslog.c │ ├── projects │ │ └── examples │ │ │ ├── core │ │ │ └── vic │ │ │ │ ├── CDK │ │ │ │ └── wujian100_open-vic.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ └── csi_config.h │ │ │ │ ├── example_vic.c │ │ │ │ └── sub.mk │ │ │ ├── driver │ │ │ ├── dmac │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-dmac.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_dmac.c │ │ │ │ └── sub.mk │ │ │ ├── gpio │ │ │ │ ├── CDK │ │ │ │ │ ├── .cdk │ │ │ │ │ │ ├── compilation.db │ │ │ │ │ │ ├── refactoring.db │ │ │ │ │ │ ├── wujian100_open-gpio.session │ │ │ │ │ │ └── wujian100_open-gpio.tags │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── Obj │ │ │ │ │ │ ├── .d │ │ │ │ │ │ ├── __rt_entry.S │ │ │ │ │ │ ├── gpio_example_gpio.d │ │ │ │ │ │ ├── gpio_example_gpio.o │ │ │ │ │ │ ├── libc__init.d │ │ │ │ │ │ ├── libc__init.o │ │ │ │ │ │ ├── libc_clock_gettime.d │ │ │ │ │ │ ├── libc_clock_gettime.o │ │ │ │ │ │ ├── libc_malloc.d │ │ │ │ │ │ ├── libc_malloc.o │ │ │ │ │ │ ├── libc_minilibc_port.d │ │ │ │ │ │ ├── libc_minilibc_port.o │ │ │ │ │ │ ├── mm_dq_addlast.d │ │ │ │ │ │ ├── mm_dq_addlast.o │ │ │ │ │ │ ├── mm_dq_rem.d │ │ │ │ │ │ ├── mm_dq_rem.o │ │ │ │ │ │ ├── mm_lib_mallinfo.d │ │ │ │ │ │ ├── mm_lib_mallinfo.o │ │ │ │ │ │ ├── mm_mm_addfreechunk.d │ │ │ │ │ │ ├── mm_mm_addfreechunk.o │ │ │ │ │ │ ├── mm_mm_free.d │ │ │ │ │ │ ├── mm_mm_free.o │ │ │ │ │ │ ├── mm_mm_initialize.d │ │ │ │ │ │ ├── mm_mm_initialize.o │ │ │ │ │ │ ├── mm_mm_leak.d │ │ │ │ │ │ ├── mm_mm_leak.o │ │ │ │ │ │ ├── mm_mm_mallinfo.d │ │ │ │ │ │ ├── mm_mm_mallinfo.o │ │ │ │ │ │ ├── mm_mm_malloc.d │ │ │ │ │ │ ├── mm_mm_malloc.o │ │ │ │ │ │ ├── mm_mm_size2ndx.d │ │ │ │ │ │ ├── mm_mm_size2ndx.o │ │ │ │ │ │ ├── ringbuffer_ringbuffer.d │ │ │ │ │ │ ├── ringbuffer_ringbuffer.o │ │ │ │ │ │ ├── syslog_syslog.d │ │ │ │ │ │ ├── syslog_syslog.o │ │ │ │ │ │ ├── wujian100_open-gpio.elf │ │ │ │ │ │ ├── wujian100_open_devices.d │ │ │ │ │ │ ├── wujian100_open_devices.o │ │ │ │ │ │ ├── wujian100_open_evb_board_init.d │ │ │ │ │ │ ├── wujian100_open_evb_board_init.o │ │ │ │ │ │ ├── wujian100_open_isr.d │ │ │ │ │ │ ├── wujian100_open_isr.o │ │ │ │ │ │ ├── wujian100_open_lib.d │ │ │ │ │ │ ├── wujian100_open_lib.o │ │ │ │ │ │ ├── wujian100_open_novic_irq_tbl.d │ │ │ │ │ │ ├── wujian100_open_novic_irq_tbl.o │ │ │ │ │ │ ├── wujian100_open_pinmux.d │ │ │ │ │ │ ├── wujian100_open_pinmux.o │ │ │ │ │ │ ├── wujian100_open_startup.d │ │ │ │ │ │ ├── wujian100_open_startup.o │ │ │ │ │ │ ├── wujian100_open_sys_freq.d │ │ │ │ │ │ ├── wujian100_open_sys_freq.o │ │ │ │ │ │ ├── wujian100_open_system.d │ │ │ │ │ │ ├── wujian100_open_system.o │ │ │ │ │ │ ├── wujian100_open_trap_c.d │ │ │ │ │ │ ├── wujian100_open_trap_c.o │ │ │ │ │ │ ├── wujian100_open_vectors.d │ │ │ │ │ │ ├── wujian100_open_vectors.o │ │ │ │ │ │ ├── wujian100_open_wj_dmac_v2.d │ │ │ │ │ │ ├── wujian100_open_wj_dmac_v2.o │ │ │ │ │ │ ├── wujian100_open_wj_irq.d │ │ │ │ │ │ ├── wujian100_open_wj_irq.o │ │ │ │ │ │ ├── wujian100_open_wj_oip_gpio.d │ │ │ │ │ │ ├── wujian100_open_wj_oip_gpio.o │ │ │ │ │ │ ├── wujian100_open_wj_oip_timer.d │ │ │ │ │ │ ├── wujian100_open_wj_oip_timer.o │ │ │ │ │ │ ├── wujian100_open_wj_oip_wdt.d │ │ │ │ │ │ ├── wujian100_open_wj_oip_wdt.o │ │ │ │ │ │ ├── wujian100_open_wj_pwm.d │ │ │ │ │ │ ├── wujian100_open_wj_pwm.o │ │ │ │ │ │ ├── wujian100_open_wj_rtc.d │ │ │ │ │ │ ├── wujian100_open_wj_rtc.o │ │ │ │ │ │ ├── wujian100_open_wj_usi.d │ │ │ │ │ │ ├── wujian100_open_wj_usi.o │ │ │ │ │ │ ├── wujian100_open_wj_usi_iic.d │ │ │ │ │ │ ├── wujian100_open_wj_usi_iic.o │ │ │ │ │ │ ├── wujian100_open_wj_usi_spi.d │ │ │ │ │ │ ├── wujian100_open_wj_usi_spi.o │ │ │ │ │ │ ├── wujian100_open_wj_usi_usart.d │ │ │ │ │ │ ├── wujian100_open_wj_usi_usart.o │ │ │ │ │ │ ├── wujian100_open_wj_usi_wrap.d │ │ │ │ │ │ └── wujian100_open_wj_usi_wrap.o │ │ │ │ │ ├── wujian100_open-gpio.cdkproj │ │ │ │ │ ├── wujian100_open-gpio.cdkws │ │ │ │ │ ├── wujian100_open-gpio.mk │ │ │ │ │ └── wujian100_open-gpio.txt │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_gpio.c │ │ │ │ └── sub.mk │ │ │ ├── iic │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-iic.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_iic.c │ │ │ │ └── sub.mk │ │ │ ├── pwm │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-pwm.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_pwm.c │ │ │ │ └── sub.mk │ │ │ ├── rtc │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-rtc.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_rtc.c │ │ │ │ └── sub.mk │ │ │ ├── spi │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-spi.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_spi.c │ │ │ │ ├── sub.mk │ │ │ │ ├── w25q64fv.c │ │ │ │ └── w25q64fv.h │ │ │ ├── timer │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-timer.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_timer.c │ │ │ │ └── sub.mk │ │ │ ├── usart │ │ │ │ ├── CDK │ │ │ │ │ └── wujian100_open-usart.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ │ └── csi_config.h │ │ │ │ ├── example_usart.c │ │ │ │ └── sub.mk │ │ │ └── wdt │ │ │ │ ├── CDK │ │ │ │ └── wujian100_open-wdt.cdkproj │ │ │ │ ├── Makefile │ │ │ │ ├── configs │ │ │ │ └── csi_config.h │ │ │ │ ├── example_wdt.c │ │ │ │ └── sub.mk │ │ │ ├── hello_world │ │ │ ├── CDK │ │ │ │ ├── .cdk │ │ │ │ │ ├── compilation.db │ │ │ │ │ ├── refactoring.db │ │ │ │ │ ├── wujian100_open-hello_world.session │ │ │ │ │ └── wujian100_open-hello_world.tags │ │ │ │ ├── Lst │ │ │ │ │ └── wujian100_open-hello_world.asm │ │ │ │ ├── Makefile │ │ │ │ ├── Obj │ │ │ │ │ ├── .d │ │ │ │ │ ├── __rt_entry.S │ │ │ │ │ ├── hello_world_main.d │ │ │ │ │ ├── hello_world_main.o │ │ │ │ │ ├── libc__init.d │ │ │ │ │ ├── libc__init.o │ │ │ │ │ ├── libc_clock_gettime.d │ │ │ │ │ ├── libc_clock_gettime.o │ │ │ │ │ ├── libc_malloc.d │ │ │ │ │ ├── libc_malloc.o │ │ │ │ │ ├── libc_minilibc_port.d │ │ │ │ │ ├── libc_minilibc_port.o │ │ │ │ │ ├── mm_dq_addlast.d │ │ │ │ │ ├── mm_dq_addlast.o │ │ │ │ │ ├── mm_dq_rem.d │ │ │ │ │ ├── mm_dq_rem.o │ │ │ │ │ ├── mm_lib_mallinfo.d │ │ │ │ │ ├── mm_lib_mallinfo.o │ │ │ │ │ ├── mm_mm_addfreechunk.d │ │ │ │ │ ├── mm_mm_addfreechunk.o │ │ │ │ │ ├── mm_mm_free.d │ │ │ │ │ ├── mm_mm_free.o │ │ │ │ │ ├── mm_mm_initialize.d │ │ │ │ │ ├── mm_mm_initialize.o │ │ │ │ │ ├── mm_mm_leak.d │ │ │ │ │ ├── mm_mm_leak.o │ │ │ │ │ ├── mm_mm_mallinfo.d │ │ │ │ │ ├── mm_mm_mallinfo.o │ │ │ │ │ ├── mm_mm_malloc.d │ │ │ │ │ ├── mm_mm_malloc.o │ │ │ │ │ ├── mm_mm_size2ndx.d │ │ │ │ │ ├── mm_mm_size2ndx.o │ │ │ │ │ ├── ringbuffer_ringbuffer.d │ │ │ │ │ ├── ringbuffer_ringbuffer.o │ │ │ │ │ ├── syslog_syslog.d │ │ │ │ │ ├── syslog_syslog.o │ │ │ │ │ ├── wujian100_open-hello_world.elf │ │ │ │ │ ├── wujian100_open_devices.d │ │ │ │ │ ├── wujian100_open_devices.o │ │ │ │ │ ├── wujian100_open_evb_board_init.d │ │ │ │ │ ├── wujian100_open_evb_board_init.o │ │ │ │ │ ├── wujian100_open_isr.d │ │ │ │ │ ├── wujian100_open_isr.o │ │ │ │ │ ├── wujian100_open_lib.d │ │ │ │ │ ├── wujian100_open_lib.o │ │ │ │ │ ├── wujian100_open_novic_irq_tbl.d │ │ │ │ │ ├── wujian100_open_novic_irq_tbl.o │ │ │ │ │ ├── wujian100_open_pinmux.d │ │ │ │ │ ├── wujian100_open_pinmux.o │ │ │ │ │ ├── wujian100_open_startup.d │ │ │ │ │ ├── wujian100_open_startup.o │ │ │ │ │ ├── wujian100_open_sys_freq.d │ │ │ │ │ ├── wujian100_open_sys_freq.o │ │ │ │ │ ├── wujian100_open_system.d │ │ │ │ │ ├── wujian100_open_system.o │ │ │ │ │ ├── wujian100_open_trap_c.d │ │ │ │ │ ├── wujian100_open_trap_c.o │ │ │ │ │ ├── wujian100_open_vectors.d │ │ │ │ │ ├── wujian100_open_vectors.o │ │ │ │ │ ├── wujian100_open_wj_dmac_v2.d │ │ │ │ │ ├── wujian100_open_wj_dmac_v2.o │ │ │ │ │ ├── wujian100_open_wj_irq.d │ │ │ │ │ ├── wujian100_open_wj_irq.o │ │ │ │ │ ├── wujian100_open_wj_oip_gpio.d │ │ │ │ │ ├── wujian100_open_wj_oip_gpio.o │ │ │ │ │ ├── wujian100_open_wj_oip_timer.d │ │ │ │ │ ├── wujian100_open_wj_oip_timer.o │ │ │ │ │ ├── wujian100_open_wj_oip_wdt.d │ │ │ │ │ ├── wujian100_open_wj_oip_wdt.o │ │ │ │ │ ├── wujian100_open_wj_pwm.d │ │ │ │ │ ├── wujian100_open_wj_pwm.o │ │ │ │ │ ├── wujian100_open_wj_rtc.d │ │ │ │ │ ├── wujian100_open_wj_rtc.o │ │ │ │ │ ├── wujian100_open_wj_usi.d │ │ │ │ │ ├── wujian100_open_wj_usi.o │ │ │ │ │ ├── wujian100_open_wj_usi_iic.d │ │ │ │ │ ├── wujian100_open_wj_usi_iic.o │ │ │ │ │ ├── wujian100_open_wj_usi_spi.d │ │ │ │ │ ├── wujian100_open_wj_usi_spi.o │ │ │ │ │ ├── wujian100_open_wj_usi_usart.d │ │ │ │ │ ├── wujian100_open_wj_usi_usart.o │ │ │ │ │ ├── wujian100_open_wj_usi_wrap.d │ │ │ │ │ └── wujian100_open_wj_usi_wrap.o │ │ │ │ ├── cdkws.mk │ │ │ │ ├── wujian100_open-hello_world.cdkproj │ │ │ │ ├── wujian100_open-hello_world.cdkws │ │ │ │ ├── wujian100_open-hello_world.mk │ │ │ │ ├── wujian100_open-hello_world.modify.bat │ │ │ │ └── wujian100_open-hello_world.txt │ │ │ ├── Makefile │ │ │ ├── configs │ │ │ │ └── csi_config.h │ │ │ ├── main.c │ │ │ └── sub.mk │ │ │ └── spi_sd │ │ │ ├── CDK │ │ │ ├── .cdk │ │ │ │ ├── compilation.db │ │ │ │ ├── refactoring.db │ │ │ │ ├── wujian100_open-hello_world.session │ │ │ │ └── wujian100_open-hello_world.tags │ │ │ ├── Makefile │ │ │ ├── cdkws.mk │ │ │ ├── wujian100_open-hello_world.cdkproj │ │ │ ├── wujian100_open-hello_world.cdkws │ │ │ ├── wujian100_open-hello_world.mk │ │ │ ├── wujian100_open-hello_world.modify.bat │ │ │ └── wujian100_open-hello_world.txt │ │ │ ├── Makefile │ │ │ ├── configs │ │ │ └── csi_config.h │ │ │ ├── main.c │ │ │ ├── oled128_32 │ │ │ ├── oled128_32.c │ │ │ ├── oled128_32.h │ │ │ └── oledfont.h │ │ │ ├── sd_driver │ │ │ ├── all.c │ │ │ ├── diskio.h │ │ │ ├── ff.c │ │ │ ├── ff.h │ │ │ ├── ffconf.h │ │ │ ├── main.txt │ │ │ ├── sd_gpio_driver.zip │ │ │ ├── sdmm.c │ │ │ └── softspi_sd_mmc.c │ │ │ ├── softmax │ │ │ ├── softmax.c │ │ │ └── softmax.h │ │ │ ├── sub.mk │ │ │ └── vs1053 │ │ │ ├── vs1053.c │ │ │ └── vs1053.h │ └── utilities │ │ ├── aft_build.sh │ │ ├── flash.init │ │ └── gdb.init ├── vivado_work │ ├── wujian100_open_top.bin │ ├── wujian100_open_top.bit │ └── wujian_src │ │ ├── E902_20191018.v │ │ ├── NexysVideo_Master.xdc │ │ ├── ahb_matrix_top.v │ │ ├── ahb_ram.v │ │ ├── aou_top.v │ │ ├── apb0.v │ │ ├── apb0_sub_top.v │ │ ├── apb1.v │ │ ├── apb1_sub_top.v │ │ ├── clkgen.v │ │ ├── common.v │ │ ├── core_top.v │ │ ├── divider_cell.v │ │ ├── divider_man.v │ │ ├── dmac.v │ │ ├── dummy.v │ │ ├── gpio0.v │ │ ├── ls_sub_top.v │ │ ├── matrix.v │ │ ├── params │ │ ├── apb0_params.v │ │ ├── apb1_params.v │ │ ├── timers_params.v │ │ └── wdt_params.v │ │ ├── pdu_top.v │ │ ├── pwm.v │ │ ├── retu_top.v │ │ ├── rtc.v │ │ ├── sim_lib │ │ ├── PAD_DIG_IO.v │ │ ├── PAD_OSC_IO.v │ │ ├── STD_CELL.v │ │ ├── fpga_byte_spram.v │ │ └── fpga_spram.v │ │ ├── sms.v │ │ ├── smu_top.v │ │ ├── softmax │ │ ├── fix_shift.v │ │ ├── my_combline.v │ │ ├── myrom4bit.v │ │ ├── myrom5bit.v │ │ ├── myslice.v │ │ ├── reg3.v │ │ └── reg3_16bit.v │ │ ├── tb │ │ ├── .tb.v.swp │ │ ├── busmnt.v │ │ ├── tb.v │ │ ├── tb_file.list │ │ └── virtual_counter.v │ │ ├── tim.v │ │ ├── tim1.v │ │ ├── tim2.v │ │ ├── tim3.v │ │ ├── tim4.v │ │ ├── tim5.v │ │ ├── tim6.v │ │ ├── tim7.v │ │ ├── usi0.v │ │ ├── usi1.v │ │ ├── wdt.v │ │ ├── wujian100_open_fpga_top.v │ │ ├── wujian100_open_lib.filelist │ │ ├── wujian100_open_lib_for_iverilog.filelist │ │ ├── wujian100_open_syn.filelist │ │ ├── wujian100_open_syn_for_iverilog.filelist │ │ └── wujian100_open_top.v └── 野火串口调试助手.exe └── wujian100_nexysvideo ├── wujian100_nexysvideo.pdf ├── wujian100_open_top.bin ├── wujian100_open_top.bit └── wujian_src ├── head_file ├── apb0_params.v ├── apb1_params.v ├── timers_params.v └── wdt_params.v ├── soc_file ├── E902_20191018.v ├── PAD_DIG_IO.v ├── PAD_OSC_IO.v ├── ahb_matrix_top.v ├── aou_top.v ├── apb0.v ├── apb0_sub_top.v ├── apb1.v ├── apb1_sub_top.v ├── clkgen.v ├── common.v ├── core_top.v ├── dmac.v ├── dummy.v ├── fpga_byte_spram.v ├── fpga_spram.v ├── gpio0.v ├── ls_sub_top.v ├── matrix.v ├── pdu_top.v ├── pwm.v ├── retu_top.v ├── rtc.v ├── sms.v ├── smu_top.v ├── tim.v ├── tim1.v ├── tim2.v ├── tim3.v ├── tim4.v ├── tim5.v ├── tim6.v ├── tim7.v ├── usi0.v ├── usi1.v ├── wdt.v └── wujian100_open_fpga_top.v └── xdc └── NexysVideo.xdc /IO_LAB_nexysvideo/readme.md: -------------------------------------------------------------------------------- 1 | # NexysVideo IO/LAB 2 | run wujian100 IO/LAB in NexysVideo Board 3 | ========== 4 | # Usage 5 | - Configure the FPGA using the wujian100_open_top.bit/wujian100_open_top.bin. 6 | - Connect the T-head debugger and USB wire to NexysVideo board 7 | - Open the IO/LAB sdk project(located in sdk/projects/examples/hello_world/CDK) and using debug button in CDK to load program to wujian100 soc. Open the serial pane in CDK and configure the Uart Settings with 115200-8-N-1. 8 | - When over, you can see 9 | - LED0-LED3 shine once per second 10 | - OLED shows the string 11 | - using center button to trigger the interrput 12 | - a file will be written to the sd card 13 | 14 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/.vscode/settings.json: -------------------------------------------------------------------------------- 1 | { 2 | "files.associations": { 3 | "key.h": "c", 4 | "soc.h": "c" 5 | } 6 | } -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/VERSION: -------------------------------------------------------------------------------- 1 | CSI-v1.7.2 2 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/board/wujian100_open_evb/include/test_kernel_config.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | /****************************************************************************** 6 | * @file test_kernel_config.h 7 | * @brief head file for driver config 8 | * @version V1.0 9 | * @date 02. June 2019 10 | ******************************************************************************/ 11 | 12 | #ifndef _KERNEL_CONFIG_H_ 13 | #define _KERNEL_CONFIG_H_ 14 | #ifdef __cplusplus 15 | extern "C" { 16 | #endif 17 | 18 | 19 | #define TEST_EVENT 20 | #define TEST_SEM 21 | #define TEST_MUTEX 22 | #define TEST_SOFTWARE_TIMER 23 | #define TEST_MSGQ 24 | #define TEST_TASK 25 | #define TEST_MEMPOOL 26 | 27 | #ifdef __cplusplus 28 | } 29 | #endif 30 | 31 | #endif 32 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_driver/wujian100_open/include/pinmux.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | 6 | /****************************************************************************** 7 | * @file pinmux.h 8 | * @brief Header file for the pinmux 9 | * @version V1.0 10 | * @date 02. June 2017 11 | ******************************************************************************/ 12 | 13 | #ifndef _PINMUX_H_ 14 | #define _PINMUX_H_ 15 | 16 | #include 17 | #include "pin_name.h" 18 | 19 | #ifdef __cplusplus 20 | extern "C" { 21 | #endif 22 | 23 | int32_t drv_pinmux_config(pin_name_e pin, pin_func_e pin_func); 24 | 25 | 26 | #ifdef __cplusplus 27 | } 28 | #endif 29 | 30 | #endif /* _PINMUX_H_ */ 31 | 32 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_driver/wujian100_open/include/sys_freq.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | /****************************************************************************** 6 | * @file sys_freq.h 7 | * @brief header file for setting system frequency. 8 | * @version V1.0 9 | * @date 18. July 2018 10 | ******************************************************************************/ 11 | #ifndef _SYS_FREQ_H_ 12 | #define _SYS_FREQ_H_ 13 | 14 | #include 15 | 16 | #ifdef __cplusplus 17 | extern "C" { 18 | #endif 19 | 20 | int32_t drv_get_i2s_freq(int32_t idx); 21 | int32_t drv_get_pwm_freq(int32_t idx); 22 | int32_t drv_get_usart_freq(int32_t idx); 23 | int32_t drv_get_usi_freq(int32_t idx); 24 | int32_t drv_get_sys_freq(void); 25 | int32_t drv_get_apb_freq(void); 26 | int32_t drv_get_rtc_freq(int32_t idx); 27 | int32_t drv_get_timer_freq(int32_t idx); 28 | 29 | int32_t drv_get_cpu_freq(int32_t idx); 30 | 31 | #ifdef __cplusplus 32 | } 33 | #endif 34 | 35 | #endif /* _SYS_FREQ_H_ */ 36 | 37 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_driver/wujian100_open/include/usi_pin_planning.h: -------------------------------------------------------------------------------- 1 | #include 2 | #include "drv_common.h" 3 | #include "csi_config.h" 4 | 5 | //USI device number 6 | #define CONFIG_USI_USART_NUM CONFIG_USI_NUM 7 | #define CONFIG_USI_SPI_NUM CONFIG_USI_NUM 8 | #define CONFIG_USI_I2C_NUM CONFIG_USI_NUM 9 | 10 | //USI_PGPIO information 11 | typedef enum { 12 | ENUM_USI_DEV_USART, 13 | ENUM_USI_DEV_SPI, 14 | ENUM_USI_DEV_I2C, 15 | } usi_dev_type_e; 16 | 17 | //USI_SPI information 18 | typedef struct { 19 | int32_t pin_sclk; 20 | int32_t pin_mosi; 21 | int32_t pin_miso; 22 | int32_t pin_ssel; 23 | int32_t usi_idx; 24 | uint16_t function; 25 | } usi_spi_info_t; 26 | 27 | //USI_I2C information 28 | typedef struct { 29 | int32_t pin_sda; 30 | int32_t pin_scl; 31 | int32_t usi_idx; 32 | uint16_t function; 33 | } usi_i2c_info_t; 34 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_driver/wujian100_open/pinmux.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | 6 | /****************************************************************************** 7 | * @file pinmux.c 8 | * @brief source file for the pinmux 9 | * @version V1.0 10 | * @date 02. June 2019 11 | ******************************************************************************/ 12 | #include 13 | #include "pinmux.h" 14 | #include "pin_name.h" 15 | #include 16 | 17 | int32_t drv_pinmux_config(pin_name_e pin, pin_func_e pin_func) 18 | { 19 | return 0; 20 | } 21 | 22 | int32_t drv_pin_config_mode(port_name_e port, uint8_t offset, gpio_mode_e pin_mode) 23 | { 24 | return 0; 25 | } 26 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_kernel/rhino/common/k_ffs.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2018 Alibaba Group Holding Limited 3 | */ 4 | 5 | /* 6 | DESCRIPTION 7 | This file provides method to find the least/most significant bit in 32 bit 8 | fields. 9 | */ 10 | 11 | #include "k_api.h" 12 | #include "k_ffs.h" 13 | #include "k_bitmap.h" 14 | 15 | /* find most significant bit set */ 16 | 17 | int ffs32_msb(uint32_t bitmap) 18 | { 19 | if (bitmap == 0) 20 | { 21 | return 0; 22 | } 23 | 24 | return 32 - krhino_find_first_bit(&bitmap); 25 | } 26 | 27 | 28 | /* find least significant bit set */ 29 | 30 | int ffs32_lsb(uint32_t bitmap) 31 | { 32 | uint32_t x; 33 | int lsbit; 34 | 35 | if (bitmap == 0) 36 | { 37 | return 0; 38 | } 39 | 40 | x = bitmap & -bitmap; 41 | lsbit = krhino_find_first_bit((uint32_t *)(&x)); 42 | 43 | return 32 - lsbit; 44 | } 45 | 46 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_kernel/rhino/common/k_ffs.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2018 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef __k_ffs_h__ 6 | #define __k_ffs_h__ 7 | 8 | #ifdef __cplusplus 9 | extern "C" { 10 | #endif 11 | 12 | /* function declarations */ 13 | 14 | extern int ffs32_lsb (uint32_t i); 15 | extern int ffs32_msb (uint32_t i); 16 | 17 | #define FFS_LSB(i) ffs32_lsb(i) 18 | #define FFS_MSB(i) ffs32_msb(i) 19 | 20 | #ifdef __cplusplus 21 | } 22 | #endif 23 | 24 | #endif /* __k_ffs_h__ */ 25 | 26 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_kernel/rhino/core/include/k_mm_debug.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef RHINO_MM_DEBUG_H 6 | #define RHINO_MM_DEBUG_H 7 | 8 | #if (RHINO_CONFIG_MM_DEBUG > 0) 9 | 10 | #ifdef __cplusplus 11 | extern "C" { 12 | #endif 13 | 14 | #define AOS_MM_SCAN_REGION_MAX 10 15 | typedef struct { 16 | void *start; 17 | void *end; 18 | } mm_scan_region_t; 19 | 20 | #if (RHINO_CONFIG_GCC_RETADDR > 0u) 21 | #include 22 | #define AOS_UNSIGNED_INT_MSB (1u << (sizeof(unsigned int) * 8 - 1)) 23 | void krhino_owner_attach(k_mm_head *mmhead, void *addr, size_t allocator); 24 | #endif 25 | 26 | uint32_t krhino_mm_leak_region_init(void *start, void *end); 27 | 28 | uint32_t dumpsys_mm_info_func(char *buf, uint32_t len); 29 | 30 | uint32_t dump_mmleak(void); 31 | 32 | #ifdef __cplusplus 33 | } 34 | #endif 35 | 36 | #endif /* RHINO_CONFIG_MM_DEBUG */ 37 | 38 | #endif /* YSH_H */ 39 | 40 | 41 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_kernel/rhino/core/include/k_mm_region.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef K_MM_REGION_H 6 | #define K_MM_REGION_H 7 | 8 | typedef struct { 9 | uint8_t *start; 10 | size_t len; 11 | } k_mm_region_t; 12 | 13 | #endif /* K_MM_REGION_H */ 14 | 15 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_kernel/rhino/core/include/k_sched.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef K_SCHED_H 6 | #define K_SCHED_H 7 | 8 | #define KSCHED_FIFO 0u 9 | #define KSCHED_RR 1u 10 | #define SCHED_MAX_LOCK_COUNT 200u 11 | #define NUM_WORDS ((RHINO_CONFIG_PRI_MAX + 31) / 32) 12 | 13 | typedef struct { 14 | klist_t *cur_list_item[RHINO_CONFIG_PRI_MAX]; 15 | uint32_t task_bit_map[NUM_WORDS]; 16 | uint8_t highest_pri; 17 | } runqueue_t; 18 | 19 | /** 20 | * This function will disable schedule 21 | * @return the operation status, RHINO_SUCCESS is OK, others is error 22 | */ 23 | kstat_t krhino_sched_disable(void); 24 | 25 | /** 26 | * This function will enable schedule 27 | * @return the operation status, RHINO_SUCCESS is OK, others is error 28 | */ 29 | kstat_t krhino_sched_enable(void); 30 | 31 | #endif /* K_SCHED_H */ 32 | 33 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_kernel/rhino/core/include/k_stats.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef K_STATS_H 6 | #define K_STATS_H 7 | 8 | #if (RHINO_CONFIG_SYSTEM_STATS > 0) 9 | void kobj_list_init(void); 10 | #endif 11 | 12 | #if (RHINO_CONFIG_TASK_STACK_OVF_CHECK > 0) 13 | /** 14 | * This function will check task stack overflow 15 | */ 16 | void krhino_stack_ovf_check(void); 17 | #endif 18 | 19 | #if (RHINO_CONFIG_TASK_SCHED_STATS > 0) 20 | /** 21 | * This function will reset task schedule stats 22 | */ 23 | void krhino_task_sched_stats_reset(void); 24 | /** 25 | * This function will get task statistic data 26 | */ 27 | void krhino_task_sched_stats_get(void); 28 | #endif 29 | 30 | #if (RHINO_CONFIG_HW_COUNT > 0) 31 | void krhino_overhead_measure(void); 32 | #endif 33 | 34 | #if (RHINO_CONFIG_CPU_USAGE_STATS > 0) 35 | uint32_t krhino_get_cpu_usage(void); 36 | #endif 37 | 38 | #endif /* K_STATS_H */ 39 | 40 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_kernel/rhino/core/k_err.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #include 6 | 7 | void k_err_proc(kstat_t err) 8 | { 9 | if (g_err_proc != NULL) { 10 | g_err_proc(err); 11 | } 12 | } 13 | 14 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_kernel/rhino/driver/hook_weak.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2016 YunOS Project. All rights reserved. 3 | * 4 | * Licensed under the Apache License, Version 2.0 (the "License"); 5 | * you may not use this file except in compliance with the License. 6 | * You may obtain a copy of the License at 7 | * 8 | * http://www.apache.org/licenses/LICENSE-2.0 9 | * 10 | * Unless required by applicable law or agreed to in writing, software 11 | * distributed under the License is distributed on an "AS IS" BASIS, 12 | * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. 13 | * See the License for the specific language governing permissions and 14 | * limitations under the License. 15 | */ 16 | 17 | void __attribute__((weak)) lpm_idle_hook(void) 18 | { 19 | } 20 | 21 | void __attribute__((weak)) lpm_idle_pre_hook(void) 22 | { 23 | } 24 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_kernel/rhino/driver/systick.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2016 YunOS Project. All rights reserved. 3 | * 4 | * Licensed under the Apache License, Version 2.0 (the "License"); 5 | * you may not use this file except in compliance with the License. 6 | * You may obtain a copy of the License at 7 | * 8 | * http://www.apache.org/licenses/LICENSE-2.0 9 | * 10 | * Unless required by applicable law or agreed to in writing, software 11 | * distributed under the License is distributed on an "AS IS" BASIS, 12 | * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. 13 | * See the License for the specific language governing permissions and 14 | * limitations under the License. 15 | */ 16 | 17 | #include 18 | #include 19 | #include 20 | #include 21 | 22 | uint64_t g_sys_tick_count; 23 | void systick_handler(void) 24 | { 25 | g_sys_tick_count++; 26 | krhino_tick_proc(); 27 | } 28 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/csi_kernel/rhino/pwrmgmt/cpu_pwr_config.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2018 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef __k_config_pwr_mgmt_h__ 6 | #define __k_config_pwr_mgmt_h__ 7 | 8 | /* cpu power management configuration */ 9 | 10 | #ifndef RHINO_CONFIG_CPU_TICKLESS 11 | #define RHINO_CONFIG_CPU_TICKLESS 1 12 | #endif 13 | 14 | #ifndef RHINO_CONFIG_CPU_PWR_MGMT_SHOW 15 | #define RHINO_CONFIG_CPU_PWR_MGMT_SHOW 0 16 | #endif 17 | 18 | #ifndef RHINO_CONFIG_CPU_PWR_P_STATE_SUPPORT 19 | #define RHINO_CONFIG_CPU_PWR_P_STATE_SUPPORT 0 20 | #endif 21 | 22 | #ifndef RHINO_CONFIG_PWR_DEBUG 23 | #define RHINO_CONFIG_PWR_DEBUG 0 24 | #endif 25 | 26 | #ifndef RHINO_PWR_MGMT_ERR 27 | #define RHINO_PWR_MGMT_ERR -1 28 | #endif 29 | 30 | #endif /* __k_config_pwr_mgmt_h__ */ 31 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/libs/include/sys/_stdint.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | 6 | #ifndef _PRIV_STDINT_H_ 7 | #define _PRIV_STDINT_H_ 8 | #ifdef __cplusplus 9 | extern "C" { 10 | #endif 11 | 12 | 13 | /* For newlib and minilibc utint32_t are not same */ 14 | #undef _UINT32_T_DECLARED 15 | #define _UINT32_T_DECLARED 16 | typedef unsigned int uint32_t; 17 | 18 | #undef _INT32_T_DECLARED 19 | #define _INT32_T_DECLARED 20 | typedef signed int int32_t; 21 | 22 | #include_next 23 | 24 | #ifdef __cplusplus 25 | } 26 | #endif 27 | 28 | #endif 29 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/libs/libc/_init.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | /****************************************************************************** 5 | * @file _init.c 6 | * @brief source file for c++ init & uninit 7 | * @version V1.0 8 | * @date 24. April 2019 9 | ******************************************************************************/ 10 | 11 | #include 12 | #include 13 | 14 | #ifndef CPP_WEAK 15 | #define CPP_WEAK __attribute__((weak)) 16 | #endif 17 | 18 | extern int __dtor_end__; 19 | extern int __ctor_end__; 20 | extern int __ctor_start__; 21 | 22 | typedef void (*func_ptr) (void); 23 | 24 | CPP_WEAK void _init(void) 25 | { 26 | func_ptr *p; 27 | for (p = (func_ptr *)&__ctor_end__ - 1; p >= (func_ptr *)&__ctor_start__; p--) { 28 | (*p) (); 29 | } 30 | } 31 | 32 | CPP_WEAK void _fini(void) 33 | { 34 | func_ptr *p; 35 | for (p = (func_ptr *)&__ctor_end__; p <= (func_ptr *)&__dtor_end__ - 1; p++) { 36 | (*p) (); 37 | } 38 | } 39 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/libs/libnewlib_wrap.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/libs/libnewlib_wrap.a -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/core/vic/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/dmac/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/compilation.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/compilation.db -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/refactoring.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/refactoring.db -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/wujian100_open-gpio.session: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/wujian100_open-gpio.tags: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/wujian100_open-gpio.tags -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean All 2 | 3 | All: 4 | @echo "----------Building project:[ wujian100_open-gpio - BuildSet ]----------" 5 | @ 6 | @make -f "wujian100_open-gpio.mk" MakeIntermediateDirs && make -f "wujian100_open-gpio.mk" -j 16 7 | @echo Executing Post Build commands ... 8 | @export CDKPath="D:/CDK/C-sky" ProjectPath="D:/wujian100/wujian100_sim/wujian100_open/sdk/projects/examples/driver/gpio/CDK" && "D:/wujian100/wujian100_sim/wujian100_open/sdk/projects/examples/driver/gpio/CDK//../../../../../utilities//aft_build.sh" 9 | @echo Done 10 | 11 | clean: 12 | @echo "----------Cleaning project:[ wujian100_open-gpio - BuildSet ]----------" 13 | @make -f "wujian100_open-gpio.mk" clean 14 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/.d: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/__rt_entry.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/__rt_entry.S -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/gpio_example_gpio.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/gpio_example_gpio.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc__init.d: -------------------------------------------------------------------------------- 1 | Obj/libc__init.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/libc/_init.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h 4 | 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 6 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc__init.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc__init.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_clock_gettime.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_clock_gettime.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_malloc.d: -------------------------------------------------------------------------------- 1 | Obj/libc_malloc.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/libc/malloc.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\umm_heap.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h \ 6 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h 7 | 8 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 9 | 10 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\umm_heap.h: 11 | 12 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 13 | 14 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 15 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_malloc.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_malloc.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_minilibc_port.d: -------------------------------------------------------------------------------- 1 | Obj/libc_minilibc_port.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/libc/minilibc_port.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_driver\include\drv_usart.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_driver\include\drv_common.h \ 6 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_driver\include\drv_errno.h \ 7 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\errno.h 8 | 9 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 10 | 11 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_driver\include\drv_usart.h: 12 | 13 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_driver\include\drv_common.h: 14 | 15 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_driver\include\drv_errno.h: 16 | 17 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\errno.h: 18 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_minilibc_port.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_minilibc_port.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_addlast.d: -------------------------------------------------------------------------------- 1 | Obj/mm_dq_addlast.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/mm/dq_addlast.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h 4 | 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 6 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_addlast.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_addlast.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_rem.d: -------------------------------------------------------------------------------- 1 | Obj/mm_dq_rem.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/mm/dq_rem.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h 4 | 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 6 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_rem.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_rem.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_lib_mallinfo.d: -------------------------------------------------------------------------------- 1 | Obj/mm_lib_mallinfo.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/mm/lib_mallinfo.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h \ 6 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\umm_heap.h \ 7 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h 8 | 9 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 10 | 11 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 12 | 13 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 14 | 15 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\umm_heap.h: 16 | 17 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 18 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_lib_mallinfo.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_lib_mallinfo.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_addfreechunk.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_addfreechunk.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/mm/mm_addfreechunk.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h 6 | 7 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 8 | 9 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 10 | 11 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 12 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_addfreechunk.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_addfreechunk.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_free.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_free.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/mm/mm_free.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\errno.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h \ 6 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h 7 | 8 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 9 | 10 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\errno.h: 11 | 12 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 13 | 14 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 15 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_free.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_free.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_initialize.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_initialize.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/mm/mm_initialize.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h 6 | 7 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 8 | 9 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 10 | 11 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 12 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_initialize.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_initialize.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_leak.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_leak.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/mm/mm_leak.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h \ 6 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\umm_heap.h \ 7 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h 8 | 9 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 10 | 11 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 12 | 13 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 14 | 15 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\umm_heap.h: 16 | 17 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 18 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_leak.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_leak.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_mallinfo.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_mallinfo.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/mm/mm_mallinfo.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h 6 | 7 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 8 | 9 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 10 | 11 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 12 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_mallinfo.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_mallinfo.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_malloc.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_malloc.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/mm/mm_malloc.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h 6 | 7 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 8 | 9 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 10 | 11 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 12 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_malloc.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_malloc.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_size2ndx.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_size2ndx.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/mm/mm_size2ndx.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h 6 | 7 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 8 | 9 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm.h: 10 | 11 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\mm_queue.h: 12 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_size2ndx.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_size2ndx.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/ringbuffer_ringbuffer.d: -------------------------------------------------------------------------------- 1 | Obj/ringbuffer_ringbuffer.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/ringbuffer/ringbuffer.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\ringbuffer\ringbuffer.h 4 | 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\libs\include\ringbuffer\ringbuffer.h: 6 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/ringbuffer_ringbuffer.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/ringbuffer_ringbuffer.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/syslog_syslog.d: -------------------------------------------------------------------------------- 1 | Obj/syslog_syslog.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/libs/syslog/syslog.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h 4 | 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 6 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/syslog_syslog.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/syslog_syslog.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open-gpio.elf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open-gpio.elf -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_devices.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_devices.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_evb_board_init.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_evb_board_init.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_isr.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_isr.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_lib.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_lib.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_novic_irq_tbl.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_novic_irq_tbl.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_pinmux.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_pinmux.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_startup.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_startup.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/csi_driver/wujian100_open/startup.S \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h 4 | 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 6 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_startup.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_startup.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_sys_freq.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_sys_freq.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/csi_driver/wujian100_open/sys_freq.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_driver\wujian100_open\include\soc.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_core.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\core_rv32.h \ 6 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_rv32_gcc.h \ 7 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_driver\wujian100_open\include\sys_freq.h 8 | 9 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_driver\wujian100_open\include\soc.h: 10 | 11 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_core.h: 12 | 13 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\core_rv32.h: 14 | 15 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_rv32_gcc.h: 16 | 17 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_driver\wujian100_open\include\sys_freq.h: 18 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_sys_freq.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_sys_freq.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_system.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_system.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_trap_c.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_trap_c.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/csi_driver/wujian100_open/trap_c.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_core.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\core_rv32.h \ 6 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_rv32_gcc.h 7 | 8 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 9 | 10 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_core.h: 11 | 12 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\core_rv32.h: 13 | 14 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_rv32_gcc.h: 15 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_trap_c.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_trap_c.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_vectors.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_vectors.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/csi_driver/wujian100_open/vectors.S \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h 4 | 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 6 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_vectors.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_vectors.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_dmac_v2.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_dmac_v2.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_irq.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_wj_irq.o: \ 2 | D:/wujian100/wujian100_sim/wujian100_open/sdk/csi_driver/wujian100_open/wj_irq.c \ 3 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_core.h \ 4 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\core_rv32.h \ 5 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_rv32_gcc.h \ 6 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h 7 | 8 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_core.h: 9 | 10 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\core_rv32.h: 11 | 12 | d:\wujian100\wujian100_sim\wujian100_open\sdk\csi_core\include\csi_rv32_gcc.h: 13 | 14 | d:\wujian100\wujian100_sim\wujian100_open\sdk\projects\examples\driver\gpio\configs\csi_config.h: 15 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_irq.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_irq.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_gpio.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_gpio.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_timer.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_timer.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_wdt.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_wdt.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_pwm.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_pwm.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_rtc.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_rtc.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_iic.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_iic.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_spi.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_spi.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_usart.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_usart.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_wrap.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_wrap.o -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/wujian100_open-gpio.cdkws: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/CDK/wujian100_open-gpio.txt: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_evb_board_init.o Obj/wujian100_open_wj_dmac_v2.o Obj/wujian100_open_wj_irq.o Obj/wujian100_open_wj_pwm.o Obj/wujian100_open_wj_rtc.o Obj/wujian100_open_wj_usi.o Obj/wujian100_open_wj_usi_iic.o Obj/wujian100_open_wj_usi_spi.o Obj/wujian100_open_wj_usi_usart.o Obj/wujian100_open_wj_usi_wrap.o Obj/wujian100_open_devices.o Obj/wujian100_open_wj_oip_gpio.o Obj/wujian100_open_wj_oip_timer.o Obj/wujian100_open_wj_oip_wdt.o Obj/wujian100_open_isr.o Obj/wujian100_open_lib.o Obj/wujian100_open_novic_irq_tbl.o Obj/wujian100_open_pinmux.o Obj/wujian100_open_startup.o Obj/wujian100_open_sys_freq.o Obj/wujian100_open_system.o Obj/wujian100_open_trap_c.o Obj/wujian100_open_vectors.o Obj/libc_clock_gettime.o Obj/libc__init.o Obj/libc_malloc.o Obj/libc_minilibc_port.o Obj/mm_dq_addlast.o Obj/mm_dq_rem.o Obj/mm_lib_mallinfo.o Obj/mm_mm_addfreechunk.o Obj/mm_mm_free.o Obj/mm_mm_initialize.o Obj/mm_mm_leak.o Obj/mm_mm_mallinfo.o Obj/mm_mm_malloc.o Obj/mm_mm_size2ndx.o Obj/ringbuffer_ringbuffer.o Obj/syslog_syslog.o Obj/gpio_example_gpio.o 2 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/gpio/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/iic/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/pwm/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/rtc/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/spi/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/spi/w25q64fv.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | /****************************************************************************** 6 | * @file w25q64fv.h 7 | * @brief header file for w25q64fv driver 8 | * @version V1.0 9 | * @date 02. June 2017 10 | ******************************************************************************/ 11 | #ifndef __W25Q64FV_H_ 12 | #define __W25Q64FV_H_ 13 | #ifdef __cplusplus 14 | extern "C" { 15 | #endif 16 | 17 | 18 | #define W25Q64FV_PAGE_SIZE 0x100 19 | 20 | #define W25Q64FV_ADDR_START 0x0 21 | #define W25Q64FV_ADDR_END 0x10000 22 | #define W25Q64FV_CLK_RATE 1000000 /* 1MHZ */ 23 | 24 | #define IS_FLASH_ADDR(addr) \ 25 | ((addr >= W25Q64FV_ADDR_START) && (addr < W25Q64FV_ADDR_END)) 26 | 27 | #ifdef __cplusplus 28 | } 29 | #endif 30 | 31 | #endif /* __W25Q64FV_H_ */ 32 | 33 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/timer/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/usart/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/driver/wdt/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/compilation.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/compilation.db -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/refactoring.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/refactoring.db -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/wujian100_open-hello_world.session: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/wujian100_open-hello_world.tags: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/wujian100_open-hello_world.tags -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean All 2 | 3 | All: 4 | @echo "----------Building project:[ wujian100_open-hello_world - BuildSet ]----------" 5 | @ 6 | @make -f "wujian100_open-hello_world.mk" MakeIntermediateDirs && make -f "wujian100_open-hello_world.mk" -j 16 7 | @echo Executing Post Build commands ... 8 | @export CDKPath="D:/C-Sky/CDK" ProjectPath="C:/Users/hnaym/Desktop/T_head_data/nexys_video/wujian100_open_nexysvideo/sdk/projects/examples/hello_world/CDK" && "C:/Users/hnaym/Desktop/T_head_data/nexys_video/wujian100_open_nexysvideo/sdk/projects/examples/hello_world/CDK//../../../../utilities//aft_build.sh" 9 | @echo Done 10 | 11 | clean: 12 | @echo "----------Cleaning project:[ wujian100_open-hello_world - BuildSet ]----------" 13 | @make -f "wujian100_open-hello_world.mk" clean 14 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/cdkws.mk: -------------------------------------------------------------------------------- 1 | .PHONY: clean All Project_Title Project_Build Project_PostBuild 2 | 3 | All: Project_Title Project_Build Project_PostBuild 4 | 5 | Project_Title: 6 | @echo "----------Building project:[ wujian100_open-hello_world - BuildSet ]----------" 7 | 8 | Project_Build: 9 | @make -r -f wujian100_open-hello_world.mk -j 16 -C ./ 10 | 11 | Project_PostBuild: 12 | @echo Executing Post Build commands ... 13 | @export CDKPath="D:/C-Sky/CDK" CDK_VERSION="V2.10.3" ProjectPath="C:/Users/hnaym/Desktop/T_head_data/nexys_video/IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/" && "C:/Users/hnaym/Desktop/T_head_data/nexys_video/IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/../../../../utilities//aft_build.sh" 14 | @echo Done 15 | 16 | 17 | clean: 18 | @echo "----------Cleaning project:[ wujian100_open-hello_world - BuildSet ]----------" 19 | 20 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/wujian100_open-hello_world.cdkws: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/CDK/wujian100_open-hello_world.modify.bat: -------------------------------------------------------------------------------- 1 | @echo off 2 | SET PATH=%Systemroot%\System32;%PATH% 3 | forfiles.exe -P "%1" -M %2 -C "cmd /c echo %1/%2 is modified at: @fdate @ftime" | findstr modified 4 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/LED/led.h: -------------------------------------------------------------------------------- 1 | #ifndef LED_H 2 | #define LED_H 3 | 4 | void LED_Init(); 5 | void LED_ON(); 6 | void LED_OFF(); 7 | 8 | #endif -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/key_gpio_intr/key.h: -------------------------------------------------------------------------------- 1 | #ifndef key_h 2 | 3 | #include "pin_name.h" 4 | #include "pin.h" 5 | int key_gpio_intr(pin_name_e gpio_pin); 6 | 7 | #endif -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/projects/examples/hello_world/oled128_32/oled128_32.h: -------------------------------------------------------------------------------- 1 | #ifndef oled_128_32_h 2 | #define oled_128_32_h 3 | 4 | 5 | #define u16 unsigned int 6 | #define u32 unsigned int 7 | 8 | #define OLED_CMD 0 //写命令 9 | #define OLED_DATA 1 //写数据 10 | 11 | 12 | //OLED控制用函数 13 | void delay_ms(unsigned int ms); 14 | void OLED_ColorTurn(char i); 15 | void OLED_DisplayTurn(char i); 16 | void OLED_WR_Byte(char dat,char cmd); 17 | void OLED_Set_Pos(char x, char y); 18 | void OLED_Display_On(void); 19 | void OLED_Display_Off(void); 20 | void OLED_Clear(void); 21 | void OLED_ShowChar(char x,char y,char chr,char sizey); 22 | u32 oled_pow(char m,char n); 23 | void OLED_ShowNum(char x,char y,u32 num,char len,char sizey); 24 | void OLED_ShowString(char x,char y,char *chr,char sizey); 25 | void OLED_ShowChinese(char x,char y,char no,char sizey); 26 | void OLED_DrawBMP(char x,char y,char sizex, char sizey,char BMP[]); 27 | void OLED_Init(void); 28 | 29 | void OLED_TEST(); 30 | void OLED_SHOW(); 31 | 32 | #endif 33 | 34 | 35 | 36 | 37 | -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/utilities/aft_build.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/utilities/aft_build.sh -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/utilities/flash.init: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/utilities/flash.init -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/sdk/utilities/gdb.init: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/sdk/utilities/gdb.init -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/wujian100_open_top.bin: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/wujian100_open_top.bin -------------------------------------------------------------------------------- /IO_LAB_nexysvideo/wujian100_open_top.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/IO_LAB_nexysvideo/wujian100_open_top.bit -------------------------------------------------------------------------------- /readme.md: -------------------------------------------------------------------------------- 1 | wujian100 SoC application on NexysVideo board 2 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/readme.md: -------------------------------------------------------------------------------- 1 | # NexysVideo IO/LAB 2 | run wujian100 rtthread example in NexysVideo Board 3 | ========== 4 | # Usage 5 | - Configure the FPGA using the wujian100_open_top.bit/wujian100_open_top.bin. 6 | - Connect the T-head debugger and USB wire to NexysVideo board 7 | - Open the IO/LAB sdk project(located in sdk/projects/examples/hello_world/CDK) and using debug button in CDK to load program to wujian100 soc. Open the serial pane in CDK and configure the Uart Settings with 115200-8-N-1. 8 | - When over, you can see 9 | - The serial pane will show two threads output alternately. 10 | - enter "help" in the serial pane to see the basic commands rtthread finsh component surports. 11 | - enter each command to see the results. 12 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/.vscode/settings.json: -------------------------------------------------------------------------------- 1 | { 2 | "files.associations": { 3 | "drv_usi_usart.h": "c" 4 | } 5 | } -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/board/wujian100_open_evb/include/test_kernel_config.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | /****************************************************************************** 6 | * @file test_kernel_config.h 7 | * @brief head file for driver config 8 | * @version V1.0 9 | * @date 02. June 2019 10 | ******************************************************************************/ 11 | 12 | #ifndef _KERNEL_CONFIG_H_ 13 | #define _KERNEL_CONFIG_H_ 14 | #ifdef __cplusplus 15 | extern "C" { 16 | #endif 17 | 18 | 19 | #define TEST_EVENT 20 | #define TEST_SEM 21 | #define TEST_MUTEX 22 | #define TEST_SOFTWARE_TIMER 23 | #define TEST_MSGQ 24 | #define TEST_TASK 25 | #define TEST_MEMPOOL 26 | 27 | #ifdef __cplusplus 28 | } 29 | #endif 30 | 31 | #endif 32 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_driver/wujian100_open/include/pinmux.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | 6 | /****************************************************************************** 7 | * @file pinmux.h 8 | * @brief Header file for the pinmux 9 | * @version V1.0 10 | * @date 02. June 2017 11 | ******************************************************************************/ 12 | 13 | #ifndef _PINMUX_H_ 14 | #define _PINMUX_H_ 15 | 16 | #include 17 | #include "pin_name.h" 18 | 19 | #ifdef __cplusplus 20 | extern "C" { 21 | #endif 22 | 23 | int32_t drv_pinmux_config(pin_name_e pin, pin_func_e pin_func); 24 | 25 | 26 | #ifdef __cplusplus 27 | } 28 | #endif 29 | 30 | #endif /* _PINMUX_H_ */ 31 | 32 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_driver/wujian100_open/include/sys_freq.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | /****************************************************************************** 6 | * @file sys_freq.h 7 | * @brief header file for setting system frequency. 8 | * @version V1.0 9 | * @date 18. July 2018 10 | ******************************************************************************/ 11 | #ifndef _SYS_FREQ_H_ 12 | #define _SYS_FREQ_H_ 13 | 14 | #include 15 | 16 | #ifdef __cplusplus 17 | extern "C" { 18 | #endif 19 | 20 | int32_t drv_get_i2s_freq(int32_t idx); 21 | int32_t drv_get_pwm_freq(int32_t idx); 22 | int32_t drv_get_usart_freq(int32_t idx); 23 | int32_t drv_get_usi_freq(int32_t idx); 24 | int32_t drv_get_sys_freq(void); 25 | int32_t drv_get_apb_freq(void); 26 | int32_t drv_get_rtc_freq(int32_t idx); 27 | int32_t drv_get_timer_freq(int32_t idx); 28 | 29 | int32_t drv_get_cpu_freq(int32_t idx); 30 | 31 | #ifdef __cplusplus 32 | } 33 | #endif 34 | 35 | #endif /* _SYS_FREQ_H_ */ 36 | 37 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_driver/wujian100_open/include/usi_pin_planning.h: -------------------------------------------------------------------------------- 1 | #include 2 | #include "drv_common.h" 3 | #include "csi_config.h" 4 | 5 | //USI device number 6 | #define CONFIG_USI_USART_NUM CONFIG_USI_NUM 7 | #define CONFIG_USI_SPI_NUM CONFIG_USI_NUM 8 | #define CONFIG_USI_I2C_NUM CONFIG_USI_NUM 9 | 10 | //USI_PGPIO information 11 | typedef enum { 12 | ENUM_USI_DEV_USART, 13 | ENUM_USI_DEV_SPI, 14 | ENUM_USI_DEV_I2C, 15 | } usi_dev_type_e; 16 | 17 | //USI_SPI information 18 | typedef struct { 19 | int32_t pin_sclk; 20 | int32_t pin_mosi; 21 | int32_t pin_miso; 22 | int32_t pin_ssel; 23 | int32_t usi_idx; 24 | uint16_t function; 25 | } usi_spi_info_t; 26 | 27 | //USI_I2C information 28 | typedef struct { 29 | int32_t pin_sda; 30 | int32_t pin_scl; 31 | int32_t usi_idx; 32 | uint16_t function; 33 | } usi_i2c_info_t; 34 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_driver/wujian100_open/pinmux.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | 6 | /****************************************************************************** 7 | * @file pinmux.c 8 | * @brief source file for the pinmux 9 | * @version V1.0 10 | * @date 02. June 2019 11 | ******************************************************************************/ 12 | #include 13 | #include "pinmux.h" 14 | #include "pin_name.h" 15 | #include 16 | 17 | int32_t drv_pinmux_config(pin_name_e pin, pin_func_e pin_func) 18 | { 19 | return 0; 20 | } 21 | 22 | int32_t drv_pin_config_mode(port_name_e port, uint8_t offset, gpio_mode_e pin_mode) 23 | { 24 | return 0; 25 | } 26 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_kernel/rhino/common/k_ffs.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2018 Alibaba Group Holding Limited 3 | */ 4 | 5 | /* 6 | DESCRIPTION 7 | This file provides method to find the least/most significant bit in 32 bit 8 | fields. 9 | */ 10 | 11 | #include "k_api.h" 12 | #include "k_ffs.h" 13 | #include "k_bitmap.h" 14 | 15 | /* find most significant bit set */ 16 | 17 | int ffs32_msb(uint32_t bitmap) 18 | { 19 | if (bitmap == 0) 20 | { 21 | return 0; 22 | } 23 | 24 | return 32 - krhino_find_first_bit(&bitmap); 25 | } 26 | 27 | 28 | /* find least significant bit set */ 29 | 30 | int ffs32_lsb(uint32_t bitmap) 31 | { 32 | uint32_t x; 33 | int lsbit; 34 | 35 | if (bitmap == 0) 36 | { 37 | return 0; 38 | } 39 | 40 | x = bitmap & -bitmap; 41 | lsbit = krhino_find_first_bit((uint32_t *)(&x)); 42 | 43 | return 32 - lsbit; 44 | } 45 | 46 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_kernel/rhino/common/k_ffs.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2018 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef __k_ffs_h__ 6 | #define __k_ffs_h__ 7 | 8 | #ifdef __cplusplus 9 | extern "C" { 10 | #endif 11 | 12 | /* function declarations */ 13 | 14 | extern int ffs32_lsb (uint32_t i); 15 | extern int ffs32_msb (uint32_t i); 16 | 17 | #define FFS_LSB(i) ffs32_lsb(i) 18 | #define FFS_MSB(i) ffs32_msb(i) 19 | 20 | #ifdef __cplusplus 21 | } 22 | #endif 23 | 24 | #endif /* __k_ffs_h__ */ 25 | 26 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_kernel/rhino/core/include/k_mm_debug.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef RHINO_MM_DEBUG_H 6 | #define RHINO_MM_DEBUG_H 7 | 8 | #if (RHINO_CONFIG_MM_DEBUG > 0) 9 | 10 | #ifdef __cplusplus 11 | extern "C" { 12 | #endif 13 | 14 | #define AOS_MM_SCAN_REGION_MAX 10 15 | typedef struct { 16 | void *start; 17 | void *end; 18 | } mm_scan_region_t; 19 | 20 | #if (RHINO_CONFIG_GCC_RETADDR > 0u) 21 | #include 22 | #define AOS_UNSIGNED_INT_MSB (1u << (sizeof(unsigned int) * 8 - 1)) 23 | void krhino_owner_attach(k_mm_head *mmhead, void *addr, size_t allocator); 24 | #endif 25 | 26 | uint32_t krhino_mm_leak_region_init(void *start, void *end); 27 | 28 | uint32_t dumpsys_mm_info_func(char *buf, uint32_t len); 29 | 30 | uint32_t dump_mmleak(void); 31 | 32 | #ifdef __cplusplus 33 | } 34 | #endif 35 | 36 | #endif /* RHINO_CONFIG_MM_DEBUG */ 37 | 38 | #endif /* YSH_H */ 39 | 40 | 41 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_kernel/rhino/core/include/k_mm_region.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef K_MM_REGION_H 6 | #define K_MM_REGION_H 7 | 8 | typedef struct { 9 | uint8_t *start; 10 | size_t len; 11 | } k_mm_region_t; 12 | 13 | #endif /* K_MM_REGION_H */ 14 | 15 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_kernel/rhino/core/include/k_sched.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef K_SCHED_H 6 | #define K_SCHED_H 7 | 8 | #define KSCHED_FIFO 0u 9 | #define KSCHED_RR 1u 10 | #define SCHED_MAX_LOCK_COUNT 200u 11 | #define NUM_WORDS ((RHINO_CONFIG_PRI_MAX + 31) / 32) 12 | 13 | typedef struct { 14 | klist_t *cur_list_item[RHINO_CONFIG_PRI_MAX]; 15 | uint32_t task_bit_map[NUM_WORDS]; 16 | uint8_t highest_pri; 17 | } runqueue_t; 18 | 19 | /** 20 | * This function will disable schedule 21 | * @return the operation status, RHINO_SUCCESS is OK, others is error 22 | */ 23 | kstat_t krhino_sched_disable(void); 24 | 25 | /** 26 | * This function will enable schedule 27 | * @return the operation status, RHINO_SUCCESS is OK, others is error 28 | */ 29 | kstat_t krhino_sched_enable(void); 30 | 31 | #endif /* K_SCHED_H */ 32 | 33 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_kernel/rhino/core/include/k_stats.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef K_STATS_H 6 | #define K_STATS_H 7 | 8 | #if (RHINO_CONFIG_SYSTEM_STATS > 0) 9 | void kobj_list_init(void); 10 | #endif 11 | 12 | #if (RHINO_CONFIG_TASK_STACK_OVF_CHECK > 0) 13 | /** 14 | * This function will check task stack overflow 15 | */ 16 | void krhino_stack_ovf_check(void); 17 | #endif 18 | 19 | #if (RHINO_CONFIG_TASK_SCHED_STATS > 0) 20 | /** 21 | * This function will reset task schedule stats 22 | */ 23 | void krhino_task_sched_stats_reset(void); 24 | /** 25 | * This function will get task statistic data 26 | */ 27 | void krhino_task_sched_stats_get(void); 28 | #endif 29 | 30 | #if (RHINO_CONFIG_HW_COUNT > 0) 31 | void krhino_overhead_measure(void); 32 | #endif 33 | 34 | #if (RHINO_CONFIG_CPU_USAGE_STATS > 0) 35 | uint32_t krhino_get_cpu_usage(void); 36 | #endif 37 | 38 | #endif /* K_STATS_H */ 39 | 40 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_kernel/rhino/core/k_err.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #include 6 | 7 | void k_err_proc(kstat_t err) 8 | { 9 | if (g_err_proc != NULL) { 10 | g_err_proc(err); 11 | } 12 | } 13 | 14 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_kernel/rhino/driver/hook_weak.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2016 YunOS Project. All rights reserved. 3 | * 4 | * Licensed under the Apache License, Version 2.0 (the "License"); 5 | * you may not use this file except in compliance with the License. 6 | * You may obtain a copy of the License at 7 | * 8 | * http://www.apache.org/licenses/LICENSE-2.0 9 | * 10 | * Unless required by applicable law or agreed to in writing, software 11 | * distributed under the License is distributed on an "AS IS" BASIS, 12 | * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. 13 | * See the License for the specific language governing permissions and 14 | * limitations under the License. 15 | */ 16 | 17 | void __attribute__((weak)) lpm_idle_hook(void) 18 | { 19 | } 20 | 21 | void __attribute__((weak)) lpm_idle_pre_hook(void) 22 | { 23 | } 24 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_kernel/rhino/driver/systick.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2016 YunOS Project. All rights reserved. 3 | * 4 | * Licensed under the Apache License, Version 2.0 (the "License"); 5 | * you may not use this file except in compliance with the License. 6 | * You may obtain a copy of the License at 7 | * 8 | * http://www.apache.org/licenses/LICENSE-2.0 9 | * 10 | * Unless required by applicable law or agreed to in writing, software 11 | * distributed under the License is distributed on an "AS IS" BASIS, 12 | * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. 13 | * See the License for the specific language governing permissions and 14 | * limitations under the License. 15 | */ 16 | 17 | #include 18 | #include 19 | #include 20 | #include 21 | 22 | uint64_t g_sys_tick_count; 23 | void systick_handler(void) 24 | { 25 | g_sys_tick_count++; 26 | krhino_tick_proc(); 27 | } 28 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/csi_kernel/rhino/pwrmgmt/cpu_pwr_config.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2018 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef __k_config_pwr_mgmt_h__ 6 | #define __k_config_pwr_mgmt_h__ 7 | 8 | /* cpu power management configuration */ 9 | 10 | #ifndef RHINO_CONFIG_CPU_TICKLESS 11 | #define RHINO_CONFIG_CPU_TICKLESS 1 12 | #endif 13 | 14 | #ifndef RHINO_CONFIG_CPU_PWR_MGMT_SHOW 15 | #define RHINO_CONFIG_CPU_PWR_MGMT_SHOW 0 16 | #endif 17 | 18 | #ifndef RHINO_CONFIG_CPU_PWR_P_STATE_SUPPORT 19 | #define RHINO_CONFIG_CPU_PWR_P_STATE_SUPPORT 0 20 | #endif 21 | 22 | #ifndef RHINO_CONFIG_PWR_DEBUG 23 | #define RHINO_CONFIG_PWR_DEBUG 0 24 | #endif 25 | 26 | #ifndef RHINO_PWR_MGMT_ERR 27 | #define RHINO_PWR_MGMT_ERR -1 28 | #endif 29 | 30 | #endif /* __k_config_pwr_mgmt_h__ */ 31 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/libs/include/sys/_stdint.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | 6 | #ifndef _PRIV_STDINT_H_ 7 | #define _PRIV_STDINT_H_ 8 | #ifdef __cplusplus 9 | extern "C" { 10 | #endif 11 | 12 | 13 | /* For newlib and minilibc utint32_t are not same */ 14 | #undef _UINT32_T_DECLARED 15 | #define _UINT32_T_DECLARED 16 | typedef unsigned int uint32_t; 17 | 18 | #undef _INT32_T_DECLARED 19 | #define _INT32_T_DECLARED 20 | typedef signed int int32_t; 21 | 22 | #include_next 23 | 24 | #ifdef __cplusplus 25 | } 26 | #endif 27 | 28 | #endif 29 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/libs/libc/_init.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | /****************************************************************************** 5 | * @file _init.c 6 | * @brief source file for c++ init & uninit 7 | * @version V1.0 8 | * @date 24. April 2019 9 | ******************************************************************************/ 10 | 11 | #include 12 | #include 13 | 14 | #ifndef CPP_WEAK 15 | #define CPP_WEAK __attribute__((weak)) 16 | #endif 17 | 18 | extern int __dtor_end__; 19 | extern int __ctor_end__; 20 | extern int __ctor_start__; 21 | 22 | typedef void (*func_ptr) (void); 23 | 24 | CPP_WEAK void _init(void) 25 | { 26 | func_ptr *p; 27 | for (p = (func_ptr *)&__ctor_end__ - 1; p >= (func_ptr *)&__ctor_start__; p--) { 28 | (*p) (); 29 | } 30 | } 31 | 32 | CPP_WEAK void _fini(void) 33 | { 34 | func_ptr *p; 35 | for (p = (func_ptr *)&__ctor_end__; p <= (func_ptr *)&__dtor_end__ - 1; p++) { 36 | (*p) (); 37 | } 38 | } 39 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/libs/libnewlib_wrap.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/sdk/libs/libnewlib_wrap.a -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/core/vic/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/driver/dmac/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/driver/gpio/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/driver/iic/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/driver/pwm/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/driver/rtc/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/driver/spi/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/driver/spi/w25q64fv.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | /****************************************************************************** 6 | * @file w25q64fv.h 7 | * @brief header file for w25q64fv driver 8 | * @version V1.0 9 | * @date 02. June 2017 10 | ******************************************************************************/ 11 | #ifndef __W25Q64FV_H_ 12 | #define __W25Q64FV_H_ 13 | #ifdef __cplusplus 14 | extern "C" { 15 | #endif 16 | 17 | 18 | #define W25Q64FV_PAGE_SIZE 0x100 19 | 20 | #define W25Q64FV_ADDR_START 0x0 21 | #define W25Q64FV_ADDR_END 0x10000 22 | #define W25Q64FV_CLK_RATE 1000000 /* 1MHZ */ 23 | 24 | #define IS_FLASH_ADDR(addr) \ 25 | ((addr >= W25Q64FV_ADDR_START) && (addr < W25Q64FV_ADDR_END)) 26 | 27 | #ifdef __cplusplus 28 | } 29 | #endif 30 | 31 | #endif /* __W25Q64FV_H_ */ 32 | 33 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/driver/timer/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/driver/usart/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/driver/wdt/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/compilation.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/compilation.db -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/refactoring.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/refactoring.db -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/wujian100_open-hello_world.session: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/wujian100_open-hello_world.tags: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/wujian100_open-hello_world.tags -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean All 2 | 3 | All: 4 | @echo "----------Building project:[ wujian100_open-hello_world - BuildSet ]----------" 5 | @ 6 | @make -f "wujian100_open-hello_world.mk" MakeIntermediateDirs && make -f "wujian100_open-hello_world.mk" -j 16 7 | @echo Executing Post Build commands ... 8 | @export CDKPath="D:/CDK/C-sky" ProjectPath="D:/wujian100_rtthread/rtt_sdk_work_finsh/projects/examples/hello_world/CDK" && "D:/wujian100_rtthread/rtt_sdk_work_finsh/projects/examples/hello_world/CDK//../../../../utilities//aft_build.sh" 9 | @echo Done 10 | 11 | clean: 12 | @echo "----------Cleaning project:[ wujian100_open-hello_world - BuildSet ]----------" 13 | @make -f "wujian100_open-hello_world.mk" clean 14 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open-hello_world.elf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open-hello_world.elf -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/cdkws.mk: -------------------------------------------------------------------------------- 1 | .PHONY: clean All Project_Title Project_Build Project_PostBuild 2 | 3 | All: Project_Title Project_Build Project_PostBuild 4 | 5 | Project_Title: 6 | @echo "----------Building project:[ wujian100_open-hello_world - BuildSet ]----------" 7 | 8 | Project_Build: 9 | @make -r -f wujian100_open-hello_world.mk -j 16 -C ./ 10 | 11 | Project_PostBuild: 12 | @echo Executing Post Build commands ... 13 | @export CDKPath="D:/C-Sky/CDK" CDK_VERSION="V2.10.3" ProjectPath="C:/Users/hnaym/Desktop/T_head_data/nexys_video/rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/" && "C:/Users/hnaym/Desktop/T_head_data/nexys_video/rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/../../../../utilities//aft_build.sh" 14 | @echo Done 15 | 16 | 17 | clean: 18 | @echo "----------Cleaning project:[ wujian100_open-hello_world - BuildSet ]----------" 19 | 20 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/rttos2wujian100/components/finsh/msh.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (c) 2006-2018, RT-Thread Development Team 3 | * 4 | * SPDX-License-Identifier: Apache-2.0 5 | * 6 | * Change Logs: 7 | * Date Author Notes 8 | * 2013-03-30 Bernard the first verion for FinSH 9 | */ 10 | 11 | #ifndef __M_SHELL__ 12 | #define __M_SHELL__ 13 | 14 | #include 15 | 16 | rt_bool_t msh_is_used(void); 17 | int msh_exec(char *cmd, rt_size_t length); 18 | void msh_auto_complete(char *prefix); 19 | 20 | int msh_exec_module(const char *cmd_line, int size); 21 | int msh_exec_script(const char *cmd_line, int size); 22 | 23 | #endif 24 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/rttos2wujian100/include/libc/libc_dirent.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (c) 2006-2018, RT-Thread Development Team 3 | * 4 | * SPDX-License-Identifier: Apache-2.0 5 | */ 6 | 7 | #ifndef LIBC_DIRENT_H__ 8 | #define LIBC_DIRENT_H__ 9 | 10 | #define DT_UNKNOWN 0x00 11 | #define DT_REG 0x01 12 | #define DT_DIR 0x02 13 | 14 | #endif 15 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/rttos2wujian100/include/rtlibc.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (c) 2006-2018, RT-Thread Development Team 3 | * 4 | * SPDX-License-Identifier: Apache-2.0 5 | * 6 | * Change Logs: 7 | * Date Author Notes 8 | * 2017-01-21 Bernard the first version 9 | */ 10 | 11 | #ifndef RTLIBC_H__ 12 | #define RTLIBC_H__ 13 | 14 | /* definitions for libc if toolchain has no these definitions */ 15 | #include "libc/libc_stat.h" 16 | #include "libc/libc_errno.h" 17 | 18 | #include "libc/libc_fcntl.h" 19 | #include "libc/libc_ioctl.h" 20 | #include "libc/libc_dirent.h" 21 | #include "libc/libc_signal.h" 22 | #include "libc/libc_fdset.h" 23 | 24 | #if defined(__CC_ARM) || defined(__CLANG_ARM) || defined(__IAR_SYSTEMS_ICC__) 25 | typedef signed long off_t; 26 | typedef int mode_t; 27 | #endif 28 | 29 | #if defined(__MINGW32__) || defined(_WIN32) 30 | typedef signed long off_t; 31 | typedef int mode_t; 32 | #endif 33 | 34 | #endif 35 | 36 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/rttos2wujian100/libcpu/common/cpuport.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (c) 2006-2018, RT-Thread Development Team 3 | * 4 | * SPDX-License-Identifier: Apache-2.0 5 | * 6 | * Change Logs: 7 | * Date Author Notes 8 | * 2018-10-03 Bernard The first version 9 | */ 10 | 11 | #ifndef CPUPORT_H__ 12 | #define CPUPORT_H__ 13 | 14 | #include 15 | 16 | /* bytes of register width */ 17 | #ifdef ARCH_CPU_64BIT 18 | #define STORE sd 19 | #define LOAD ld 20 | #define REGBYTES 8 21 | #else 22 | #define STORE sw 23 | #define LOAD lw 24 | #define REGBYTES 4 25 | #endif 26 | 27 | #endif 28 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/rttos2wujian100/src/cpu.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (c) 2006-2018, RT-Thread Development Team 3 | * 4 | * SPDX-License-Identifier: Apache-2.0 5 | * 6 | * Change Logs: 7 | * Date Author Notes 8 | * 2018-10-30 Bernard The first version 9 | */ 10 | 11 | #include 12 | #include 13 | 14 | /* nothing on non-smp version */ 15 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/rttos2wujian100/src/finsh_e902.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/rttos2wujian100/src/finsh_e902.c -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/rttos2wujian100/src/ringbuffer_RTtread.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/rttos2wujian100/src/ringbuffer_RTtread.c -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/wujian100_open-hello_world.cdkws: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/CDK/wujian100_open-hello_world.modify.bat: -------------------------------------------------------------------------------- 1 | @echo off 2 | SET PATH=%Systemroot%\System32;%PATH% 3 | forfiles.exe -P "%1" -M %2 -C "cmd /c echo %1/%2 is modified at: @fdate @ftime" | findstr modified 4 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/projects/examples/hello_world/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/utilities/aft_build.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/sdk/utilities/aft_build.sh -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/utilities/flash.init: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/sdk/utilities/flash.init -------------------------------------------------------------------------------- /rtthread_nexysvideo/sdk/utilities/gdb.init: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/sdk/utilities/gdb.init -------------------------------------------------------------------------------- /rtthread_nexysvideo/wujian100_open_top.bin: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/wujian100_open_top.bin -------------------------------------------------------------------------------- /rtthread_nexysvideo/wujian100_open_top.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/rtthread_nexysvideo/wujian100_open_top.bit -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/.vscode/settings.json: -------------------------------------------------------------------------------- 1 | { 2 | "files.associations": { 3 | "drv_gpio.h": "c", 4 | "ff.h": "c", 5 | "vs1053.h": "c", 6 | "io.h": "c", 7 | "drv_spi.h": "c", 8 | "drv_usi_spi.h": "c", 9 | "diskio.h": "c", 10 | "soc.h": "c", 11 | "wj_usi_spi.h": "c", 12 | "csi_config.h": "c", 13 | "stdio.h": "c" 14 | }, 15 | "restructuredtext.confPath": "" 16 | } -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/VERSION: -------------------------------------------------------------------------------- 1 | CSI-v1.7.2 2 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/board/wujian100_open_evb/include/test_kernel_config.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | /****************************************************************************** 6 | * @file test_kernel_config.h 7 | * @brief head file for driver config 8 | * @version V1.0 9 | * @date 02. June 2019 10 | ******************************************************************************/ 11 | 12 | #ifndef _KERNEL_CONFIG_H_ 13 | #define _KERNEL_CONFIG_H_ 14 | #ifdef __cplusplus 15 | extern "C" { 16 | #endif 17 | 18 | 19 | #define TEST_EVENT 20 | #define TEST_SEM 21 | #define TEST_MUTEX 22 | #define TEST_SOFTWARE_TIMER 23 | #define TEST_MSGQ 24 | #define TEST_TASK 25 | #define TEST_MEMPOOL 26 | 27 | #ifdef __cplusplus 28 | } 29 | #endif 30 | 31 | #endif 32 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_driver/wujian100_open/include/pinmux.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | 6 | /****************************************************************************** 7 | * @file pinmux.h 8 | * @brief Header file for the pinmux 9 | * @version V1.0 10 | * @date 02. June 2017 11 | ******************************************************************************/ 12 | 13 | #ifndef _PINMUX_H_ 14 | #define _PINMUX_H_ 15 | 16 | #include 17 | #include "pin_name.h" 18 | 19 | #ifdef __cplusplus 20 | extern "C" { 21 | #endif 22 | 23 | int32_t drv_pinmux_config(pin_name_e pin, pin_func_e pin_func); 24 | 25 | 26 | #ifdef __cplusplus 27 | } 28 | #endif 29 | 30 | #endif /* _PINMUX_H_ */ 31 | 32 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_driver/wujian100_open/include/sys_freq.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | /****************************************************************************** 6 | * @file sys_freq.h 7 | * @brief header file for setting system frequency. 8 | * @version V1.0 9 | * @date 18. July 2018 10 | ******************************************************************************/ 11 | #ifndef _SYS_FREQ_H_ 12 | #define _SYS_FREQ_H_ 13 | 14 | #include 15 | 16 | #ifdef __cplusplus 17 | extern "C" { 18 | #endif 19 | 20 | int32_t drv_get_i2s_freq(int32_t idx); 21 | int32_t drv_get_pwm_freq(int32_t idx); 22 | int32_t drv_get_usart_freq(int32_t idx); 23 | int32_t drv_get_usi_freq(int32_t idx); 24 | int32_t drv_get_sys_freq(void); 25 | int32_t drv_get_apb_freq(void); 26 | int32_t drv_get_rtc_freq(int32_t idx); 27 | int32_t drv_get_timer_freq(int32_t idx); 28 | 29 | int32_t drv_get_cpu_freq(int32_t idx); 30 | 31 | #ifdef __cplusplus 32 | } 33 | #endif 34 | 35 | #endif /* _SYS_FREQ_H_ */ 36 | 37 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_driver/wujian100_open/include/usi_pin_planning.h: -------------------------------------------------------------------------------- 1 | #include 2 | #include "drv_common.h" 3 | #include "csi_config.h" 4 | 5 | //USI device number 6 | #define CONFIG_USI_USART_NUM CONFIG_USI_NUM 7 | #define CONFIG_USI_SPI_NUM CONFIG_USI_NUM 8 | #define CONFIG_USI_I2C_NUM CONFIG_USI_NUM 9 | 10 | //USI_PGPIO information 11 | typedef enum { 12 | ENUM_USI_DEV_USART, 13 | ENUM_USI_DEV_SPI, 14 | ENUM_USI_DEV_I2C, 15 | } usi_dev_type_e; 16 | 17 | //USI_SPI information 18 | typedef struct { 19 | int32_t pin_sclk; 20 | int32_t pin_mosi; 21 | int32_t pin_miso; 22 | int32_t pin_ssel; 23 | int32_t usi_idx; 24 | uint16_t function; 25 | } usi_spi_info_t; 26 | 27 | //USI_I2C information 28 | typedef struct { 29 | int32_t pin_sda; 30 | int32_t pin_scl; 31 | int32_t usi_idx; 32 | uint16_t function; 33 | } usi_i2c_info_t; 34 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_driver/wujian100_open/pinmux.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | 6 | /****************************************************************************** 7 | * @file pinmux.c 8 | * @brief source file for the pinmux 9 | * @version V1.0 10 | * @date 02. June 2019 11 | ******************************************************************************/ 12 | #include 13 | #include "pinmux.h" 14 | #include "pin_name.h" 15 | #include 16 | 17 | int32_t drv_pinmux_config(pin_name_e pin, pin_func_e pin_func) 18 | { 19 | return 0; 20 | } 21 | 22 | int32_t drv_pin_config_mode(port_name_e port, uint8_t offset, gpio_mode_e pin_mode) 23 | { 24 | return 0; 25 | } 26 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_kernel/rhino/common/k_ffs.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2018 Alibaba Group Holding Limited 3 | */ 4 | 5 | /* 6 | DESCRIPTION 7 | This file provides method to find the least/most significant bit in 32 bit 8 | fields. 9 | */ 10 | 11 | #include "k_api.h" 12 | #include "k_ffs.h" 13 | #include "k_bitmap.h" 14 | 15 | /* find most significant bit set */ 16 | 17 | int ffs32_msb(uint32_t bitmap) 18 | { 19 | if (bitmap == 0) 20 | { 21 | return 0; 22 | } 23 | 24 | return 32 - krhino_find_first_bit(&bitmap); 25 | } 26 | 27 | 28 | /* find least significant bit set */ 29 | 30 | int ffs32_lsb(uint32_t bitmap) 31 | { 32 | uint32_t x; 33 | int lsbit; 34 | 35 | if (bitmap == 0) 36 | { 37 | return 0; 38 | } 39 | 40 | x = bitmap & -bitmap; 41 | lsbit = krhino_find_first_bit((uint32_t *)(&x)); 42 | 43 | return 32 - lsbit; 44 | } 45 | 46 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_kernel/rhino/common/k_ffs.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2018 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef __k_ffs_h__ 6 | #define __k_ffs_h__ 7 | 8 | #ifdef __cplusplus 9 | extern "C" { 10 | #endif 11 | 12 | /* function declarations */ 13 | 14 | extern int ffs32_lsb (uint32_t i); 15 | extern int ffs32_msb (uint32_t i); 16 | 17 | #define FFS_LSB(i) ffs32_lsb(i) 18 | #define FFS_MSB(i) ffs32_msb(i) 19 | 20 | #ifdef __cplusplus 21 | } 22 | #endif 23 | 24 | #endif /* __k_ffs_h__ */ 25 | 26 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_kernel/rhino/core/include/k_mm_debug.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef RHINO_MM_DEBUG_H 6 | #define RHINO_MM_DEBUG_H 7 | 8 | #if (RHINO_CONFIG_MM_DEBUG > 0) 9 | 10 | #ifdef __cplusplus 11 | extern "C" { 12 | #endif 13 | 14 | #define AOS_MM_SCAN_REGION_MAX 10 15 | typedef struct { 16 | void *start; 17 | void *end; 18 | } mm_scan_region_t; 19 | 20 | #if (RHINO_CONFIG_GCC_RETADDR > 0u) 21 | #include 22 | #define AOS_UNSIGNED_INT_MSB (1u << (sizeof(unsigned int) * 8 - 1)) 23 | void krhino_owner_attach(k_mm_head *mmhead, void *addr, size_t allocator); 24 | #endif 25 | 26 | uint32_t krhino_mm_leak_region_init(void *start, void *end); 27 | 28 | uint32_t dumpsys_mm_info_func(char *buf, uint32_t len); 29 | 30 | uint32_t dump_mmleak(void); 31 | 32 | #ifdef __cplusplus 33 | } 34 | #endif 35 | 36 | #endif /* RHINO_CONFIG_MM_DEBUG */ 37 | 38 | #endif /* YSH_H */ 39 | 40 | 41 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_kernel/rhino/core/include/k_mm_region.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef K_MM_REGION_H 6 | #define K_MM_REGION_H 7 | 8 | typedef struct { 9 | uint8_t *start; 10 | size_t len; 11 | } k_mm_region_t; 12 | 13 | #endif /* K_MM_REGION_H */ 14 | 15 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_kernel/rhino/core/include/k_sched.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef K_SCHED_H 6 | #define K_SCHED_H 7 | 8 | #define KSCHED_FIFO 0u 9 | #define KSCHED_RR 1u 10 | #define SCHED_MAX_LOCK_COUNT 200u 11 | #define NUM_WORDS ((RHINO_CONFIG_PRI_MAX + 31) / 32) 12 | 13 | typedef struct { 14 | klist_t *cur_list_item[RHINO_CONFIG_PRI_MAX]; 15 | uint32_t task_bit_map[NUM_WORDS]; 16 | uint8_t highest_pri; 17 | } runqueue_t; 18 | 19 | /** 20 | * This function will disable schedule 21 | * @return the operation status, RHINO_SUCCESS is OK, others is error 22 | */ 23 | kstat_t krhino_sched_disable(void); 24 | 25 | /** 26 | * This function will enable schedule 27 | * @return the operation status, RHINO_SUCCESS is OK, others is error 28 | */ 29 | kstat_t krhino_sched_enable(void); 30 | 31 | #endif /* K_SCHED_H */ 32 | 33 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_kernel/rhino/core/include/k_stats.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef K_STATS_H 6 | #define K_STATS_H 7 | 8 | #if (RHINO_CONFIG_SYSTEM_STATS > 0) 9 | void kobj_list_init(void); 10 | #endif 11 | 12 | #if (RHINO_CONFIG_TASK_STACK_OVF_CHECK > 0) 13 | /** 14 | * This function will check task stack overflow 15 | */ 16 | void krhino_stack_ovf_check(void); 17 | #endif 18 | 19 | #if (RHINO_CONFIG_TASK_SCHED_STATS > 0) 20 | /** 21 | * This function will reset task schedule stats 22 | */ 23 | void krhino_task_sched_stats_reset(void); 24 | /** 25 | * This function will get task statistic data 26 | */ 27 | void krhino_task_sched_stats_get(void); 28 | #endif 29 | 30 | #if (RHINO_CONFIG_HW_COUNT > 0) 31 | void krhino_overhead_measure(void); 32 | #endif 33 | 34 | #if (RHINO_CONFIG_CPU_USAGE_STATS > 0) 35 | uint32_t krhino_get_cpu_usage(void); 36 | #endif 37 | 38 | #endif /* K_STATS_H */ 39 | 40 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_kernel/rhino/core/k_err.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2015-2017 Alibaba Group Holding Limited 3 | */ 4 | 5 | #include 6 | 7 | void k_err_proc(kstat_t err) 8 | { 9 | if (g_err_proc != NULL) { 10 | g_err_proc(err); 11 | } 12 | } 13 | 14 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_kernel/rhino/driver/hook_weak.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2016 YunOS Project. All rights reserved. 3 | * 4 | * Licensed under the Apache License, Version 2.0 (the "License"); 5 | * you may not use this file except in compliance with the License. 6 | * You may obtain a copy of the License at 7 | * 8 | * http://www.apache.org/licenses/LICENSE-2.0 9 | * 10 | * Unless required by applicable law or agreed to in writing, software 11 | * distributed under the License is distributed on an "AS IS" BASIS, 12 | * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. 13 | * See the License for the specific language governing permissions and 14 | * limitations under the License. 15 | */ 16 | 17 | void __attribute__((weak)) lpm_idle_hook(void) 18 | { 19 | } 20 | 21 | void __attribute__((weak)) lpm_idle_pre_hook(void) 22 | { 23 | } 24 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_kernel/rhino/driver/systick.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2016 YunOS Project. All rights reserved. 3 | * 4 | * Licensed under the Apache License, Version 2.0 (the "License"); 5 | * you may not use this file except in compliance with the License. 6 | * You may obtain a copy of the License at 7 | * 8 | * http://www.apache.org/licenses/LICENSE-2.0 9 | * 10 | * Unless required by applicable law or agreed to in writing, software 11 | * distributed under the License is distributed on an "AS IS" BASIS, 12 | * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. 13 | * See the License for the specific language governing permissions and 14 | * limitations under the License. 15 | */ 16 | 17 | #include 18 | #include 19 | #include 20 | #include 21 | 22 | uint64_t g_sys_tick_count; 23 | void systick_handler(void) 24 | { 25 | g_sys_tick_count++; 26 | krhino_tick_proc(); 27 | } 28 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/csi_kernel/rhino/pwrmgmt/cpu_pwr_config.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2018 Alibaba Group Holding Limited 3 | */ 4 | 5 | #ifndef __k_config_pwr_mgmt_h__ 6 | #define __k_config_pwr_mgmt_h__ 7 | 8 | /* cpu power management configuration */ 9 | 10 | #ifndef RHINO_CONFIG_CPU_TICKLESS 11 | #define RHINO_CONFIG_CPU_TICKLESS 1 12 | #endif 13 | 14 | #ifndef RHINO_CONFIG_CPU_PWR_MGMT_SHOW 15 | #define RHINO_CONFIG_CPU_PWR_MGMT_SHOW 0 16 | #endif 17 | 18 | #ifndef RHINO_CONFIG_CPU_PWR_P_STATE_SUPPORT 19 | #define RHINO_CONFIG_CPU_PWR_P_STATE_SUPPORT 0 20 | #endif 21 | 22 | #ifndef RHINO_CONFIG_PWR_DEBUG 23 | #define RHINO_CONFIG_PWR_DEBUG 0 24 | #endif 25 | 26 | #ifndef RHINO_PWR_MGMT_ERR 27 | #define RHINO_PWR_MGMT_ERR -1 28 | #endif 29 | 30 | #endif /* __k_config_pwr_mgmt_h__ */ 31 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/libs/include/sys/_stdint.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | 6 | #ifndef _PRIV_STDINT_H_ 7 | #define _PRIV_STDINT_H_ 8 | #ifdef __cplusplus 9 | extern "C" { 10 | #endif 11 | 12 | 13 | /* For newlib and minilibc utint32_t are not same */ 14 | #undef _UINT32_T_DECLARED 15 | #define _UINT32_T_DECLARED 16 | typedef unsigned int uint32_t; 17 | 18 | #undef _INT32_T_DECLARED 19 | #define _INT32_T_DECLARED 20 | typedef signed int int32_t; 21 | 22 | #include_next 23 | 24 | #ifdef __cplusplus 25 | } 26 | #endif 27 | 28 | #endif 29 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/libs/libc/_init.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | /****************************************************************************** 5 | * @file _init.c 6 | * @brief source file for c++ init & uninit 7 | * @version V1.0 8 | * @date 24. April 2019 9 | ******************************************************************************/ 10 | 11 | #include 12 | #include 13 | 14 | #ifndef CPP_WEAK 15 | #define CPP_WEAK __attribute__((weak)) 16 | #endif 17 | 18 | extern int __dtor_end__; 19 | extern int __ctor_end__; 20 | extern int __ctor_start__; 21 | 22 | typedef void (*func_ptr) (void); 23 | 24 | CPP_WEAK void _init(void) 25 | { 26 | func_ptr *p; 27 | for (p = (func_ptr *)&__ctor_end__ - 1; p >= (func_ptr *)&__ctor_start__; p--) { 28 | (*p) (); 29 | } 30 | } 31 | 32 | CPP_WEAK void _fini(void) 33 | { 34 | func_ptr *p; 35 | for (p = (func_ptr *)&__ctor_end__; p <= (func_ptr *)&__dtor_end__ - 1; p++) { 36 | (*p) (); 37 | } 38 | } 39 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/libs/libnewlib_wrap.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/libs/libnewlib_wrap.a -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/core/vic/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/dmac/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/compilation.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/compilation.db -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/refactoring.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/refactoring.db -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/wujian100_open-gpio.tags: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/.cdk/wujian100_open-gpio.tags -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean All 2 | 3 | All: 4 | @echo "----------Building project:[ wujian100_open-gpio - BuildSet ]----------" 5 | @ 6 | @make -f "wujian100_open-gpio.mk" MakeIntermediateDirs && make -f "wujian100_open-gpio.mk" -j 16 7 | @echo Executing Post Build commands ... 8 | @export CDKPath="D:/CDK/C-sky" ProjectPath="D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/projects/examples/driver/gpio/CDK" && "D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/projects/examples/driver/gpio/CDK//../../../../../utilities//aft_build.sh" 9 | @echo Done 10 | 11 | clean: 12 | @echo "----------Cleaning project:[ wujian100_open-gpio - BuildSet ]----------" 13 | @make -f "wujian100_open-gpio.mk" clean 14 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/.d: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/__rt_entry.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/__rt_entry.S -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/gpio_example_gpio.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/gpio_example_gpio.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc__init.d: -------------------------------------------------------------------------------- 1 | Obj/libc__init.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/libc/_init.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h 4 | 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 6 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc__init.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc__init.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_clock_gettime.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_clock_gettime.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_malloc.d: -------------------------------------------------------------------------------- 1 | Obj/libc_malloc.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/libc/malloc.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\umm_heap.h \ 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h \ 6 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h 7 | 8 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 9 | 10 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\umm_heap.h: 11 | 12 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h: 13 | 14 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h: 15 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_malloc.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_malloc.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_minilibc_port.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/libc_minilibc_port.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_addlast.d: -------------------------------------------------------------------------------- 1 | Obj/mm_dq_addlast.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/mm/dq_addlast.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h 4 | 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h: 6 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_addlast.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_addlast.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_rem.d: -------------------------------------------------------------------------------- 1 | Obj/mm_dq_rem.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/mm/dq_rem.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h 4 | 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h: 6 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_rem.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_dq_rem.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_lib_mallinfo.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_lib_mallinfo.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_addfreechunk.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_addfreechunk.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/mm/mm_addfreechunk.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h 6 | 7 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 8 | 9 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h: 10 | 11 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h: 12 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_addfreechunk.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_addfreechunk.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_free.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_free.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/mm/mm_free.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\errno.h \ 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h \ 6 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h 7 | 8 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 9 | 10 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\errno.h: 11 | 12 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h: 13 | 14 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h: 15 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_free.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_free.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_initialize.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_initialize.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/mm/mm_initialize.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h 6 | 7 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 8 | 9 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h: 10 | 11 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h: 12 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_initialize.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_initialize.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_leak.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_leak.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_mallinfo.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_mallinfo.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/mm/mm_mallinfo.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h 6 | 7 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 8 | 9 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h: 10 | 11 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h: 12 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_mallinfo.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_mallinfo.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_malloc.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_malloc.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/mm/mm_malloc.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h 6 | 7 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 8 | 9 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h: 10 | 11 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h: 12 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_malloc.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_malloc.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_size2ndx.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_size2ndx.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/mm/mm_size2ndx.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h \ 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h 6 | 7 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 8 | 9 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm.h: 10 | 11 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\mm_queue.h: 12 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_size2ndx.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/mm_mm_size2ndx.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/ringbuffer_ringbuffer.d: -------------------------------------------------------------------------------- 1 | Obj/ringbuffer_ringbuffer.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/ringbuffer/ringbuffer.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\ringbuffer\ringbuffer.h 4 | 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\libs\include\ringbuffer\ringbuffer.h: 6 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/ringbuffer_ringbuffer.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/ringbuffer_ringbuffer.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/syslog_syslog.d: -------------------------------------------------------------------------------- 1 | Obj/syslog_syslog.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/libs/syslog/syslog.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h 4 | 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 6 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/syslog_syslog.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/syslog_syslog.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open-gpio.elf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open-gpio.elf -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_devices.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_devices.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_evb_board_init.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_evb_board_init.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_isr.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_isr.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_lib.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_lib.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_novic_irq_tbl.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_novic_irq_tbl.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_pinmux.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_pinmux.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_startup.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_startup.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/csi_driver/wujian100_open/startup.S \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h 4 | 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 6 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_startup.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_startup.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_sys_freq.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_sys_freq.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_system.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_system.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_trap_c.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_trap_c.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/csi_driver/wujian100_open/trap_c.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h \ 4 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\csi_core.h \ 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\core_rv32.h \ 6 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\csi_rv32_gcc.h 7 | 8 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 9 | 10 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\csi_core.h: 11 | 12 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\core_rv32.h: 13 | 14 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\csi_rv32_gcc.h: 15 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_trap_c.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_trap_c.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_vectors.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_vectors.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/csi_driver/wujian100_open/vectors.S \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h 4 | 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 6 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_vectors.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_vectors.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_dmac_v2.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_dmac_v2.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_irq.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_wj_irq.o: \ 2 | D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/csi_driver/wujian100_open/wj_irq.c \ 3 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\csi_core.h \ 4 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\core_rv32.h \ 5 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\csi_rv32_gcc.h \ 6 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h 7 | 8 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\csi_core.h: 9 | 10 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\core_rv32.h: 11 | 12 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\csi_core\include\csi_rv32_gcc.h: 13 | 14 | d:\wujian100\wujian100_open-master\wujian100_open-master\sdk\projects\examples\driver\gpio\configs\csi_config.h: 15 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_irq.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_irq.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_gpio.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_gpio.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_timer.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_timer.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_wdt.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_oip_wdt.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_pwm.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_pwm.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_rtc.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_rtc.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_iic.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_iic.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_spi.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_spi.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_usart.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_usart.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_wrap.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/Obj/wujian100_open_wj_usi_wrap.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/wujian100_open-gpio.cdkws: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/CDK/wujian100_open-gpio.txt: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_evb_board_init.o Obj/wujian100_open_wj_dmac_v2.o Obj/wujian100_open_wj_irq.o Obj/wujian100_open_wj_pwm.o Obj/wujian100_open_wj_rtc.o Obj/wujian100_open_wj_usi.o Obj/wujian100_open_wj_usi_iic.o Obj/wujian100_open_wj_usi_spi.o Obj/wujian100_open_wj_usi_usart.o Obj/wujian100_open_wj_usi_wrap.o Obj/wujian100_open_devices.o Obj/wujian100_open_wj_oip_gpio.o Obj/wujian100_open_wj_oip_timer.o Obj/wujian100_open_wj_oip_wdt.o Obj/wujian100_open_isr.o Obj/wujian100_open_lib.o Obj/wujian100_open_novic_irq_tbl.o Obj/wujian100_open_pinmux.o Obj/wujian100_open_startup.o Obj/wujian100_open_sys_freq.o Obj/wujian100_open_system.o Obj/wujian100_open_trap_c.o Obj/wujian100_open_vectors.o Obj/libc_clock_gettime.o Obj/libc__init.o Obj/libc_malloc.o Obj/libc_minilibc_port.o Obj/mm_dq_addlast.o Obj/mm_dq_rem.o Obj/mm_lib_mallinfo.o Obj/mm_mm_addfreechunk.o Obj/mm_mm_free.o Obj/mm_mm_initialize.o Obj/mm_mm_leak.o Obj/mm_mm_mallinfo.o Obj/mm_mm_malloc.o Obj/mm_mm_size2ndx.o Obj/ringbuffer_ringbuffer.o Obj/syslog_syslog.o Obj/gpio_example_gpio.o 2 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/gpio/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/iic/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/pwm/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/rtc/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/spi/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/spi/w25q64fv.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | /****************************************************************************** 6 | * @file w25q64fv.h 7 | * @brief header file for w25q64fv driver 8 | * @version V1.0 9 | * @date 02. June 2017 10 | ******************************************************************************/ 11 | #ifndef __W25Q64FV_H_ 12 | #define __W25Q64FV_H_ 13 | #ifdef __cplusplus 14 | extern "C" { 15 | #endif 16 | 17 | 18 | #define W25Q64FV_PAGE_SIZE 0x100 19 | 20 | #define W25Q64FV_ADDR_START 0x0 21 | #define W25Q64FV_ADDR_END 0x10000 22 | #define W25Q64FV_CLK_RATE 1000000 /* 1MHZ */ 23 | 24 | #define IS_FLASH_ADDR(addr) \ 25 | ((addr >= W25Q64FV_ADDR_START) && (addr < W25Q64FV_ADDR_END)) 26 | 27 | #ifdef __cplusplus 28 | } 29 | #endif 30 | 31 | #endif /* __W25Q64FV_H_ */ 32 | 33 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/timer/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/usart/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/driver/wdt/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/compilation.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/compilation.db -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/refactoring.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/refactoring.db -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/wujian100_open-hello_world.session: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/wujian100_open-hello_world.tags: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/.cdk/wujian100_open-hello_world.tags -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean All 2 | 3 | All: 4 | @echo "----------Building project:[ wujian100_open-hello_world - BuildSet ]----------" 5 | @make -f "wujian100_open-hello_world.mk" clean_internal 6 | @ 7 | @make -f "wujian100_open-hello_world.mk" MakeIntermediateDirs && make -f "wujian100_open-hello_world.mk" -j 16 8 | @echo Executing Post Build commands ... 9 | @export CDKPath="D:/CDK/C-sky" ProjectPath="D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/projects/examples/hello_world/CDK" && "D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/projects/examples/hello_world/CDK//../../../../utilities//aft_build.sh" 10 | @echo Done 11 | 12 | clean: 13 | @echo "----------Cleaning project:[ wujian100_open-hello_world - BuildSet ]----------" 14 | @make -f "wujian100_open-hello_world.mk" clean 15 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/.d: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/__rt_entry.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/__rt_entry.S -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/hello_world_main.d: -------------------------------------------------------------------------------- 1 | Obj/hello_world_main.o: ../main.c 2 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/hello_world_main.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/hello_world_main.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc__init.d: -------------------------------------------------------------------------------- 1 | Obj/libc__init.o: ../../../../libs/libc/_init.c ../configs/csi_config.h 2 | ../configs/csi_config.h: 3 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc__init.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc__init.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc_clock_gettime.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc_clock_gettime.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc_malloc.d: -------------------------------------------------------------------------------- 1 | Obj/libc_malloc.o: ../../../../libs/libc/malloc.c ../configs/csi_config.h \ 2 | ../../../../libs/include/umm_heap.h ../../../../libs/include/mm.h \ 3 | ../../../../libs/include/mm_queue.h 4 | ../configs/csi_config.h: 5 | ../../../../libs/include/umm_heap.h: 6 | ../../../../libs/include/mm.h: 7 | ../../../../libs/include/mm_queue.h: 8 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc_malloc.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc_malloc.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc_minilibc_port.d: -------------------------------------------------------------------------------- 1 | Obj/libc_minilibc_port.o: ../../../../libs/libc/minilibc_port.c \ 2 | ../configs/csi_config.h ../../../../csi_driver/include/drv_usart.h \ 3 | ../../../../csi_driver/include/drv_common.h \ 4 | ../../../../csi_driver/include/drv_errno.h \ 5 | ../../../../libs/include/errno.h 6 | ../configs/csi_config.h: 7 | ../../../../csi_driver/include/drv_usart.h: 8 | ../../../../csi_driver/include/drv_common.h: 9 | ../../../../csi_driver/include/drv_errno.h: 10 | ../../../../libs/include/errno.h: 11 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc_minilibc_port.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/libc_minilibc_port.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_dq_addlast.d: -------------------------------------------------------------------------------- 1 | Obj/mm_dq_addlast.o: ../../../../libs/mm/dq_addlast.c \ 2 | ../../../../libs/include/mm_queue.h 3 | ../../../../libs/include/mm_queue.h: 4 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_dq_addlast.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_dq_addlast.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_dq_rem.d: -------------------------------------------------------------------------------- 1 | Obj/mm_dq_rem.o: ../../../../libs/mm/dq_rem.c \ 2 | ../../../../libs/include/mm_queue.h 3 | ../../../../libs/include/mm_queue.h: 4 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_dq_rem.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_dq_rem.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_lib_mallinfo.d: -------------------------------------------------------------------------------- 1 | Obj/mm_lib_mallinfo.o: ../../../../libs/mm/lib_mallinfo.c \ 2 | ../configs/csi_config.h ../../../../libs/include/mm.h \ 3 | ../../../../libs/include/mm_queue.h ../../../../libs/include/umm_heap.h \ 4 | ../../../../libs/include/mm.h 5 | ../configs/csi_config.h: 6 | ../../../../libs/include/mm.h: 7 | ../../../../libs/include/mm_queue.h: 8 | ../../../../libs/include/umm_heap.h: 9 | ../../../../libs/include/mm.h: 10 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_lib_mallinfo.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_lib_mallinfo.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_addfreechunk.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_addfreechunk.o: ../../../../libs/mm/mm_addfreechunk.c \ 2 | ../configs/csi_config.h ../../../../libs/include/mm.h \ 3 | ../../../../libs/include/mm_queue.h 4 | ../configs/csi_config.h: 5 | ../../../../libs/include/mm.h: 6 | ../../../../libs/include/mm_queue.h: 7 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_addfreechunk.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_addfreechunk.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_free.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_free.o: ../../../../libs/mm/mm_free.c ../configs/csi_config.h \ 2 | ../../../../libs/include/errno.h ../../../../libs/include/mm.h \ 3 | ../../../../libs/include/mm_queue.h 4 | ../configs/csi_config.h: 5 | ../../../../libs/include/errno.h: 6 | ../../../../libs/include/mm.h: 7 | ../../../../libs/include/mm_queue.h: 8 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_free.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_free.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_initialize.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_initialize.o: ../../../../libs/mm/mm_initialize.c \ 2 | ../configs/csi_config.h ../../../../libs/include/mm.h \ 3 | ../../../../libs/include/mm_queue.h 4 | ../configs/csi_config.h: 5 | ../../../../libs/include/mm.h: 6 | ../../../../libs/include/mm_queue.h: 7 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_initialize.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_initialize.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_leak.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_leak.o: ../../../../libs/mm/mm_leak.c ../configs/csi_config.h \ 2 | ../../../../libs/include/mm.h ../../../../libs/include/mm_queue.h \ 3 | ../../../../libs/include/umm_heap.h ../../../../libs/include/mm.h 4 | ../configs/csi_config.h: 5 | ../../../../libs/include/mm.h: 6 | ../../../../libs/include/mm_queue.h: 7 | ../../../../libs/include/umm_heap.h: 8 | ../../../../libs/include/mm.h: 9 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_leak.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_leak.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_mallinfo.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_mallinfo.o: ../../../../libs/mm/mm_mallinfo.c \ 2 | ../configs/csi_config.h ../../../../libs/include/mm.h \ 3 | ../../../../libs/include/mm_queue.h 4 | ../configs/csi_config.h: 5 | ../../../../libs/include/mm.h: 6 | ../../../../libs/include/mm_queue.h: 7 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_mallinfo.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_mallinfo.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_malloc.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_malloc.o: ../../../../libs/mm/mm_malloc.c \ 2 | ../configs/csi_config.h ../../../../libs/include/mm.h \ 3 | ../../../../libs/include/mm_queue.h 4 | ../configs/csi_config.h: 5 | ../../../../libs/include/mm.h: 6 | ../../../../libs/include/mm_queue.h: 7 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_malloc.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_malloc.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_size2ndx.d: -------------------------------------------------------------------------------- 1 | Obj/mm_mm_size2ndx.o: ../../../../libs/mm/mm_size2ndx.c \ 2 | ../configs/csi_config.h ../../../../libs/include/mm.h \ 3 | ../../../../libs/include/mm_queue.h 4 | ../configs/csi_config.h: 5 | ../../../../libs/include/mm.h: 6 | ../../../../libs/include/mm_queue.h: 7 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_size2ndx.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/mm_mm_size2ndx.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/ringbuffer_ringbuffer.d: -------------------------------------------------------------------------------- 1 | Obj/ringbuffer_ringbuffer.o: ../../../../libs/ringbuffer/ringbuffer.c \ 2 | ../../../../libs/include/ringbuffer/ringbuffer.h 3 | ../../../../libs/include/ringbuffer/ringbuffer.h: 4 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/ringbuffer_ringbuffer.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/ringbuffer_ringbuffer.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/syslog_syslog.d: -------------------------------------------------------------------------------- 1 | Obj/syslog_syslog.o: ../../../../libs/syslog/syslog.c \ 2 | ../configs/csi_config.h 3 | ../configs/csi_config.h: 4 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/syslog_syslog.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/syslog_syslog.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open-hello_world.elf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open-hello_world.elf -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_devices.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_devices.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_evb_board_init.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_evb_board_init.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_isr.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_isr.o: ../../../../csi_driver/wujian100_open/isr.c \ 2 | ../../../../csi_driver/include/drv_common.h \ 3 | ../../../../csi_driver/include/drv_errno.h \ 4 | ../../../../libs/include/errno.h ../configs/csi_config.h \ 5 | ../../../../csi_driver/wujian100_open/include/soc.h \ 6 | ../../../../csi_core/include/csi_core.h \ 7 | ../../../../csi_core/include/core_rv32.h \ 8 | ../../../../csi_core/include/csi_rv32_gcc.h \ 9 | ../../../../csi_driver/wujian100_open/include/sys_freq.h 10 | ../../../../csi_driver/include/drv_common.h: 11 | ../../../../csi_driver/include/drv_errno.h: 12 | ../../../../libs/include/errno.h: 13 | ../configs/csi_config.h: 14 | ../../../../csi_driver/wujian100_open/include/soc.h: 15 | ../../../../csi_core/include/csi_core.h: 16 | ../../../../csi_core/include/core_rv32.h: 17 | ../../../../csi_core/include/csi_rv32_gcc.h: 18 | ../../../../csi_driver/wujian100_open/include/sys_freq.h: 19 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_isr.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_isr.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_lib.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_lib.o: ../../../../csi_driver/wujian100_open/lib.c \ 2 | ../configs/csi_config.h \ 3 | ../../../../csi_driver/wujian100_open/include/soc.h \ 4 | ../../../../csi_core/include/csi_core.h \ 5 | ../../../../csi_core/include/core_rv32.h \ 6 | ../../../../csi_core/include/csi_rv32_gcc.h \ 7 | ../../../../csi_driver/wujian100_open/include/sys_freq.h \ 8 | ../../../../csi_driver/include/drv_usart.h \ 9 | ../../../../csi_driver/include/drv_common.h \ 10 | ../../../../csi_driver/include/drv_errno.h \ 11 | ../../../../libs/include/errno.h 12 | ../configs/csi_config.h: 13 | ../../../../csi_driver/wujian100_open/include/soc.h: 14 | ../../../../csi_core/include/csi_core.h: 15 | ../../../../csi_core/include/core_rv32.h: 16 | ../../../../csi_core/include/csi_rv32_gcc.h: 17 | ../../../../csi_driver/wujian100_open/include/sys_freq.h: 18 | ../../../../csi_driver/include/drv_usart.h: 19 | ../../../../csi_driver/include/drv_common.h: 20 | ../../../../csi_driver/include/drv_errno.h: 21 | ../../../../libs/include/errno.h: 22 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_lib.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_lib.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_novic_irq_tbl.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_novic_irq_tbl.o: \ 2 | ../../../../csi_driver/wujian100_open/novic_irq_tbl.c \ 3 | ../configs/csi_config.h \ 4 | ../../../../csi_driver/wujian100_open/include/soc.h \ 5 | ../../../../csi_core/include/csi_core.h \ 6 | ../../../../csi_core/include/core_rv32.h \ 7 | ../../../../csi_core/include/csi_rv32_gcc.h \ 8 | ../../../../csi_driver/wujian100_open/include/sys_freq.h 9 | ../configs/csi_config.h: 10 | ../../../../csi_driver/wujian100_open/include/soc.h: 11 | ../../../../csi_core/include/csi_core.h: 12 | ../../../../csi_core/include/core_rv32.h: 13 | ../../../../csi_core/include/csi_rv32_gcc.h: 14 | ../../../../csi_driver/wujian100_open/include/sys_freq.h: 15 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_novic_irq_tbl.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_novic_irq_tbl.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_pinmux.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_pinmux.o: \ 2 | ../../../../csi_driver/wujian100_open/pinmux.c \ 3 | ../../../../csi_driver/wujian100_open/include/pinmux.h \ 4 | ../../../../csi_driver/wujian100_open/include/pin_name.h \ 5 | ../../../../csi_driver/wujian100_open/include/pin_name.h \ 6 | ../../../../csi_driver/include/drv_gpio.h \ 7 | ../../../../csi_driver/include/drv_common.h \ 8 | ../../../../csi_driver/include/drv_errno.h \ 9 | ../../../../libs/include/errno.h 10 | ../../../../csi_driver/wujian100_open/include/pinmux.h: 11 | ../../../../csi_driver/wujian100_open/include/pin_name.h: 12 | ../../../../csi_driver/wujian100_open/include/pin_name.h: 13 | ../../../../csi_driver/include/drv_gpio.h: 14 | ../../../../csi_driver/include/drv_common.h: 15 | ../../../../csi_driver/include/drv_errno.h: 16 | ../../../../libs/include/errno.h: 17 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_pinmux.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_pinmux.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_startup.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_startup.o: \ 2 | ../../../../csi_driver/wujian100_open/startup.S ../configs/csi_config.h 3 | ../configs/csi_config.h: 4 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_startup.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_startup.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_sys_freq.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_sys_freq.o: \ 2 | ../../../../csi_driver/wujian100_open/sys_freq.c \ 3 | ../../../../csi_driver/wujian100_open/include/soc.h \ 4 | ../../../../csi_core/include/csi_core.h \ 5 | ../../../../csi_core/include/core_rv32.h \ 6 | ../../../../csi_core/include/csi_rv32_gcc.h \ 7 | ../../../../csi_driver/wujian100_open/include/sys_freq.h 8 | ../../../../csi_driver/wujian100_open/include/soc.h: 9 | ../../../../csi_core/include/csi_core.h: 10 | ../../../../csi_core/include/core_rv32.h: 11 | ../../../../csi_core/include/csi_rv32_gcc.h: 12 | ../../../../csi_driver/wujian100_open/include/sys_freq.h: 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_sys_freq.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_sys_freq.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_system.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_system.o: \ 2 | ../../../../csi_driver/wujian100_open/system.c ../configs/csi_config.h \ 3 | ../../../../csi_driver/wujian100_open/include/soc.h \ 4 | ../../../../csi_core/include/csi_core.h \ 5 | ../../../../csi_core/include/core_rv32.h \ 6 | ../../../../csi_core/include/csi_rv32_gcc.h \ 7 | ../../../../csi_driver/wujian100_open/include/sys_freq.h \ 8 | ../../../../csi_driver/include/drv_irq.h 9 | ../configs/csi_config.h: 10 | ../../../../csi_driver/wujian100_open/include/soc.h: 11 | ../../../../csi_core/include/csi_core.h: 12 | ../../../../csi_core/include/core_rv32.h: 13 | ../../../../csi_core/include/csi_rv32_gcc.h: 14 | ../../../../csi_driver/wujian100_open/include/sys_freq.h: 15 | ../../../../csi_driver/include/drv_irq.h: 16 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_system.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_system.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_trap_c.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_trap_c.o: \ 2 | ../../../../csi_driver/wujian100_open/trap_c.c ../configs/csi_config.h \ 3 | ../../../../csi_core/include/csi_core.h \ 4 | ../../../../csi_core/include/core_rv32.h \ 5 | ../../../../csi_core/include/csi_rv32_gcc.h 6 | ../configs/csi_config.h: 7 | ../../../../csi_core/include/csi_core.h: 8 | ../../../../csi_core/include/core_rv32.h: 9 | ../../../../csi_core/include/csi_rv32_gcc.h: 10 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_trap_c.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_trap_c.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_vectors.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_vectors.o: \ 2 | ../../../../csi_driver/wujian100_open/vectors.S ../configs/csi_config.h 3 | ../configs/csi_config.h: 4 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_vectors.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_vectors.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_dmac_v2.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_dmac_v2.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_irq.d: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_wj_irq.o: \ 2 | ../../../../csi_driver/wujian100_open/wj_irq.c \ 3 | ../../../../csi_core/include/csi_core.h \ 4 | ../../../../csi_core/include/core_rv32.h \ 5 | ../../../../csi_core/include/csi_rv32_gcc.h ../configs/csi_config.h 6 | ../../../../csi_core/include/csi_core.h: 7 | ../../../../csi_core/include/core_rv32.h: 8 | ../../../../csi_core/include/csi_rv32_gcc.h: 9 | ../configs/csi_config.h: 10 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_irq.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_irq.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_oip_gpio.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_oip_gpio.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_oip_timer.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_oip_timer.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_oip_wdt.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_oip_wdt.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_pwm.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_pwm.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_rtc.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_rtc.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_usi.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_usi.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_usi_iic.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_usi_iic.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_usi_spi.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_usi_spi.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_usi_usart.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_usi_usart.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_usi_wrap.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/Obj/wujian100_open_wj_usi_wrap.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/cdkws.mk: -------------------------------------------------------------------------------- 1 | .PHONY: clean All Project_Title Project_Build Project_PostBuild 2 | 3 | All: Project_Title Project_Build Project_PostBuild 4 | 5 | Project_Title: 6 | @echo "----------Building project:[ wujian100_open-hello_world - BuildSet ]----------" 7 | 8 | Project_Build: 9 | @make -r -f wujian100_open-hello_world.mk -j 16 -C ./ 10 | 11 | Project_PostBuild: 12 | @echo Executing Post Build commands ... 13 | @export CDKPath="D:/C-Sky/CDK" CDK_VERSION="V2.10.3" ProjectPath="C:/Users/hnaym/Desktop/T_head_data/nexys_video/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/" && "C:/Users/hnaym/Desktop/T_head_data/nexys_video/softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/../../../../utilities//aft_build.sh" 14 | @echo Done 15 | 16 | 17 | clean: 18 | @echo "----------Cleaning project:[ wujian100_open-hello_world - BuildSet ]----------" 19 | 20 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/wujian100_open-hello_world.cdkws: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/wujian100_open-hello_world.modify.bat: -------------------------------------------------------------------------------- 1 | @echo off 2 | SET PATH=%Systemroot%\System32;%PATH% 3 | forfiles.exe -P "%1" -M %2 -C "cmd /c echo %1/%2 is modified at: @fdate @ftime" | findstr modified 4 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/CDK/wujian100_open-hello_world.txt: -------------------------------------------------------------------------------- 1 | Obj/wujian100_open_evb_board_init.o Obj/wujian100_open_wj_dmac_v2.o Obj/wujian100_open_wj_irq.o Obj/wujian100_open_wj_pwm.o Obj/wujian100_open_wj_rtc.o Obj/wujian100_open_wj_usi.o Obj/wujian100_open_wj_usi_iic.o Obj/wujian100_open_wj_usi_spi.o Obj/wujian100_open_wj_usi_usart.o Obj/wujian100_open_wj_usi_wrap.o Obj/wujian100_open_devices.o Obj/wujian100_open_wj_oip_gpio.o Obj/wujian100_open_wj_oip_timer.o Obj/wujian100_open_wj_oip_wdt.o Obj/wujian100_open_isr.o Obj/wujian100_open_lib.o Obj/wujian100_open_novic_irq_tbl.o Obj/wujian100_open_pinmux.o Obj/wujian100_open_startup.o Obj/wujian100_open_sys_freq.o Obj/wujian100_open_system.o Obj/wujian100_open_trap_c.o Obj/wujian100_open_vectors.o Obj/libc_clock_gettime.o Obj/libc__init.o Obj/libc_malloc.o Obj/libc_minilibc_port.o Obj/mm_dq_addlast.o Obj/mm_dq_rem.o Obj/mm_lib_mallinfo.o Obj/mm_mm_addfreechunk.o Obj/mm_mm_free.o Obj/mm_mm_initialize.o Obj/mm_mm_leak.o Obj/mm_mm_mallinfo.o Obj/mm_mm_malloc.o Obj/mm_mm_size2ndx.o Obj/ringbuffer_ringbuffer.o Obj/syslog_syslog.o Obj/hello_world_main.o -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/hello_world/main.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (C) 2017-2019 Alibaba Group Holding Limited 3 | */ 4 | 5 | 6 | /****************************************************************************** 7 | * @file main.c 8 | * @brief hello world 9 | * @version V1.0 10 | * @date 17. Jan 2018 11 | ******************************************************************************/ 12 | 13 | #include 14 | 15 | int main(void) 16 | { 17 | printf("Hello World!\n"); 18 | 19 | return 0; 20 | } 21 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/.cdk/compilation.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/.cdk/compilation.db -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/.cdk/refactoring.db: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/.cdk/refactoring.db -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/.cdk/wujian100_open-hello_world.tags: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/.cdk/wujian100_open-hello_world.tags -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean All 2 | 3 | All: 4 | @echo "----------Building project:[ wujian100_open-hello_world - BuildSet ]----------" 5 | @ 6 | @make -f "wujian100_open-hello_world.mk" MakeIntermediateDirs && make -f "wujian100_open-hello_world.mk" -j 16 7 | @echo Executing Post Build commands ... 8 | @export CDKPath="D:/CDK/C-sky" ProjectPath="D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/projects/examples/spi_sd/CDK" && "D:/wujian100/wujian100_open-master/wujian100_open-master/sdk/projects/examples/spi_sd/CDK//../../../../utilities//aft_build.sh" 9 | @echo Done 10 | 11 | clean: 12 | @echo "----------Cleaning project:[ wujian100_open-hello_world - BuildSet ]----------" 13 | @make -f "wujian100_open-hello_world.mk" clean 14 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/cdkws.mk: -------------------------------------------------------------------------------- 1 | .PHONY: clean All Project_Title Project_Build Project_PostBuild 2 | 3 | All: Project_Title Project_Build Project_PostBuild 4 | 5 | Project_Title: 6 | @echo "----------Building project:[ wujian100_open-hello_world - BuildSet ]----------" 7 | 8 | Project_Build: 9 | @make -r -f wujian100_open-hello_world.mk -j 16 -C ./ 10 | 11 | Project_PostBuild: 12 | @echo Executing Post Build commands ... 13 | @export CDKPath="D:/C-Sky/CDK" CDK_VERSION="V2.10.3" ProjectPath="C:/Users/hnaym/Desktop/T_head_data/nexys_video/softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/" && "C:/Users/hnaym/Desktop/T_head_data/nexys_video/softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/../../../../utilities//aft_build.sh" 14 | @echo Done 15 | 16 | 17 | clean: 18 | @echo "----------Cleaning project:[ wujian100_open-hello_world - BuildSet ]----------" 19 | 20 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/wujian100_open-hello_world.cdkws: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/CDK/wujian100_open-hello_world.modify.bat: -------------------------------------------------------------------------------- 1 | @echo off 2 | SET PATH=%Systemroot%\System32;%PATH% 3 | forfiles.exe -P "%1" -M %2 -C "cmd /c echo %1/%2 is modified at: @fdate @ftime" | findstr modified 4 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/configs/csi_config.h: -------------------------------------------------------------------------------- 1 | #ifndef __CSI_CONFIG_H__ 2 | #define __CSI_CONFIG_H__ 3 | #define CONFIG_ARCH_RV32 1 4 | #define CONFIG_CPU_RV32EMC 1 5 | #define CONFIG_CHIP_WUJIAN100_OPEN 1 6 | #define CONFIG_BOARD_WUJIAN100_OPEN_EVB 1 7 | #define CONFIG_BOARD_NAME_STR "wujian100_open_evb" 8 | #define CONFIG_KERNEL_NONE 1 9 | #define CONFIG_ARCH_INTERRUPTSTACK 4096 10 | #define CONFIG_NEWLIB_WRAP 1 11 | #define CONFIG_USER_DEFINED_LD_DIR_STR "" 12 | #endif 13 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/oled128_32/oled128_32.h: -------------------------------------------------------------------------------- 1 | #ifndef oled_128_32_h 2 | #define oled_128_32_h 3 | 4 | 5 | #define u16 unsigned int 6 | #define u32 unsigned int 7 | 8 | #define OLED_CMD 0 //写命令 9 | #define OLED_DATA 1 //写数据 10 | 11 | 12 | //OLED控制用函数 13 | void delay_ms(unsigned int ms); 14 | void OLED_ColorTurn(char i); 15 | void OLED_DisplayTurn(char i); 16 | void OLED_WR_Byte(char dat,char cmd); 17 | void OLED_Set_Pos(char x, char y); 18 | void OLED_Display_On(void); 19 | void OLED_Display_Off(void); 20 | void OLED_Clear(void); 21 | void OLED_ShowChar(char x,char y,char chr,char sizey); 22 | u32 oled_pow(char m,char n); 23 | void OLED_ShowNum(char x,char y,u32 num,char len,char sizey); 24 | void OLED_ShowString(char x,char y,char *chr,char sizey); 25 | void OLED_ShowChinese(char x,char y,char no,char sizey); 26 | void OLED_DrawBMP(char x,char y,char sizex, char sizey,char BMP[]); 27 | void OLED_Init(void); 28 | 29 | void OLED_TEST(); 30 | 31 | 32 | #endif 33 | 34 | 35 | 36 | 37 | -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/sd_driver/main.txt: -------------------------------------------------------------------------------- 1 | // #include "ff.h" /* Declarations of FatFs API */ 2 | 3 | // FATFS FatFs; /* FatFs work area needed for each volume */ 4 | // FIL Fil; /* File object needed for each open file */ 5 | 6 | 7 | // int main (void) 8 | // { 9 | // UINT bw; 10 | // FRESULT fr; 11 | 12 | 13 | // f_mount(&FatFs, "", 0); /* Give a work area to the default drive */ 14 | 15 | // fr = f_open(&Fil, "newfile.txt", FA_WRITE | FA_CREATE_ALWAYS); /* Create a file */ 16 | // if (fr == FR_OK) { 17 | // f_write(&Fil, "It works!\r\n", 11, &bw); /* Write data to the file */ 18 | // fr = f_close(&Fil); /* Close the file */ 19 | // if (fr == FR_OK && bw == 11) { 20 | // printf(sd card sucess\r\n); 21 | // } 22 | // } 23 | 24 | // while(1); 25 | // } -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/projects/examples/spi_sd/sd_driver/sd_gpio_driver.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/projects/examples/spi_sd/sd_driver/sd_gpio_driver.zip -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/utilities/aft_build.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/utilities/aft_build.sh -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/utilities/flash.init: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/utilities/flash.init -------------------------------------------------------------------------------- /softmax_nexysvideo/sdk/utilities/gdb.init: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/sdk/utilities/gdb.init -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian100_open_top.bin: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/vivado_work/wujian100_open_top.bin -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian100_open_top.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/vivado_work/wujian100_open_top.bit -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian_src/softmax/fix_shift.v: -------------------------------------------------------------------------------- 1 | module fix_shift( 2 | input [31:0] input_data, 3 | input wire clk, 4 | input wire rst, 5 | output [4:0] befor_point, 6 | output [3:0] after_point_0, 7 | output [3:0] after_point_1, 8 | output [3:0] after_point_2, 9 | output [3:0] after_point_3 10 | ); 11 | wire [25:0] temp; 12 | reg [31:0] data_reg; 13 | wire [15:0] fix_num; 14 | wire [2:0] point_position; 15 | 16 | assign fix_num = {data_reg[12:0],3'b0}; 17 | assign point_position = data_reg[15:13]; 18 | 19 | assign temp = {5'b00000,fix_num,5'b00000} << point_position; 20 | assign {befor_point,after_point_0,after_point_1,after_point_2,after_point_3} = temp[25:5]; 21 | 22 | always @ (posedge clk or negedge rst) 23 | begin 24 | if(rst == 0) 25 | begin 26 | data_reg <= 0; 27 | end 28 | else 29 | data_reg <= input_data; 30 | end 31 | endmodule -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian_src/softmax/my_combline.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | ////////////////////////////////////////////////////////////////////////////////// 3 | // Company: 4 | // Engineer: 5 | // 6 | // Create Date: 2018/07/26 17:10:55 7 | // Design Name: 8 | // Module Name: 16to32 9 | // Project Name: 10 | // Target Devices: 11 | // Tool Versions: 12 | // Description: 13 | // 14 | // Dependencies: 15 | // 16 | // Revision: 17 | // Revision 0.01 - File Created 18 | // Additional Comments: 19 | // 20 | ////////////////////////////////////////////////////////////////////////////////// 21 | 22 | 23 | module w1_combine( 24 | input wire [15:0] din, 25 | output wire [31:0] dout 26 | ); 27 | assign dout = {16'b0,din[15:0]}; 28 | endmodule 29 | -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian_src/softmax/myslice.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | ////////////////////////////////////////////////////////////////////////////////// 3 | // Company: 4 | // Engineer: 5 | // 6 | // Create Date: 2018/06/05 21:32:47 7 | // Design Name: 8 | // Module Name: myslice 9 | // Project Name: 10 | // Target Devices: 11 | // Tool Versions: 12 | // Description: 13 | // 14 | // Dependencies: 15 | // 16 | // Revision: 17 | // Revision 0.01 - File Created 18 | // Additional Comments: 19 | // 20 | ////////////////////////////////////////////////////////////////////////////////// 21 | 22 | 23 | module myslice 24 | #( 25 | parameter integer IN_BITS = 1, 26 | parameter integer OUT_BITS = 1, 27 | parameter integer SLICE_BIT_HIGH = 1, 28 | parameter integer SLICE_BIT_LOW = 1 29 | ) 30 | ( 31 | input wire [IN_BITS:0] din, 32 | output wire [OUT_BITS:0] dout 33 | ); 34 | 35 | assign dout = din[SLICE_BIT_HIGH:SLICE_BIT_LOW]; 36 | endmodule 37 | -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian_src/softmax/reg3.v: -------------------------------------------------------------------------------- 1 | module reg3 2 | #( 3 | parameter integer BITS = 1 4 | ) 5 | ( 6 | input wire clk, 7 | input wire rst, 8 | input wire [BITS:0] din, 9 | output wire [BITS:0] dout 10 | ); 11 | reg [BITS:0] reg1; 12 | reg [BITS:0] reg2; 13 | reg [BITS:0] reg3; 14 | 15 | 16 | assign dout = reg3; 17 | 18 | always @ (posedge clk or negedge rst) 19 | begin 20 | if(rst == 0) 21 | begin 22 | reg1 <= 0; 23 | reg2 <= 0; 24 | reg3 <= 0; 25 | 26 | end 27 | else 28 | begin 29 | reg1 <= din; 30 | reg2 <= reg1; 31 | reg3 <= reg2; 32 | end 33 | end 34 | 35 | endmodule -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian_src/softmax/reg3_16bit.v: -------------------------------------------------------------------------------- 1 | module reg3_16bit 2 | #( 3 | parameter integer BITS = 15 4 | ) 5 | ( 6 | input wire clk, 7 | input wire rst, 8 | input wire [BITS:0] din, 9 | output wire [BITS:0] dout 10 | ); 11 | reg [BITS:0] reg1; 12 | reg [BITS:0] reg2; 13 | reg [BITS:0] reg3; 14 | 15 | 16 | assign dout = reg3; 17 | 18 | always @ (posedge clk or negedge rst) 19 | begin 20 | if(rst == 0) 21 | begin 22 | reg1 <= 0; 23 | reg2 <= 0; 24 | reg3 <= 0; 25 | 26 | end 27 | else 28 | begin 29 | reg1 <= din; 30 | reg2 <= reg1; 31 | reg3 <= reg2; 32 | end 33 | end 34 | 35 | endmodule 36 | -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian_src/tb/.tb.v.swp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/vivado_work/wujian_src/tb/.tb.v.swp -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian_src/wujian100_open_lib.filelist: -------------------------------------------------------------------------------- 1 | ./sim_lib/PAD_DIG_IO.v 2 | ./sim_lib/PAD_OSC_IO.v 3 | ./sim_lib/STD_CELL.v 4 | ./sim_lib/fpga_byte_spram.v 5 | ./sim_lib/fpga_spram.v 6 | -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian_src/wujian100_open_lib_for_iverilog.filelist: -------------------------------------------------------------------------------- 1 | ../soc/sim_lib/PAD_DIG_IO.v 2 | ../soc/sim_lib/PAD_OSC_IO.v 3 | ../soc/sim_lib/STD_CELL.v 4 | ../soc/sim_lib/fpga_byte_spram.v 5 | ../soc/sim_lib/fpga_spram.v 6 | -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian_src/wujian100_open_syn.filelist: -------------------------------------------------------------------------------- 1 | +incdir+./params 2 | ./ahb_matrix_top.v 3 | ./wujian100_open_top.v 4 | ./smu_top.v 5 | ./sms.v 6 | ./ls_sub_top.v 7 | ./retu_top.v 8 | ./tim5.v 9 | ./tim.v 10 | ./dmac.v 11 | ./pdu_top.v 12 | ./tim2.v 13 | ./usi1.v 14 | ./aou_top.v 15 | ./matrix.v 16 | ./dummy.v 17 | ./pwm.v 18 | ./usi0.v 19 | ./apb0_sub_top.v 20 | ./common.v 21 | ./wdt.v 22 | ./tim1.v 23 | ./rtc.v 24 | ./E902_20191018.v 25 | ./tim7.v 26 | ./apb0.v 27 | ./apb1_sub_top.v 28 | ./gpio0.v 29 | ./tim4.v 30 | ./tim3.v 31 | ./clkgen.v 32 | ./core_top.v 33 | ./tim6.v 34 | ./apb1.v 35 | -------------------------------------------------------------------------------- /softmax_nexysvideo/vivado_work/wujian_src/wujian100_open_syn_for_iverilog.filelist: -------------------------------------------------------------------------------- 1 | +incdir+../soc/params 2 | ../soc/ahb_matrix_top.v 3 | ../soc/wujian100_open_top.v 4 | ../soc/smu_top.v 5 | ../soc/sms.v 6 | ../soc/ls_sub_top.v 7 | ../soc/retu_top.v 8 | ../soc/tim5.v 9 | ../soc/tim.v 10 | ../soc/dmac.v 11 | ../soc/pdu_top.v 12 | ../soc/tim2.v 13 | ../soc/usi1.v 14 | ../soc/aou_top.v 15 | ../soc/matrix.v 16 | ../soc/dummy.v 17 | ../soc/pwm.v 18 | ../soc/usi0.v 19 | ../soc/apb0_sub_top.v 20 | ../soc/common.v 21 | ../soc/wdt.v 22 | ../soc/tim1.v 23 | ../soc/rtc.v 24 | ../soc/E902_20191018.v 25 | ../soc/tim7.v 26 | ../soc/apb0.v 27 | ../soc/apb1_sub_top.v 28 | ../soc/gpio0.v 29 | ../soc/tim4.v 30 | ../soc/tim3.v 31 | ../soc/clkgen.v 32 | ../soc/core_top.v 33 | ../soc/tim6.v 34 | ../soc/apb1.v 35 | -------------------------------------------------------------------------------- /softmax_nexysvideo/野火串口调试助手.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/softmax_nexysvideo/野火串口调试助手.exe -------------------------------------------------------------------------------- /wujian100_nexysvideo/wujian100_nexysvideo.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/wujian100_nexysvideo/wujian100_nexysvideo.pdf -------------------------------------------------------------------------------- /wujian100_nexysvideo/wujian100_open_top.bin: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/wujian100_nexysvideo/wujian100_open_top.bin -------------------------------------------------------------------------------- /wujian100_nexysvideo/wujian100_open_top.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/CustomizableComputingLab/Nexysvideo_wujian100/1419e2bc529f65483a63d12336f928928d8b0bf1/wujian100_nexysvideo/wujian100_open_top.bit --------------------------------------------------------------------------------