├── .gitattributes ├── .gitignore ├── 00.Papilio_Schematic_Library └── Libraries │ ├── Benchy │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer8.vhd │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer8_jtag.vhd │ ├── BRAM6k36bit.vhd │ ├── BRAM8k36bit.vhd │ ├── Mem_Gen_36bit.vhd │ ├── clockman_papilio.vhd │ ├── controller.vhd │ ├── core.vhd │ ├── decoder.vhd │ ├── demux.vhd │ ├── eia232.vhd │ ├── filter.vhd │ ├── flags.vhd │ ├── group_selector.vhd │ ├── muldex.vhd │ ├── muldex_16.vhd │ ├── muldex_8.vhd │ ├── prescaler.vhd │ ├── receiver.vhd │ ├── rle.vhd │ ├── rle_enc.vhd │ ├── rle_fmt.vhd │ ├── sampler.vhd │ ├── spi_receiver.vhd │ ├── spi_slave.vhd │ ├── spi_transmitter.vhd │ ├── sram_bram.vhd │ ├── stage.vhd │ ├── sync.vhd │ ├── testmode.vhd │ ├── transmitter.vhd │ └── trigger.vhd │ ├── Wishbone_Peripherals │ ├── AUDIO_zpuino_sa_audiomixer.vhd │ ├── AUDIO_zpuino_sa_sigmadeltaDAC.vhd │ ├── AUDIO_zpuino_wb_YM2149.vhd │ ├── AUDIO_zpuino_wb_passthrough.vhd │ ├── AUDIO_zpuino_wb_pokey.vhd │ ├── AUDIO_zpuino_wb_sid6581.vhd │ ├── BENCHY_zpuino_wb_waveform_generator.vhd │ ├── COMM_zpuino_wb_SPI.vhd │ ├── COMM_zpuino_wb_UART.vhd │ ├── COMM_zpuino_wb_i2c.vhd │ ├── MISC_zpuino_sa_splitter2.vhd │ ├── MISC_zpuino_sa_splitter4.vhd │ ├── MISC_zpuino_wb_SevenSeg.vhd │ ├── TEMPLATE_zpuino_wb_Wishbone.vhd │ ├── VIDEO_zpuino_wb_char_ram_8x8_sp.vhd │ ├── VIDEO_zpuino_wb_vga_hqvga.vhd │ ├── VIDEO_zpuino_wb_vga_zxspectrum.vhd │ ├── Wishbone_Empty_Slot.vhd │ ├── bscan_spi_spartan6.vhd │ ├── clk_32to100_dcm.vhd │ ├── clk_32to100_pll.vhd │ ├── clk_32to200_pll.vhd │ ├── clk_32to25_dcm.vhd │ ├── clk_32to288_dcm.vhd │ ├── clk_32to300_pll.vhd │ ├── clk_32to350_pll.vhd │ ├── clk_32to400_pll.vhd │ ├── clk_32to50_dcm.vhd │ ├── clk_32to800_pll.vhd │ ├── clk_32to960_pll.vhd │ ├── conv_signed.vhd │ ├── i2c_master_bit_ctrl.vhd │ ├── i2c_master_byte_ctrl.vhd │ ├── papilio_stepper.vhd │ ├── sid_6581.vhd │ ├── sid_coeffs.vhd │ ├── sid_components.vhd │ ├── sid_filters.vhd │ ├── sid_voice.vhd │ ├── sincos_lut.vhd │ ├── spi.vhd │ ├── spiclkgen.vhd │ ├── spimaster.vhd │ ├── tx_unit.vhd │ ├── uart_brgen.vhd │ ├── waveform_gen.vhd │ ├── zpuino_uart_mv_filter.vhd │ ├── zpuino_uart_rx.vhd │ └── zpuino_vga_ram.vhd │ ├── Xilinx_Symbol_Library │ ├── Papilio_Schematic_Library.cat │ ├── Papilio_Schematic_Library.cat.base │ ├── Papilio_Schematic_Library.lib │ └── Papilio_Schematic_Library.lib.base │ └── ZPUino_1 │ ├── Arcade_MegaWing_Pinout.vhd │ ├── LogicStart_MegaWing_Pinout.vhd │ ├── PSL_Papilio_DUO_LX9 │ ├── bootloader.vhd │ ├── clkgen.vhd │ ├── papilio_duo.ucf │ ├── papilio_duo_top.vhd │ ├── prom-generic-dp-32.vhd │ ├── sdram_hamster.vhd │ ├── sdram_wrap.vhd │ ├── sram_ctrl8.vhd │ ├── stack.vhd │ ├── tb.vhd │ ├── wb_bootloader.vhd │ ├── wishbonepkg.vhd │ ├── zpu_config.vhd │ ├── zpuino_config.vhd │ ├── zpuinopkg.vhd │ └── zpupkg.vhd │ ├── PSL_Papilio_One_250K │ ├── clkgen.vhd │ ├── papilio_one.ucf │ ├── prom-generic-dp-32.vhd │ ├── stack.vhd │ ├── wishbonepkg.vhd │ ├── zpu_config.vhd │ ├── zpuino_config.vhd │ ├── zpuinopkg.vhd │ └── zpupkg.vhd │ ├── PSL_Papilio_One_500K │ ├── clkgen.vhd │ ├── clkgen_hyperion.vhd │ ├── papilio_one.ucf │ ├── papilio_one_hyperion.ucf │ ├── prom-generic-dp-32.vhd │ ├── prom-generic-dp-32_hyperion.vhd │ ├── stack.vhd │ ├── wishbonepkg.vhd │ ├── zpu_config.vhd │ ├── zpu_config_hyperion.vhd │ ├── zpuino_config.vhd │ ├── zpuinopkg.vhd │ ├── zpupkg.vhd │ └── zpupkg_hyperion.vhd │ ├── PSL_Papilio_Pro_LX9 │ ├── bootloader.vhd │ ├── clkgen.vhd │ ├── papilio_pro.ucf │ ├── prom-generic-dp-32.vhd │ ├── sdram_hamster.vhd │ ├── sdram_wrap.vhd │ ├── stack.vhd │ ├── wb_bootloader.vhd │ ├── wishbonepkg.vhd │ ├── zpu_config.vhd │ ├── zpuino_config.vhd │ ├── zpuinopkg.vhd │ └── zpupkg.vhd │ ├── Papilio_DUO_Wing_Pinout.vhd │ ├── Papilio_Default_Pinout.vhd │ ├── Papilio_Default_Wing_Pinout.vhd │ ├── Papilio_Wing_Pinout.vhd │ ├── Utility.sch │ ├── Wing_Analog.vhd │ ├── Wing_Audio.vhd │ ├── Wing_GPIO.vhd │ ├── Wing_VGA8.vhd │ ├── ZPUino_Papilio_DUO_V1.vhd │ ├── ZPUino_Papilio_One_V1.vhd │ ├── ZPUino_Papilio_One_V1_hyperion.vhd │ ├── ZPUino_Papilio_Pro_V1.vhd │ ├── dualport_ram.vhd │ ├── dualport_ram_hyperion.vhd │ ├── fifo.vhd │ ├── generic_dp_ram.vhd │ ├── lsu.vhd │ ├── pad.vhd │ ├── papilio_pkg.vhd │ ├── prescaler.vhd │ ├── shifter.vhd │ ├── timer.vhd │ ├── wb_master_np_to_slave_p.vhd │ ├── wb_rom_ram.vhd │ ├── wb_rom_ram_hyperion.vhd │ ├── wbarb2_1.vhd │ ├── wbbootloadermux.vhd │ ├── wbmux2.vhd │ ├── zpu_core_extreme.vhd │ ├── zpu_core_extreme_hyperion.vhd │ ├── zpu_core_extreme_icache.vhd │ ├── zpuino_crc16.vhd │ ├── zpuino_debug_core.vhd │ ├── zpuino_debug_core_hyperion.vhd │ ├── zpuino_gpio.vhd │ ├── zpuino_icache.vhd │ ├── zpuino_intr.vhd │ ├── zpuino_io.vhd │ ├── zpuino_serialreset.vhd │ ├── zpuino_spi.vhd │ ├── zpuino_timers.vhd │ ├── zpuino_top.vhd │ ├── zpuino_top_hyperion.vhd │ ├── zpuino_top_icache.vhd │ └── zpuino_uart.vhd ├── AVR_to_ZPUino_Communications ├── AVR_to_ZPUino_Communications.ino └── circuit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ └── papilio_duo_lx9.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── build_all.bat │ ├── clean.bat │ └── schematic_papilio_duo.pdf ├── Arduino_Examples ├── 01.Basics │ ├── AnalogReadSerial │ │ └── AnalogReadSerial.ino │ ├── BareMinimum │ │ └── BareMinimum.ino │ ├── Blink │ │ └── Blink.ino │ ├── DigitalReadSerial │ │ └── DigitalReadSerial.ino │ ├── Fade │ │ └── Fade.ino │ └── ReadAnalogVoltage │ │ └── ReadAnalogVoltage.ino ├── 02.Digital │ ├── BlinkWithoutDelay │ │ └── BlinkWithoutDelay.ino │ ├── Button │ │ └── Button.ino │ ├── Debounce │ │ └── Debounce.ino │ ├── DigitalInputPullup │ │ └── DigitalInputPullup.ino │ ├── StateChangeDetection │ │ └── StateChangeDetection.ino │ ├── toneKeyboard │ │ ├── pitches.h │ │ └── toneKeyboard.ino │ ├── toneMelody │ │ ├── pitches.h │ │ └── toneMelody.ino │ ├── toneMultiple │ │ ├── pitches.h │ │ └── toneMultiple.ino │ └── tonePitchFollower │ │ └── tonePitchFollower.ino ├── 03.Analog │ ├── AnalogInOutSerial │ │ └── AnalogInOutSerial.ino │ ├── AnalogInput │ │ └── AnalogInput.ino │ ├── AnalogWriteMega │ │ └── AnalogWriteMega.ino │ ├── Calibration │ │ └── Calibration.ino │ ├── Fading │ │ └── Fading.ino │ └── Smoothing │ │ └── Smoothing.ino ├── 04.Communication │ ├── ASCIITable │ │ └── ASCIITable.ino │ ├── Dimmer │ │ └── Dimmer.ino │ ├── Graph │ │ └── Graph.ino │ ├── Midi │ │ └── Midi.ino │ ├── MultiSerialMega │ │ └── MultiSerialMega.ino │ ├── PhysicalPixel │ │ └── PhysicalPixel.ino │ ├── ReadASCIIString │ │ └── ReadASCIIString.ino │ ├── SerialCallResponse │ │ └── SerialCallResponse.ino │ ├── SerialCallResponseASCII │ │ └── SerialCallResponseASCII.ino │ ├── SerialEvent │ │ └── SerialEvent.ino │ └── VirtualColorMixer │ │ └── VirtualColorMixer.ino ├── 05.Control │ ├── Arrays │ │ └── Arrays.ino │ ├── ForLoopIteration │ │ └── ForLoopIteration.ino │ ├── IfStatementConditional │ │ └── IfStatementConditional.ino │ ├── WhileStatementConditional │ │ └── WhileStatementConditional.ino │ ├── switchCase │ │ └── switchCase.ino │ └── switchCase2 │ │ └── switchCase2.ino ├── 06.Sensors │ ├── ADXL3xx │ │ └── ADXL3xx.ino │ ├── Knock │ │ └── Knock.ino │ ├── Memsic2125 │ │ └── Memsic2125.ino │ └── Ping │ │ └── Ping.ino ├── 07.Display │ ├── RowColumnScanning │ │ └── RowColumnScanning.ino │ └── barGraph │ │ └── barGraph.ino ├── 08.Strings │ ├── CharacterAnalysis │ │ ├── .CharacterAnalysis.ino.swp │ │ └── CharacterAnalysis.ino │ ├── StringAdditionOperator │ │ └── StringAdditionOperator.ino │ ├── StringAppendOperator │ │ └── StringAppendOperator.ino │ ├── StringCaseChanges │ │ └── StringCaseChanges.ino │ ├── StringCharacters │ │ └── StringCharacters.ino │ ├── StringComparisonOperators │ │ └── StringComparisonOperators.ino │ ├── StringConstructors │ │ └── StringConstructors.ino │ ├── StringIndexOf │ │ └── StringIndexOf.ino │ ├── StringLength │ │ └── StringLength.ino │ ├── StringLengthTrim │ │ └── StringLengthTrim.ino │ ├── StringReplace │ │ ├── .swp │ │ └── StringReplace.ino │ ├── StringStartsWithEndsWith │ │ └── StringStartsWithEndsWith.ino │ ├── StringSubstring │ │ └── StringSubstring.ino │ ├── StringToInt │ │ └── StringToInt.ino │ └── StringToIntRGB │ │ └── StringToIntRGB.ino ├── 09.USB │ ├── Keyboard │ │ ├── KeyboardLogout │ │ │ └── KeyboardLogout.ino │ │ ├── KeyboardMessage │ │ │ └── KeyboardMessage.ino │ │ ├── KeyboardReprogram │ │ │ └── KeyboardReprogram.ino │ │ └── KeyboardSerial │ │ │ └── KeyboardSerial.ino │ ├── KeyboardAndMouseControl │ │ └── KeyboardAndMouseControl.ino │ └── Mouse │ │ ├── ButtonMouseControl │ │ └── ButtonMouseControl.ino │ │ └── JoystickMouseControl │ │ └── JoystickMouseControl.ino ├── 10.StarterKit │ ├── p02_SpaceshipInterface │ │ └── p02_SpaceshipInterface.ino │ ├── p03_LoveOMeter │ │ └── p03_LoveOMeter.ino │ ├── p04_ColorMixingLamp │ │ └── p04_ColorMixingLamp.ino │ ├── p05_ServoMoodIndicator │ │ └── p05_ServoMoodIndicator.ino │ ├── p06_LightTheremin │ │ └── p06_LightTheremin.ino │ ├── p07_Keyboard │ │ └── p07_Keyboard.ino │ ├── p08_DigitalHourglass │ │ └── p08_DigitalHourglass.ino │ ├── p09_MotorizedPinwheel │ │ └── p09_MotorizedPinwheel.ino │ ├── p10_Zoetrope │ │ └── p10_Zoetrope.ino │ ├── p11_CrystalBall │ │ └── p11_CrystalBall.ino │ ├── p12_KnockLock │ │ └── p12_KnockLock.ino │ ├── p13_TouchSensorLamp │ │ └── p13_TouchSensorLamp.ino │ ├── p14_TweakTheArduinoLogo │ │ └── p14_TweakTheArduinoLogo.ino │ └── p15_HackingButtons │ │ └── p15_HackingButtons.ino └── ArduinoISP │ └── ArduinoISP.ino ├── Audio_RetroCade_Synth ├── Audio_RetroCade_Synth.ino ├── Dashboards │ └── FlowStone │ │ ├── RetroCade Synth Dashboard.fsm │ │ └── RetroCade_Synth_DashBoard.exe ├── RetroCade.cpp ├── RetroCade.h ├── binary.h ├── circuit │ ├── LX9 │ │ ├── Papilio_Pro.ut │ │ └── papilio_pro.bit │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_Pro.sch │ ├── RetroCade-1.1-zpuino-1.0-PapilioPro-S6LX9-RetroCade-1.1.bit │ ├── RetroCade-1.3-lcd-contrast-fix-zpuino-2.0-PapilioPro-S6LX9-RetroCade-1.3.bit │ ├── RetroCade-1.3-zpuino-2.0-PapilioPro-S6LX9-RetroCade-1.3.bit │ ├── build_all.bat │ ├── clean.bat │ ├── import_libraries.xtcl │ ├── import_user_libraries.xtcl │ ├── schematic_papilio_pro.pdf │ └── zpuino-2.0-PapilioPro-S6LX9-RetroCade-1.3.bit ├── libraries.h ├── smallfs-production │ ├── hihat.mod │ ├── kick.mod │ ├── track1.mod │ ├── track1.sid │ ├── track1.ymd │ ├── track2.mod │ ├── track2.sid │ ├── track2.ymd │ ├── track3.mod │ ├── track3.sid │ ├── track3.ymd │ ├── track4.mod │ ├── track4.sid │ ├── track4.ymd │ ├── track5.mod │ ├── track5.sid │ ├── track5.ymd │ ├── track6.mod │ ├── track6.sid │ ├── track6.ymd │ ├── track7.mod │ ├── track7.sid │ ├── track7.ymd │ ├── track8.mod │ ├── track8.sid │ ├── track8.ymd │ ├── track9.mod │ ├── track9.sid │ └── track9.ymd ├── smallfs │ ├── hihat.mod │ ├── kick.mod │ ├── track1.mod │ ├── track1.sid │ ├── track1.ymd │ ├── track2.mod │ ├── track2.sid │ ├── track2.ymd │ ├── track3.mod │ ├── track3.sid │ └── track3.ymd └── spaceinvaders.h ├── Benchy_Sump_LogicAnalyzer ├── Benchy_Sump_LogicAnalyzer.ino └── circuit │ ├── 500K │ ├── Papilio_One_500K.ut │ ├── Papilio_One_500K.vhf │ ├── Papilio_One_500K.xst │ └── papilio_one_500k.bit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ └── papilio_duo_lx9.bit │ ├── LX9 │ ├── Papilio_Pro.ut │ └── papilio_pro.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_One_500K.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_One_500K.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ ├── clean.bat │ ├── schematic_papilio_DUO.pdf │ ├── schematic_papilio_one_500k.pdf │ └── schematic_papilio_pro.pdf ├── Benchy_Sump_LogicAnalyzer_JTAG ├── Benchy_Sump_LogicAnalyzer_JTAG.ino └── circuit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ └── papilio_duo_lx9.bit │ ├── LX9 │ ├── Papilio_Pro.ut │ ├── Papilio_Pro.vhf │ ├── Papilio_Pro.xst │ └── papilio_pro.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ ├── clean.bat │ ├── import_libraries.xtcl │ ├── import_user_libraries.xtcl │ ├── schematic_papilio_DUO.pdf │ └── schematic_papilio_pro.pdf ├── Benchy_Sump_LogicAnalyzer_Standalone ├── Benchy_Sump_LogicAnalyzer_Standalone.ino └── circuit │ ├── 250K │ ├── Papilio_One_250K.ut │ └── papilio_one_250k.bit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ └── papilio_duo_lx9.bit │ ├── LX9 │ ├── Papilio_Pro.ut │ └── papilio_pro.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_One_250K.xise │ ├── PSL_Papilio_One_500K.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_One_250K.sch │ ├── Papilio_One_500K.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ ├── clean.bat │ ├── schematic_papilio_DUO.pdf │ ├── schematic_papilio_one_250k.pdf │ ├── schematic_papilio_one_500k.pdf │ └── schematic_papilio_pro.pdf ├── Benchy_Waveform_Generator ├── Benchy_Waveform_Generator.ino └── circuit │ ├── 500K │ ├── Papilio_One_500K.ut │ ├── Papilio_One_500K.vhf │ ├── Papilio_One_500K.xst │ └── papilio_one_500k.bit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ └── papilio_duo_lx9.bit │ ├── LX9 │ ├── Papilio_Pro.ut │ ├── Papilio_Pro.vhf │ ├── Papilio_Pro.xst │ └── papilio_pro.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_One_500K.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_One_500K.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ ├── clean.bat │ ├── import_libraries.xtcl │ ├── import_user_libraries.xtcl │ ├── schematic_papilio_DUO.pdf │ ├── schematic_papilio_one_500k.pdf │ └── schematic_papilio_pro.pdf ├── Circuit_Library_Descriptions └── Circuit_Library_Descriptions.ino ├── Divider_Example ├── Divider_Example.ino └── circuit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ └── papilio_duo_lx9.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── build_all.bat │ ├── clean.bat │ └── schematic_papilio_DUO.pdf ├── Flex_Pins └── Flex_Pins.ino ├── LogicStartShield_LEDs ├── LogicStartShield_LEDs.ino └── circuit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ └── papilio_duo_lx9.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── build_all.bat │ ├── clean.bat │ └── schematic_papilio_DUO.pdf ├── MegaWing_Arcade ├── MegaWing_Arcade.ino ├── smallfs │ └── music.ymd └── ym_converter │ ├── bin │ ├── ymTool.exe │ └── ymdump.exe │ └── convert_ym.bat ├── MegaWing_Logicstart ├── MegaWing_Logicstart.ino └── smallfs │ └── music.mod ├── Multiple_Serial_Ports ├── Multiple_Serial_Ports.ino └── circuit │ ├── 500K │ ├── Papilio_One_500K.ut │ └── papilio_one_500k.bit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ └── papilio_duo_lx9.bit │ ├── LX9 │ ├── Papilio_Pro.ut │ └── papilio_pro.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_One_500K.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_One_500K.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ ├── clean.bat │ ├── schematic_papilio_DUO.pdf │ ├── schematic_papilio_one_500K.pdf │ └── schematic_papilio_pro.pdf ├── New_Blank_Circuit └── New_Blank_Circuit.ino ├── New_ZPUino_SOC └── New_ZPUino_SOC.ino ├── Open_DUO_Projects.bat ├── Open_Pro_Projects.bat ├── Papilio_DUO_AVR_Dragon_Debug_JTAG ├── Papilio_DUO_AVR_Dragon_Debug_JTAG.ino └── circuit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ ├── Papilio_DUO_LX9.vhf │ ├── Papilio_DUO_LX9.xst │ └── papilio_duo_lx9.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── build_all.bat │ ├── clean.bat │ ├── import_libraries.xtcl │ ├── import_user_libraries.xtcl │ └── schematic_papilio_duo.pdf ├── Papilio_DUO_AVR_Dragon_Debug_SPI ├── Papilio_DUO_AVR_Dragon_Debug_SPI.ino └── circuit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ ├── Papilio_DUO_LX9.vhf │ ├── Papilio_DUO_LX9.xst │ └── papilio_duo_lx9.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── build_all.bat │ ├── clean.bat │ ├── import_libraries.xtcl │ ├── import_user_libraries.xtcl │ └── schematic_papilio_duo.pdf ├── Papilio_DUO_ArduinoISP ├── Papilio_DUO_ArduinoISP.bit └── Papilio_DUO_ArduinoISP.ino ├── Papilio_DUO_QuickStart └── Papilio_DUO_QuickStart.ino ├── Papilio_DUO_happyjtag2 ├── Papilio_DUO_happyjtag2.ino ├── circuit │ ├── DUO_LX9 │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ └── papilio_duo_lx9.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── build_all.bat │ ├── clean.bat │ ├── import_libraries.xtcl │ ├── import_user_libraries.xtcl │ └── schematic_papilio_duo.pdf └── happyjtag2 │ ├── FTCJTAG.dll │ ├── FTCSPI.dll │ ├── HappyJTAG2.exe │ ├── KernelDevices.plg │ ├── VSPEUtils.dll │ ├── VSPE_API.dll │ └── ftd2xx.dll ├── Papilio_QuickStart └── Papilio_QuickStart.ino ├── README.md ├── SHIELD_Computing_Shield └── examples │ └── Computing_Shield_Verification │ ├── Computing_Shield_Verification.ino │ ├── smallfs │ ├── PapilioImage.dat │ └── music.mod │ └── structures.h ├── SHIELD_LogicStart_Shield └── examples │ └── LogicStart_Shield_Verification │ ├── LogicStart_Shield_Verification.ino │ └── smallfs │ └── music.mod ├── Show_Wishbone_Devices └── Show_Wishbone_Devices.ino ├── SigmaDelta_DAC ├── SigmaDelta_DAC.ino └── circuit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ └── papilio_duo_lx9.bit │ ├── LX9 │ ├── Papilio_Pro.ut │ └── papilio_pro.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ ├── clean.bat │ ├── schematic_papilio_DUO.pdf │ └── schematic_papilio_pro.pdf ├── Template_DesignLab_Library ├── Chip_Designer.xise ├── Chip_Designer │ ├── Default.wcfg │ ├── Edit_Your_Chip_Design.sch │ ├── Papilio_DUO_LX9.ut │ ├── Papilio_DUO_LX9.vhf │ ├── Papilio_DUO_LX9.xst │ └── Simulate_Your_Chip_Design.vhd ├── Wishbone_Symbol_Example.cpp ├── Wishbone_Symbol_Example.h ├── Wishbone_Symbol_Example.sch ├── Wishbone_Symbol_Example.sym ├── Wishbone_Symbol_Example_wrapper.vhd ├── circuit │ ├── 250K │ │ ├── Papilio_One_250K.ut │ │ ├── Papilio_One_250K.vhf │ │ ├── Papilio_One_250K.xst │ │ ├── Utility.ut │ │ └── papilio_one_250k.bit │ ├── 500K │ │ ├── Papilio_One_500K.ut │ │ ├── Papilio_One_500K.vhf │ │ ├── Papilio_One_500K.xst │ │ └── papilio_one_500k.bit │ ├── DUO_LX9 │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ └── papilio_duo_lx9.bit │ ├── LX9 │ │ ├── Papilio_Pro.ut │ │ ├── Papilio_Pro.vhf │ │ ├── Papilio_Pro.xst │ │ └── papilio_pro.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_One_250K.xise │ ├── PSL_Papilio_One_500K.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_One_250K.sch │ ├── Papilio_One_500K.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ └── clean.bat ├── clean.bat ├── edit_library.ino ├── examples │ └── Template_DesignLab_Library │ │ └── Template_DesignLab_Library.ino └── include_symbols.edif ├── Template_DesignLab_Library_Wishbone_Schematic ├── Chip_Designer.xise ├── Chip_Designer │ ├── Default.wcfg │ ├── Edit_Your_Chip_Design.sch │ ├── Papilio_DUO_LX9.ut │ └── Simulate_Your_Chip_Design.vhd ├── Wishbone_Symbol_Example.cpp ├── Wishbone_Symbol_Example.h ├── Wishbone_Symbol_Example.sch ├── Wishbone_Symbol_Example.sym ├── Wishbone_Symbol_Example_wrapper.vhd ├── circuit │ ├── 250K │ │ ├── Papilio_One_250K.ut │ │ ├── Utility.ut │ │ └── papilio_one_250k.bit │ ├── 500K │ │ ├── Papilio_One_500K.ut │ │ └── papilio_one_500k.bit │ ├── DUO_LX9 │ │ ├── Papilio_DUO_LX9.ut │ │ └── papilio_duo_lx9.bit │ ├── LX9 │ │ ├── Papilio_Pro.ut │ │ └── papilio_pro.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_One_250K.xise │ ├── PSL_Papilio_One_500K.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_One_250K.sch │ ├── Papilio_One_500K.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ └── clean.bat ├── clean.bat ├── edit_library.ino ├── examples │ └── Template_DesignLab_Library │ │ └── Template_DesignLab_Library.ino └── include_symbols.edif ├── Template_DesignLab_Library_Wishbone_VHDL ├── Chip_Designer.xise ├── Chip_Designer │ ├── Default.wcfg │ ├── Edit_Your_Chip_Design.sch │ ├── Papilio_DUO_LX9.ut │ └── Simulate_Your_Chip_Design.vhd ├── Wishbone_Symbol_Example.cpp ├── Wishbone_Symbol_Example.h ├── Wishbone_Symbol_Example.sym ├── Wishbone_Symbol_Example.vhd ├── circuit │ ├── 250K │ │ ├── Papilio_One_250K.ut │ │ ├── Utility.ut │ │ └── papilio_one_250k.bit │ ├── 500K │ │ ├── Papilio_One_500K.ut │ │ └── papilio_one_500k.bit │ ├── DUO_LX9 │ │ ├── Papilio_DUO_LX9.ut │ │ └── papilio_duo_lx9.bit │ ├── LX9 │ │ ├── Papilio_Pro.ut │ │ └── papilio_pro.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_One_250K.xise │ ├── PSL_Papilio_One_500K.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_One_250K.sch │ ├── Papilio_One_500K.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ └── clean.bat ├── clean.bat ├── edit_library.ino ├── examples │ └── Template_DesignLab_Library │ │ └── Template_DesignLab_Library.ino └── include_symbols.edif ├── Template_PSL_Base ├── Template_PSL_Base.ino └── circuit │ ├── 250K │ ├── Papilio_One_250K.ut │ ├── Papilio_One_250K.vhf │ ├── Papilio_One_250K.xst │ └── papilio_one_250k.bit │ ├── 500K │ ├── Papilio_One_500K.ut │ ├── Papilio_One_500K.vhf │ ├── Papilio_One_500K.xst │ └── papilio_one_500k.bit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ ├── Papilio_DUO_LX9.vhf │ ├── Papilio_DUO_LX9.xst │ └── papilio_duo_lx9.bit │ ├── LX9 │ ├── Papilio_Pro.ut │ ├── Papilio_Pro.vhf │ ├── Papilio_Pro.xst │ └── papilio_pro.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_One_250K.xise │ ├── PSL_Papilio_One_500K.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_One_250K.sch │ ├── Papilio_One_500K.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ ├── clean.bat │ ├── schematic_papilio_DUO.pdf │ ├── schematic_papilio_one_250k.pdf │ ├── schematic_papilio_one_500k.pdf │ └── schematic_papilio_pro.pdf ├── Timer_Example └── Timer_Example.ino ├── Video_Audio_Player ├── Video_Audio_Player.ino └── smallfs │ ├── image.jpg │ ├── track1.sid │ ├── track1.ymd │ ├── track2.sid │ ├── track2.ymd │ ├── track3.sid │ └── track3.ymd ├── WING_Analog ├── WING_Analog.ino └── circuit │ ├── 250K │ ├── Papilio_One_250K.ut │ ├── Papilio_One_250K.vhf │ ├── Papilio_One_250K.xst │ ├── Utility.ut │ ├── Utility.vhf │ ├── Utility.xst │ └── papilio_one_250k.bit │ ├── 500K │ ├── Papilio_One_500K.ut │ ├── Papilio_One_500K.vhf │ ├── Papilio_One_500K.xst │ └── papilio_one_500k.bit │ ├── DUO_LX9 │ ├── Papilio_DUO_LX9.ut │ └── papilio_duo_lx9.bit │ ├── LX9 │ ├── Papilio_Pro.ut │ ├── Papilio_Pro.vhf │ ├── Papilio_Pro.xst │ ├── papilio_pro.bit │ └── papilio_pro_working_input directly connected.bit │ ├── PSL_Papilio_DUO_LX9.xise │ ├── PSL_Papilio_One_250K.xise │ ├── PSL_Papilio_One_500K.xise │ ├── PSL_Papilio_Pro_LX9.xise │ ├── Papilio_DUO_LX9.sch │ ├── Papilio_One_250K.sch │ ├── Papilio_One_500K.sch │ ├── Papilio_Pro.sch │ ├── build_all.bat │ ├── clean.bat │ ├── import_libraries.xtcl │ ├── import_user_libraries.xtcl │ ├── schematic_papilio_DUO.pdf │ ├── schematic_papilio_one_250k.pdf │ ├── schematic_papilio_one_500k.pdf │ └── schematic_papilio_pro.pdf ├── WING_Audio └── examples │ ├── Audio_ModFile_simple │ ├── Audio_ModFile_simple.ino │ └── smallfs │ │ └── music.mod │ ├── Audio_SID_simple │ ├── Audio_SID_simple.ino │ └── smallfs │ │ └── music.sid │ └── Audio_YM2149_simple │ ├── Audio_YM2149_simple.ino │ ├── smallfs │ └── music.ymd │ └── ym_converter │ ├── bin │ ├── ymTool.exe │ └── ymdump.exe │ └── convert_ym.bat ├── WING_VGA └── examples │ ├── Bricks_Example │ └── Bricks_Example.ino │ ├── Color_Bar │ └── Color_Bar.ino │ ├── Hello_World │ └── Hello_World.ino │ ├── LCD_Demo │ └── LCD_Demo.ino │ └── spaceinvaders_simple │ └── spaceinvaders_simple.ino ├── WiiChuck └── WiiChuck.ino ├── ZPUino_VGA_Adapter ├── Demo │ └── Demo.ino └── Images │ ├── Images.ino │ ├── image.jpg │ ├── image.png │ └── smallfs │ └── image.jpg ├── clean_all_examples.bat ├── clean_all_libs.bat ├── examples.ino ├── hdmi-generic └── smallfs │ └── image.jpg ├── libraries ├── Arcade_MegaWing │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── 500K │ │ │ ├── Papilio_One_500K.ut │ │ │ └── papilio_one_500k.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_One_500K.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_One_500K.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_one_500k.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ └── edit_library.ino ├── Arcade_MegaWing2 │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ └── edit_library.ino ├── Audio_Wing │ ├── Audio_Wing.cpp │ ├── Audio_Wing.h │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── 500K │ │ │ ├── Papilio_One_500K.ut │ │ │ ├── Papilio_One_500K.vhf │ │ │ ├── Papilio_One_500K.xst │ │ │ └── papilio_one_500k.bit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ ├── Papilio_DUO_LX9.vhf │ │ │ ├── Papilio_DUO_LX9.xst │ │ │ └── papilio_duo_lx9.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ ├── Papilio_Pro.vhf │ │ │ ├── Papilio_Pro.xst │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_One_500K.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_One_250K.sch │ │ ├── Papilio_One_500K.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_DUO.pdf │ │ ├── schematic_papilio_one_500k.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ └── edit_library.ino ├── Benchy │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer16.sym │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer16.vhd │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer32.sym │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer32.vhd │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer8.sym │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer8.vhd │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer8_jtag.sym │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer8_jtag.vhd │ ├── BENCHY_zpuino_wb_waveform_generator.sym │ ├── BENCHY_zpuino_wb_waveform_generator.vhd │ ├── BRAM6k36bit.vhd │ ├── BRAM8k36bit.vhd │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── Mem_Gen_36bit.vhd │ ├── bscan_spi_spartan6.vhd │ ├── clean.bat │ ├── clockman_papilio.vhd │ ├── controller.vhd │ ├── core.vhd │ ├── decoder.vhd │ ├── demux.vhd │ ├── edit_library.ino │ ├── eia232.vhd │ ├── filter.vhd │ ├── flags.vhd │ ├── group_selector.vhd │ ├── muldex.vhd │ ├── muldex_16.vhd │ ├── muldex_8.vhd │ ├── prescaler.vhd │ ├── receiver.vhd │ ├── rle.vhd │ ├── rle_enc.vhd │ ├── rle_fmt.vhd │ ├── sampler.vhd │ ├── sincos_lut.vhd │ ├── spi_receiver.vhd │ ├── spi_slave.vhd │ ├── spi_transmitter.vhd │ ├── sram_bram.vhd │ ├── stage.vhd │ ├── sync.vhd │ ├── testmode.vhd │ ├── transmitter.vhd │ ├── trigger.vhd │ └── waveform_gen.vhd ├── BitCoin_Miner │ ├── BitCoin_Miner.cpp │ ├── BitCoin_Miner.h │ ├── BitCoin_Miner.sym │ ├── BitCoin_Miner.v │ ├── BitCoin_Miner_wrapper.vhd │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── README.md │ ├── circuit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_DUO.pdf │ │ └── schematic_papilio_PRO.pdf │ ├── edit_library.ino │ ├── examples │ │ └── BitCoin_Miner │ │ │ ├── BitCoin_Miner.ino │ │ │ ├── miner.py │ │ │ └── mining_proxy.exe │ ├── include_symbols.edif │ ├── jsonrpc │ │ ├── __init__.py │ │ ├── __init__.pyc │ │ ├── _tests │ │ │ ├── __init__.py │ │ │ ├── test_cgiwrapper.py │ │ │ ├── test_json.py │ │ │ ├── test_modpywrapper.py │ │ │ ├── test_proxy.py │ │ │ └── test_serviceHandler.py │ │ ├── cgiwrapper.py │ │ ├── cgiwrapper.pyc │ │ ├── json.py │ │ ├── json.pyc │ │ ├── modpywrapper.py │ │ ├── modpywrapper.pyc │ │ ├── proxy.py │ │ ├── proxy.pyc │ │ ├── serviceHandler.py │ │ └── serviceHandler.pyc │ ├── main_pll.v │ ├── pyserial-2.7 │ │ ├── MANIFEST.in │ │ ├── PKG-INFO │ │ ├── build │ │ │ ├── lib │ │ │ │ └── serial │ │ │ │ │ ├── __init__.py │ │ │ │ │ ├── rfc2217.py │ │ │ │ │ ├── serialcli.py │ │ │ │ │ ├── serialjava.py │ │ │ │ │ ├── serialposix.py │ │ │ │ │ ├── serialutil.py │ │ │ │ │ ├── serialwin32.py │ │ │ │ │ ├── sermsdos.py │ │ │ │ │ ├── tools │ │ │ │ │ ├── __init__.py │ │ │ │ │ ├── list_ports.py │ │ │ │ │ ├── list_ports_linux.py │ │ │ │ │ ├── list_ports_osx.py │ │ │ │ │ ├── list_ports_posix.py │ │ │ │ │ ├── list_ports_windows.py │ │ │ │ │ └── miniterm.py │ │ │ │ │ ├── urlhandler │ │ │ │ │ ├── __init__.py │ │ │ │ │ ├── protocol_hwgrep.py │ │ │ │ │ ├── protocol_loop.py │ │ │ │ │ ├── protocol_rfc2217.py │ │ │ │ │ └── protocol_socket.py │ │ │ │ │ └── win32.py │ │ │ └── scripts-2.7 │ │ │ │ └── miniterm.py │ │ ├── documentation │ │ │ ├── Makefile │ │ │ ├── appendix.rst │ │ │ ├── conf.py │ │ │ ├── examples.rst │ │ │ ├── index.rst │ │ │ ├── pyparallel.rst │ │ │ ├── pyserial.png │ │ │ ├── pyserial.rst │ │ │ ├── pyserial_api.rst │ │ │ └── shortintro.rst │ │ ├── examples │ │ │ ├── enhancedserial.py │ │ │ ├── port_publisher.py │ │ │ ├── port_publisher.sh │ │ │ ├── rfc2217_server.py │ │ │ ├── scan.py │ │ │ ├── scanlinux.py │ │ │ ├── scanwin32.py │ │ │ ├── setup-miniterm-py2exe.py │ │ │ ├── setup-rfc2217_server-py2exe.py │ │ │ ├── setup-wxTerminal-py2exe.py │ │ │ ├── tcp_serial_redirect.py │ │ │ ├── wxSerialConfigDialog.py │ │ │ ├── wxSerialConfigDialog.wxg │ │ │ ├── wxTerminal.py │ │ │ └── wxTerminal.wxg │ │ ├── serial │ │ │ ├── __init__.py │ │ │ ├── rfc2217.py │ │ │ ├── serialcli.py │ │ │ ├── serialjava.py │ │ │ ├── serialposix.py │ │ │ ├── serialutil.py │ │ │ ├── serialwin32.py │ │ │ ├── sermsdos.py │ │ │ ├── tools │ │ │ │ ├── __init__.py │ │ │ │ ├── list_ports.py │ │ │ │ ├── list_ports_linux.py │ │ │ │ ├── list_ports_osx.py │ │ │ │ ├── list_ports_posix.py │ │ │ │ ├── list_ports_windows.py │ │ │ │ └── miniterm.py │ │ │ ├── urlhandler │ │ │ │ ├── __init__.py │ │ │ │ ├── protocol_hwgrep.py │ │ │ │ ├── protocol_loop.py │ │ │ │ ├── protocol_rfc2217.py │ │ │ │ └── protocol_socket.py │ │ │ └── win32.py │ │ ├── setup.py │ │ └── test │ │ │ ├── run_all_tests.py │ │ │ ├── test.py │ │ │ ├── test_advanced.py │ │ │ ├── test_high_load.py │ │ │ ├── test_iolib.py │ │ │ ├── test_readline.py │ │ │ └── test_url.py │ ├── python-jsonrpc-master │ │ ├── build │ │ │ └── lib │ │ │ │ └── jsonrpc │ │ │ │ ├── __init__.py │ │ │ │ ├── cgiwrapper.py │ │ │ │ ├── json.py │ │ │ │ ├── modpywrapper.py │ │ │ │ ├── proxy.py │ │ │ │ └── serviceHandler.py │ │ ├── jsonrpc │ │ │ ├── __init__.py │ │ │ ├── _tests │ │ │ │ ├── __init__.py │ │ │ │ ├── test_cgiwrapper.py │ │ │ │ ├── test_json.py │ │ │ │ ├── test_modpywrapper.py │ │ │ │ ├── test_proxy.py │ │ │ │ └── test_serviceHandler.py │ │ │ ├── cgiwrapper.py │ │ │ ├── json.py │ │ │ ├── modpywrapper.py │ │ │ ├── proxy.py │ │ │ └── serviceHandler.py │ │ ├── run-tests.py │ │ └── setup.py │ ├── raw7seg.v │ ├── serial.v │ ├── serial │ │ ├── __init__.py │ │ ├── __init__.pyc │ │ ├── rfc2217.py │ │ ├── serialcli.py │ │ ├── serialjava.py │ │ ├── serialposix.py │ │ ├── serialutil.py │ │ ├── serialutil.pyc │ │ ├── serialwin32.py │ │ ├── serialwin32.pyc │ │ ├── sermsdos.py │ │ ├── tools │ │ │ ├── __init__.py │ │ │ ├── list_ports.py │ │ │ ├── list_ports_linux.py │ │ │ ├── list_ports_osx.py │ │ │ ├── list_ports_posix.py │ │ │ ├── list_ports_windows.py │ │ │ └── miniterm.py │ │ ├── urlhandler │ │ │ ├── __init__.py │ │ │ ├── protocol_hwgrep.py │ │ │ ├── protocol_loop.py │ │ │ ├── protocol_rfc2217.py │ │ │ └── protocol_socket.py │ │ ├── win32.py │ │ └── win32.pyc │ ├── sha-256-functions.v │ ├── sha256_transform.v │ ├── uart_receiver.v │ └── uart_transmitter.v ├── Building_Blocks │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ ├── Wishbone_to_Registers.vhd │ │ └── debounce.spl │ ├── Counter8.sym │ ├── Counter8.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── bus8.sym │ ├── bus8.vhd │ ├── clean.bat │ ├── clk_divider.sym │ ├── clk_divider.vhd │ ├── clk_divider_30to1hz.sym │ ├── clk_divider_30to1hz.vhd │ ├── clk_divider_32Mhz.sym │ ├── clk_divider_32Mhz.vhd │ ├── clk_divider_32Mhz_low_speed.sym │ ├── clk_divider_32Mhz_low_speed.vhd │ ├── debounce.sym │ ├── debounce.vhd │ ├── edit_library.ino │ ├── include_symbols.edif │ ├── papilio_clocks.sym │ └── papilio_clocks.vhd ├── Clocks │ ├── clk_32to25_dcm.sym │ ├── clk_32to64_dcm.sym │ ├── clk_32to64_dcm.vhd │ └── include_symbols.edif ├── Computing_Shield │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Edit_Your_CCL_Design_summary.html │ │ ├── Edit_Your_Chip_Design.cmd_log │ │ ├── Edit_Your_Chip_Design_summary.html │ │ ├── Papilio_DUO_LX9.prj │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ ├── Papilio_DUO_LX9_summary.html │ │ ├── Simulate_Your_CCL_Design_beh.prj │ │ ├── Simulate_Your_Chip_Design.vhd │ │ ├── Wishbone_Symbol_Example.cmd_log │ │ ├── Wishbone_to_Registers.cmd_log │ │ ├── Wishbone_to_Registers.vhd │ │ ├── fuseRelaunch.cmd │ │ ├── isim.cmd │ │ ├── pepExtractor.prj │ │ └── wishbone_testbench_beh.prj │ ├── Computing_Shield.cpp │ ├── Computing_Shield.h │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.cmd │ │ │ ├── Papilio_DUO_LX9.prj │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ ├── Papilio_DUO_LX9.vhf │ │ │ ├── Papilio_DUO_LX9.xst │ │ │ ├── Papilio_DUO_LX9_envsettings.html │ │ │ ├── Papilio_DUO_LX9_summary.html │ │ │ ├── papilio_duo_lx9.bit │ │ │ ├── par_usage_statistics.html │ │ │ └── usage_statistics_webtalk.html │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ └── schematic_papilio_DUO.pdf │ ├── clean.bat │ ├── edit_library.ino │ └── keywords.txt ├── Computing_Shield2 │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Computing_Shield.cpp │ ├── Computing_Shield.h │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ └── schematic_papilio_DUO.pdf │ ├── clean.bat │ └── edit_library.ino ├── Gameduino │ ├── BitCoin_Miner_wrapper.vhd │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── Gameduino.cpp │ ├── Gameduino.h │ ├── Gameduino.sym │ ├── Gameduino.v │ ├── ck_div.v │ ├── clean.bat │ ├── edit_library.ino │ ├── examples │ │ ├── 1.Basics │ │ │ ├── collision │ │ │ │ ├── collision.ino │ │ │ │ ├── sphere.h │ │ │ │ └── stone_wall_texture.h │ │ │ ├── palettes │ │ │ │ └── palettes.ino │ │ │ ├── rotate │ │ │ │ ├── r.h │ │ │ │ └── rotate.ino │ │ │ ├── scroll │ │ │ │ ├── platformer.h │ │ │ │ └── scroll.ino │ │ │ └── sprites256 │ │ │ │ ├── pickups2.h │ │ │ │ ├── sprites256.h │ │ │ │ └── sprites256.ino │ │ ├── 2.Audio │ │ │ ├── instruments2 │ │ │ │ ├── instruments.h │ │ │ │ ├── instruments2.ino │ │ │ │ ├── showvoices.h │ │ │ │ └── sphere.h │ │ │ ├── player │ │ │ │ ├── mont.h │ │ │ │ └── player.ino │ │ │ ├── sample │ │ │ │ ├── sample.ino │ │ │ │ └── soundbuffer.h │ │ │ └── toccata │ │ │ │ ├── music.h │ │ │ │ └── toccata.ino │ │ ├── 3.Advanced │ │ │ ├── assets │ │ │ │ └── assets.ino │ │ │ ├── bitmap │ │ │ │ └── bitmap.ino │ │ │ ├── interrupt │ │ │ │ ├── interrupt.ino │ │ │ │ └── rasterinterrupt.h │ │ │ ├── jkcollision │ │ │ │ └── jkcollision.ino │ │ │ ├── snow │ │ │ │ ├── random.h │ │ │ │ └── snow.ino │ │ │ ├── splitscreen │ │ │ │ ├── splitscreen.h │ │ │ │ ├── splitscreen.ino │ │ │ │ └── splitscreen_graphics.h │ │ │ └── wireframe │ │ │ │ ├── eliteships.h │ │ │ │ ├── eraser.h │ │ │ │ ├── wireframe.h │ │ │ │ └── wireframe.ino │ │ ├── 4.Demo │ │ │ ├── asteroids │ │ │ │ ├── asteroidgraphics.h │ │ │ │ ├── asteroids.ino │ │ │ │ └── splitscreen.h │ │ │ ├── ball │ │ │ │ ├── ball.h │ │ │ │ └── ball.ino │ │ │ └── chessboard │ │ │ │ ├── Wood32.h │ │ │ │ ├── chessboard.ino │ │ │ │ ├── digits.h │ │ │ │ └── staunton.h │ │ └── 5.Tools │ │ │ ├── joytest │ │ │ └── joytest.ino │ │ │ ├── memloader │ │ │ └── memloader.ino │ │ │ ├── screenshot │ │ │ └── screenshot.ino │ │ │ └── selftest │ │ │ ├── lena.h │ │ │ ├── selftest.ino │ │ │ └── selftest1.h │ ├── fifo.v │ ├── font8x8.h │ ├── generated.v │ ├── include_symbols.edif │ ├── j0.v │ └── revision.v ├── Gameduino_Computing_Shield │ ├── circuit │ │ ├── Computing_Shield_Pinout.sym │ │ ├── Computing_Shield_Pinout.vhd │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Spartan6_Reset.sym │ │ ├── Spartan6_Reset.vhd │ │ ├── build_all.bat │ │ ├── clean.bat │ │ └── schematic_papilio_duo.pdf │ ├── clean.bat │ └── edit_library.ino ├── Gameduino_LogicStart_Shield │ ├── circuit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── LogicStart_Shield_Pinout.sym │ │ ├── LogicStart_Shield_Pinout.vhd │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Spartan6_Reset.sym │ │ ├── Spartan6_Reset.vhd │ │ ├── build_all.bat │ │ ├── clean.bat │ │ └── schematic_papilio_duo.pdf │ ├── clean.bat │ └── edit_library.ino ├── HQVGA │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Edit_Your_CCL_Design.sch │ │ ├── Edit_Your_CCL_Design_summary.html │ │ ├── Edit_Your_Chip_Design.cmd_log │ │ ├── Edit_Your_Chip_Design.sch │ │ ├── Edit_Your_Chip_Design_summary.html │ │ ├── Papilio_DUO_LX9.prj │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ ├── Papilio_DUO_LX9_summary.html │ │ ├── Simulate_Your_CCL_Design_beh.prj │ │ ├── Simulate_Your_Chip_Design.vhd │ │ ├── Wishbone_Symbol_Example.cmd_log │ │ ├── Wishbone_to_Registers.cmd_log │ │ ├── Wishbone_to_Registers.vhd │ │ ├── fuseRelaunch.cmd │ │ ├── isim.cmd │ │ ├── pepExtractor.prj │ │ └── wishbone_testbench_beh.prj │ ├── HQVGA.cpp │ ├── HQVGA.h │ ├── HQVGA.sym │ ├── HQVGA.vhd │ ├── HQVGA_char_ram_8x8_sp.sym │ ├── HQVGA_char_ram_8x8_sp.vhd │ ├── clean.bat │ ├── edit_library.ino │ ├── generic_dp_ram.vhd │ ├── include_symbols.edif │ ├── keywords.txt │ └── zpuino_vga_ram.vhd ├── LogicStart_MegaWing │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── 500K │ │ │ ├── Papilio_One_500K.ut │ │ │ └── papilio_one_500k.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_One_500K.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_One_500K.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── import_libraries.xtcl │ │ ├── import_user_libraries.xtcl │ │ ├── schematic_papilio_one_500k.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ └── edit_library.ino ├── LogicStart_MegaWing2 │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── import_libraries.xtcl │ │ ├── import_user_libraries.xtcl │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ └── edit_library.ino ├── LogicStart_Shield │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ ├── Papilio_DUO_LX9.vhf │ │ │ ├── Papilio_DUO_LX9.xst │ │ │ └── papilio_duo_lx9.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── import_libraries.xtcl │ │ ├── import_user_libraries.xtcl │ │ └── schematic_papilio_duo.pdf │ ├── clean.bat │ └── edit_library.ino ├── LogicStart_Shield2 │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── import_libraries.xtcl │ │ ├── import_user_libraries.xtcl │ │ └── schematic_papilio_duo.pdf │ ├── clean.bat │ └── edit_library.ino ├── PFlash │ ├── PFlash.cpp │ └── PFlash.h ├── Papilio_Hardware │ ├── Arcade_MegaWing_Pinout.sym │ ├── Arcade_MegaWing_Pinout.vhd │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Computing_Shield_Pinout.sym │ ├── Computing_Shield_Pinout.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── LogicStart_MegaWing_Pinout.sym │ ├── LogicStart_MegaWing_Pinout.vhd │ ├── LogicStart_Shield_Pinout.sym │ ├── LogicStart_Shield_Pinout.vhd │ ├── Papilio_DUO_Wing_Pinout.sym │ ├── Papilio_DUO_Wing_Pinout.vhd │ ├── Papilio_Default_Pinout.sym │ ├── Papilio_Default_Pinout.vhd │ ├── Papilio_Default_Wing_Pinout.sym │ ├── Papilio_Default_Wing_Pinout.vhd │ ├── Spartan6_Reset.sym │ ├── Spartan6_Reset.vhd │ ├── Wing_Analog.sym │ ├── Wing_Analog.vhd │ ├── Wing_Audio.sym │ ├── Wing_Audio.vhd │ ├── Wing_GPIO.sym │ ├── Wing_GPIO.vhd │ ├── Wing_SDCard.sym │ ├── Wing_SDCard.vhd │ ├── Wing_VGA8.sym │ ├── Wing_VGA8.vhd │ ├── clean.bat │ ├── edit_library.ino │ └── include_symbols.edif ├── README ├── RGB_Matrix │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Edit_Your_Chip_Design.sch │ │ ├── Papilio_DUO_LX9.ut │ │ └── Simulate_Your_Chip_Design.vhd │ ├── RGB_Matrix_Wing.sym │ ├── RGB_Matrix_Wing.vhd │ ├── VIDEO_zpuino_wb_rgb_panel.sym │ ├── VIDEO_zpuino_wb_rgb_panel.vhd │ ├── circuit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_DUO.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ └── edit_library.ino ├── Robot_Control_Library │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Edit_Your_Chip_Design.sch │ │ ├── Papilio_DUO_LX9.ut │ │ └── Simulate_Your_Chip_Design.vhd │ ├── PWMGenerator.vhd │ ├── RCL_PWM_x48.sym │ ├── RCL_PWM_x48.vhd │ ├── RCL_Quadrature_Decoder_x8.sym │ ├── RCL_Quadrature_Decoder_x8.vhd │ ├── Robot_Control_Library.cpp │ ├── Robot_Control_Library.h │ ├── Wishbone_to_Registers_n.vhd │ ├── circuit │ │ ├── 250K │ │ │ ├── Papilio_One_250K.ut │ │ │ ├── Utility.ut │ │ │ └── papilio_one_250k.bit │ │ ├── 500K │ │ │ ├── Papilio_One_500K.ut │ │ │ └── papilio_one_500k.bit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_One_250K.xise │ │ ├── PSL_Papilio_One_500K.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_One_250K.sch │ │ ├── Papilio_One_500K.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_DUO.pdf │ │ ├── schematic_papilio_one_250k.pdf │ │ ├── schematic_papilio_one_500k.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ ├── edit_library.ino │ ├── examples │ │ ├── ColorCrossFader │ │ │ └── ColorCrossFader.ino │ │ └── Encoder_Wing │ │ │ └── Encoder_Wing.ino │ ├── full_step_driver.sym │ ├── full_step_driver.vhd │ ├── half_step_driver.sym │ ├── half_step_driver.vhd │ ├── include_symbols.edif │ ├── quad_inst.sym │ ├── quad_inst.vhd │ └── robot_control_library_original.tar.gz ├── SD │ ├── File.cpp │ ├── SD.cpp │ ├── SD.h │ ├── edit_library.ino │ ├── examples │ │ ├── CardInfo │ │ │ └── CardInfo.ino │ │ ├── DumpFile │ │ │ └── DumpFile.ino │ │ ├── Files │ │ │ └── Files.ino │ │ ├── ReadWrite │ │ │ └── ReadWrite.ino │ │ └── listfiles │ │ │ └── listfiles.ino │ └── utility │ │ ├── FatStructs.h │ │ ├── Sd2Card.cpp │ │ ├── Sd2Card.h │ │ ├── Sd2PinMap.h │ │ ├── SdFat.h │ │ ├── SdFatUtil.h │ │ ├── SdFatmainpage.h │ │ ├── SdFile.cpp │ │ ├── SdInfo.h │ │ └── SdVolume.cpp ├── SID │ ├── Doxyfile │ ├── SID.h │ ├── edit_library.ino │ ├── html │ │ ├── bc_s.png │ │ ├── bdwn.png │ │ ├── closed.png │ │ ├── doxygen.css │ │ ├── doxygen.png │ │ ├── dynsections.js │ │ ├── ftv2blank.png │ │ ├── ftv2cl.png │ │ ├── ftv2doc.png │ │ ├── ftv2folderclosed.png │ │ ├── ftv2folderopen.png │ │ ├── ftv2lastnode.png │ │ ├── ftv2link.png │ │ ├── ftv2mlastnode.png │ │ ├── ftv2mnode.png │ │ ├── ftv2mo.png │ │ ├── ftv2node.png │ │ ├── ftv2ns.png │ │ ├── ftv2plastnode.png │ │ ├── ftv2pnode.png │ │ ├── ftv2splitbar.png │ │ ├── ftv2vertline.png │ │ ├── jquery.js │ │ ├── nav_f.png │ │ ├── nav_g.png │ │ ├── nav_h.png │ │ ├── open.png │ │ ├── search │ │ │ ├── all_67.js │ │ │ ├── all_68.js │ │ │ ├── all_6c.js │ │ │ ├── all_6d.js │ │ │ ├── all_72.js │ │ │ ├── all_73.js │ │ │ ├── all_76.js │ │ │ ├── all_77.js │ │ │ ├── classes_73.js │ │ │ ├── close.png │ │ │ ├── defines_73.js │ │ │ ├── files_73.js │ │ │ ├── functions_67.js │ │ │ ├── functions_68.js │ │ │ ├── functions_6c.js │ │ │ ├── functions_72.js │ │ │ ├── functions_73.js │ │ │ ├── functions_77.js │ │ │ ├── mag_sel.png │ │ │ ├── search.css │ │ │ ├── search.js │ │ │ ├── search_l.png │ │ │ ├── search_m.png │ │ │ ├── search_r.png │ │ │ ├── variables_6d.js │ │ │ ├── variables_73.js │ │ │ └── variables_76.js │ │ ├── sync_off.png │ │ ├── sync_on.png │ │ ├── tab_a.png │ │ ├── tab_b.png │ │ ├── tab_h.png │ │ ├── tab_s.png │ │ └── tabs.css │ └── sid.cpp ├── SIDPlayer │ ├── edit_library.ino │ ├── sidplayer.cpp │ ├── sidplayer.h │ ├── sidtune_Layla_Mix.h │ ├── tinysid.cpp │ └── tinysid.h ├── SPIADC │ ├── SPIADC.cpp │ ├── SPIADC.h │ └── edit_library.ino ├── Servo │ ├── examples │ │ ├── Knob │ │ │ └── Knob.ino │ │ ├── Pan_Tilt │ │ │ └── Pan_Tilt.ino │ │ └── Sweep │ │ │ └── Sweep.ino │ ├── library.properties │ └── src │ │ ├── Servo.h │ │ ├── avr │ │ ├── Servo.cpp │ │ └── ServoTimers.h │ │ ├── sam │ │ ├── Servo.cpp │ │ └── ServoTimers.h │ │ └── zpu20 │ │ ├── Servo.cpp │ │ └── ServoTimers.h ├── SevenSegHW │ ├── SevenSegHW.cpp │ ├── SevenSegHW.h │ ├── edit_library.ino │ └── examples │ │ └── SevenSegHW │ │ └── SevenSegHW.ino ├── SmartMatrix_32x32 │ ├── CircularBuffer_SM.cpp │ ├── CircularBuffer_SM.h │ ├── Font_apple4x6_256.c │ ├── Font_apple5x7_256.c │ ├── Font_apple6x10.c │ ├── Font_apple8x13.c │ ├── Font_gohufont6x11.c │ ├── Font_gohufont6x11b.c │ ├── Font_tom_thumb.c │ ├── MatrixColor.cpp │ ├── MatrixConfiguration.cpp │ ├── MatrixFont.cpp │ ├── MatrixFontCommon.h │ ├── MatrixForeground.cpp │ ├── MatrixGraphics.cpp │ ├── MatrixHardware_KitV1_32x32.h │ ├── README.md │ ├── SmartMatrix.cpp │ ├── SmartMatrix.h │ ├── SmartMatrix_32x32.h │ ├── examples │ │ ├── AnimatedGIFs │ │ │ ├── AnimatedGIFs.ino │ │ │ ├── FilenameFunctions.cpp │ │ │ ├── GIFDecoder.h │ │ │ ├── GIFParseFunctions.cpp │ │ │ ├── LZWFunctions.cpp │ │ │ ├── README.md │ │ │ ├── gifs │ │ │ │ ├── bigbuck1.gif │ │ │ │ ├── bigbuck2.gif │ │ │ │ ├── chasm1.gif │ │ │ │ ├── explode2.gif │ │ │ │ ├── fight2.gif │ │ │ │ ├── star.gif │ │ │ │ └── wifi.gif │ │ │ └── smallfs │ │ │ │ ├── bigbuck2.gif │ │ │ │ ├── chasm1.gif │ │ │ │ ├── explode2.gif │ │ │ │ ├── fight2.gif │ │ │ │ ├── star.gif │ │ │ │ └── wifi.gif │ │ ├── Bitmaps │ │ │ ├── Bitmaps.ino │ │ │ ├── SourceBitmaps │ │ │ │ ├── chrome16.png │ │ │ │ ├── colorwheel.png │ │ │ │ └── pixelmatix.png │ │ │ ├── chrome16.c │ │ │ ├── colorwheel.c │ │ │ ├── gimpbitmap.h │ │ │ └── pixelmatix.c │ │ ├── FastLED_Controller │ │ │ └── FastLED_Controller.ino.notsupported │ │ ├── FastLED_Functions │ │ │ └── FastLED_Functions.ino.notsupported │ │ ├── FeatureDemo │ │ │ ├── FeatureDemo.ino │ │ │ └── bitmaps.c │ │ ├── MatrixClock │ │ │ └── MatrixClock.ino.notsupported │ │ ├── SpectrumAnalyzer │ │ │ ├── README.md │ │ │ └── SpectrumAnalyzer.ino.notsupported │ │ └── matrixman │ │ │ ├── board.h │ │ │ ├── dots.h │ │ │ ├── matrixman-gamefile.c │ │ │ ├── matrixman-gamefile.h │ │ │ ├── matrixman.h │ │ │ ├── matrixman.ino │ │ │ ├── player.h │ │ │ └── readme.md │ └── hardware │ │ ├── SmartMatrixSD_V1.GBL │ │ ├── SmartMatrixSD_V1.GBO │ │ ├── SmartMatrixSD_V1.GBS │ │ ├── SmartMatrixSD_V1.GML │ │ ├── SmartMatrixSD_V1.GTL │ │ ├── SmartMatrixSD_V1.GTO │ │ ├── SmartMatrixSD_V1.GTS │ │ ├── SmartMatrixSD_V1.brd │ │ ├── SmartMatrixSD_V1.sch │ │ ├── SmartMatrixSD_V1_BOM.pdf │ │ ├── SmartMatrixSD_V1_BOM.xlsx │ │ ├── SmartMatrixSD_V1_brd.pdf │ │ ├── SmartMatrixSD_V1_sch.pdf │ │ ├── SmartMatrixSD_V2.GBL │ │ ├── SmartMatrixSD_V2.GBO │ │ ├── SmartMatrixSD_V2.GBS │ │ ├── SmartMatrixSD_V2.GML │ │ ├── SmartMatrixSD_V2.GTL │ │ ├── SmartMatrixSD_V2.GTO │ │ ├── SmartMatrixSD_V2.GTP │ │ ├── SmartMatrixSD_V2.GTS │ │ ├── SmartMatrixSD_V2.brd │ │ ├── SmartMatrixSD_V2.sch │ │ ├── SmartMatrixSD_V2_BOM.pdf │ │ ├── SmartMatrixSD_V2_BOM.xlsx │ │ ├── SmartMatrixSD_V2_brd.pdf │ │ ├── SmartMatrixSD_V2_sch.pdf │ │ ├── SmartMatrixShield_V1.GBL │ │ ├── SmartMatrixShield_V1.GBO │ │ ├── SmartMatrixShield_V1.GBS │ │ ├── SmartMatrixShield_V1.GTL │ │ ├── SmartMatrixShield_V1.GTO │ │ ├── SmartMatrixShield_V1.GTS │ │ ├── SmartMatrixShield_V1.brd │ │ ├── SmartMatrixShield_V1.sch │ │ ├── SmartMatrixShield_V1_BOM.pdf │ │ ├── SmartMatrixShield_V1_BOM.xlsx │ │ ├── SmartMatrixShield_V1_brd.pdf │ │ └── SmartMatrixShield_V1_sch.pdf ├── Stepper │ ├── examples │ │ ├── MotorKnob │ │ │ └── MotorKnob.ino │ │ ├── stepper_oneRevolution │ │ │ └── stepper_oneRevolution.ino │ │ ├── stepper_oneStepAtATime │ │ │ └── stepper_oneStepAtATime.ino │ │ └── stepper_speedControl │ │ │ └── stepper_speedControl.ino │ ├── library.properties │ └── src │ │ ├── Stepper.cpp │ │ └── Stepper.h ├── VGALiquidCrystal │ ├── VGALiquidCrystal.cpp │ ├── VGALiquidCrystal.h │ └── edit_library.ino ├── VGA_Wing │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── VGA_Wing.cpp │ ├── VGA_Wing.h │ ├── circuit │ │ ├── 500K │ │ │ ├── Papilio_One_500K.ut │ │ │ ├── Papilio_One_500K.vhf │ │ │ ├── Papilio_One_500K.xst │ │ │ └── papilio_one_500k.bit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ ├── Papilio_DUO_LX9.vhf │ │ │ ├── Papilio_DUO_LX9.xst │ │ │ └── papilio_duo_lx9.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ ├── Papilio_Pro.vhf │ │ │ ├── Papilio_Pro.xst │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_One_500K.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_One_250K.sch │ │ ├── Papilio_One_500K.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_duo.pdf │ │ ├── schematic_papilio_one_500k.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ └── edit_library.ino ├── VGA_ZPUino │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── VGA_ZPUino.sym │ ├── VGA_ZPUino.vhd │ ├── circuit │ │ ├── 250K │ │ │ ├── Papilio_One_250K.ut │ │ │ ├── Utility.ut │ │ │ └── papilio_one_250k.bit │ │ ├── 500K │ │ │ ├── Papilio_One_500K.ut │ │ │ └── papilio_one_500k.bit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_DUO.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ ├── edit_library.ino │ ├── include_symbols.edif │ ├── vga_generic.vhd │ └── wbpll.vhd ├── VGA_ZXSpectrum │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_DUO.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ ├── edit_library.ino │ ├── examples │ │ └── Jet_Set_Willy │ │ │ ├── Jet_Set_Willy.ino │ │ │ ├── MOVEMENT │ │ │ ├── TIMING │ │ │ ├── jswleft.h │ │ │ ├── jswright.h │ │ │ ├── room0 │ │ │ ├── room0-1 │ │ │ ├── screen1.png │ │ │ ├── screen2.png │ │ │ ├── smallfs │ │ │ ├── JSW │ │ │ └── ZPUINO │ │ │ ├── style.css │ │ │ └── utils │ │ │ ├── getsprite │ │ │ ├── getsprite.c │ │ │ ├── getsprite.c~ │ │ │ └── yets.xbm │ ├── gh_binary2gray.vhd │ ├── gh_fifo_async_rrd_sr_wf.vhd │ ├── gh_gray2binary.vhd │ ├── gh_sram_1wp_2rp_sc.vhd │ ├── include_symbols.edif │ ├── vga_zxspectrum.vhd │ ├── vga_zxspectrum_zpuino.sym │ ├── vga_zxspectrum_zpuino.vhd │ └── wb_master_np_to_slave_p.vhd ├── WiiChuck │ ├── WiiChuck.cpp │ ├── WiiChuck.h │ ├── clean.bat │ └── edit_library.ino ├── YM2149 │ ├── Doxyfile │ ├── YM2149.cpp │ ├── YM2149.h │ └── edit_library.ino ├── YMPlayer │ ├── edit_library.ino │ ├── retrocade_defs.h │ ├── ymplayer.cpp │ └── ymplayer.h ├── ZPUino_2 │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Edit_Your_CCL_Design_summary.html │ │ ├── Edit_Your_Chip_Design.cmd_log │ │ ├── Edit_Your_Chip_Design_summary.html │ │ ├── Papilio_DUO_LX9.prj │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ ├── Papilio_DUO_LX9_summary.html │ │ ├── Simulate_Your_CCL_Design_beh.prj │ │ ├── Simulate_Your_Chip_Design.vhd │ │ ├── Wishbone_Symbol_Example.cmd_log │ │ ├── Wishbone_to_Registers.cmd_log │ │ ├── Wishbone_to_Registers.vhd │ │ ├── fuseRelaunch.cmd │ │ ├── isim.cmd │ │ ├── pepExtractor.prj │ │ └── wishbone_testbench_beh.prj │ ├── Edit_Your_Chip_Design.sch │ ├── ZPUino_2.cpp │ ├── ZPUino_2.h │ ├── ZPUino_Hyperion_Papilio_One_500K_V2.sym │ ├── ZPUino_Hyperion_Papilio_One_500K_V2.vhd │ ├── ZPUino_Hyperion_Papilio_One_V2_blackbox.ngc │ ├── ZPUino_Papilio_DUO_V2.sym │ ├── ZPUino_Papilio_DUO_V2.vhd │ ├── ZPUino_Papilio_DUO_V2_blackbox.ngc │ ├── ZPUino_Papilio_One_250K_V2.sym │ ├── ZPUino_Papilio_One_250K_V2.vhd │ ├── ZPUino_Papilio_One_250K_V2_blackbox.ngc │ ├── ZPUino_Papilio_One_500K_V2.sym │ ├── ZPUino_Papilio_One_500K_V2.vhd │ ├── ZPUino_Papilio_One_V2_blackbox.ngc │ ├── ZPUino_Papilio_Pro_V2.sym │ ├── ZPUino_Papilio_Pro_V2.vhd │ ├── ZPUino_Papilio_Pro_V2_blackbox.ngc │ ├── circuit │ │ ├── 250K │ │ │ ├── Papilio_One_250K.prj │ │ │ ├── Papilio_One_250K.ut │ │ │ ├── Papilio_One_250K.vhf │ │ │ ├── Papilio_One_250K.xst │ │ │ ├── Papilio_One_250K_summary.html │ │ │ ├── Utility.prj │ │ │ ├── Utility.ut │ │ │ ├── Utility.vhf │ │ │ ├── Utility.xst │ │ │ └── papilio_one_250k.bit │ │ ├── 500K │ │ │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer8_jtag_summary.html │ │ │ ├── Papilio_One_500K.prj │ │ │ ├── Papilio_One_500K.ut │ │ │ ├── Papilio_One_500K.vhf │ │ │ ├── Papilio_One_500K.xst │ │ │ ├── Papilio_One_500K_summary.html │ │ │ ├── Papilio_Pro_summary.html │ │ │ └── papilio_one_500k.bit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.cmd │ │ │ ├── Papilio_DUO_LX9.prj │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ ├── Papilio_DUO_LX9.vhf │ │ │ ├── Papilio_DUO_LX9.xst │ │ │ ├── Papilio_DUO_LX9_envsettings.html │ │ │ ├── Papilio_DUO_LX9_summary.html │ │ │ ├── papilio_duo_lx9.bit │ │ │ ├── par_usage_statistics.html │ │ │ └── usage_statistics_webtalk.html │ │ ├── LX9 │ │ │ ├── BENCHY_sa_SumpBlaze_LogicAnalyzer8_jtag_summary.html │ │ │ ├── Papilio_DUO_LX9_summary.html │ │ │ ├── Papilio_One_500K_summary.html │ │ │ ├── Papilio_Pro.cmd │ │ │ ├── Papilio_Pro.prj │ │ │ ├── Papilio_Pro.ut │ │ │ ├── Papilio_Pro.vhf │ │ │ ├── Papilio_Pro.xst │ │ │ ├── Papilio_Pro_envsettings.html │ │ │ ├── Papilio_Pro_summary.html │ │ │ ├── papilio_pro.bit │ │ │ ├── par_usage_statistics.html │ │ │ └── usage_statistics_webtalk.html │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_One_250K.xise │ │ ├── PSL_Papilio_One_500K.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_One_250K.sch │ │ ├── Papilio_One_500K.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_DUO.pdf │ │ ├── schematic_papilio_one_250k.pdf │ │ ├── schematic_papilio_one_500k.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ ├── edit_library.ino │ ├── include_symbols.edif │ ├── keywords.txt │ ├── pad.vhd │ ├── sdram_hamster.vhd │ ├── sdram_wrap.vhd │ └── sram_ctrl8.vhd ├── ZPUino_Vanilla │ ├── circuit │ │ ├── 250K │ │ │ ├── Papilio_One_250K.ut │ │ │ └── papilio_one_250k.bit │ │ ├── 500K │ │ │ ├── Papilio_One_500K.ut │ │ │ └── papilio_one_500k.bit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_One_250K.xise │ │ ├── PSL_Papilio_One_500K.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_One_250K.sch │ │ ├── Papilio_One_500K.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_DUO.pdf │ │ ├── schematic_papilio_one_250k.pdf │ │ ├── schematic_papilio_one_500k.pdf │ │ └── schematic_papilio_pro.pdf │ └── edit_library.ino ├── ZPUino_Wings │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── 250K │ │ │ ├── Papilio_One_250K.ut │ │ │ └── papilio_one_250k.bit │ │ ├── 500K │ │ │ ├── Papilio_One_500K.ut │ │ │ └── papilio_one_500k.bit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_One_250k.xise │ │ ├── PSL_Papilio_One_500K.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_One_250K.sch │ │ ├── Papilio_One_500K.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_DUO.pdf │ │ ├── schematic_papilio_one_250k.pdf │ │ ├── schematic_papilio_one_500k.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ └── edit_library.ino ├── ZPUino_Wishbone_Peripherals │ ├── AUDIO_zpuino_sa_audiomixer.sym │ ├── AUDIO_zpuino_sa_audiomixer.vhd │ ├── AUDIO_zpuino_sa_sigmadeltaDAC.sym │ ├── AUDIO_zpuino_sa_sigmadeltaDAC.vhd │ ├── AUDIO_zpuino_wb_YM2149.sym │ ├── AUDIO_zpuino_wb_YM2149.vhd │ ├── AUDIO_zpuino_wb_dual_sigmadeltaDAC.sym │ ├── AUDIO_zpuino_wb_dual_sigmadeltaDAC.vhd │ ├── AUDIO_zpuino_wb_passthrough.sym │ ├── AUDIO_zpuino_wb_passthrough.vhd │ ├── AUDIO_zpuino_wb_sid6581.sym │ ├── AUDIO_zpuino_wb_sid6581.vhd │ ├── COMM_zpuino_wb_I2C.sym │ ├── COMM_zpuino_wb_I2C.vhd │ ├── COMM_zpuino_wb_SPI.sym │ ├── COMM_zpuino_wb_SPI.vhd │ ├── COMM_zpuino_wb_UART.sym │ ├── COMM_zpuino_wb_UART.vhd │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Edit_Your_CCL_Design_summary.html │ │ ├── Edit_Your_Chip_Design.cmd_log │ │ ├── Edit_Your_Chip_Design_summary.html │ │ ├── Papilio_DUO_LX9.prj │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ ├── Papilio_DUO_LX9_summary.html │ │ ├── Simulate_Your_CCL_Design_beh.prj │ │ ├── Simulate_Your_Chip_Design.vhd │ │ ├── Wishbone_Symbol_Example.cmd_log │ │ ├── fuseRelaunch.cmd │ │ ├── isim.cmd │ │ ├── pepExtractor.prj │ │ └── wishbone_testbench_beh.prj │ ├── Edit_Your_Chip_Design.sch │ ├── MISC_zpuino_sa_splitter2.sym │ ├── MISC_zpuino_sa_splitter2.vhd │ ├── MISC_zpuino_sa_splitter4.sym │ ├── MISC_zpuino_sa_splitter4.vhd │ ├── MISC_zpuino_wb_SevenSeg.sym │ ├── MISC_zpuino_wb_SevenSeg.vhd │ ├── VIDEO_zpuino_wb_vga_zxspectrum.vhd │ ├── Wishbone_Empty_Slot.sym │ ├── Wishbone_Empty_Slot.vhd │ ├── Wishbone_to_Registers.vhd │ ├── Wishbone_to_Registers_x10.sym │ ├── Wishbone_to_Registers_x10.vhd │ ├── clean.bat │ ├── dac_dsm2v.vhd │ ├── dac_dsm3v.vhd │ ├── dac_simplesd.vhd │ ├── edit_library.ino │ ├── fifo.vhd │ ├── i2c_master_bit_ctrl.vhd │ ├── i2c_master_byte_ctrl.vhd │ ├── i2c_master_top.vhd │ ├── include_symbols.edif │ ├── prescaler.vhd │ ├── rgbctrl.vhd │ ├── sid_6581.vhd │ ├── sid_coeffs.vhd │ ├── sid_components.vhd │ ├── sid_filters.vhd │ ├── sid_voice.vhd │ ├── spi.vhd │ ├── spiclkgen.vhd │ ├── tx_unit.vhd │ ├── uart_brgen.vhd │ ├── zpuino_sigmadelta.vhd │ ├── zpuino_uart_mv_filter.vhd │ └── zpuino_uart_rx.vhd ├── blank │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── circuit │ │ ├── 250K │ │ │ ├── Papilio_One_250K.ut │ │ │ └── papilio_one_250k.bit │ │ ├── 500K │ │ │ ├── Papilio_One_500K.ut │ │ │ └── papilio_one_500k.bit │ │ ├── DUO_LX9 │ │ │ ├── Papilio_DUO_LX9.ut │ │ │ └── papilio_duo_lx9.bit │ │ ├── LX9 │ │ │ ├── Papilio_Pro.ut │ │ │ └── papilio_pro.bit │ │ ├── PSL_Papilio_DUO_LX9.xise │ │ ├── PSL_Papilio_One_250k.xise │ │ ├── PSL_Papilio_One_500K.xise │ │ ├── PSL_Papilio_Pro_LX9.xise │ │ ├── Papilio_DUO_LX9.sch │ │ ├── Papilio_One_250K.sch │ │ ├── Papilio_One_500K.sch │ │ ├── Papilio_Pro.sch │ │ ├── build_all.bat │ │ ├── clean.bat │ │ ├── schematic_papilio_DUO.pdf │ │ ├── schematic_papilio_one_250k.pdf │ │ ├── schematic_papilio_one_500k.pdf │ │ └── schematic_papilio_pro.pdf │ ├── clean.bat │ └── edit_library.ino ├── clocks │ ├── Chip_Designer.xise │ ├── Chip_Designer │ │ ├── Default.wcfg │ │ ├── Papilio_DUO_LX9.ut │ │ ├── Papilio_DUO_LX9.vhf │ │ ├── Papilio_DUO_LX9.xst │ │ ├── Simulate_Your_Chip_Design.vhd │ │ └── Wishbone_to_Registers.vhd │ ├── Edit_Your_Chip_Design.sch │ ├── clean.bat │ ├── clk_32to100_dcm.sym │ ├── clk_32to100_dcm.vhd │ ├── clk_32to100_pll.sym │ ├── clk_32to100_pll.vhd │ ├── clk_32to200_pll.sym │ ├── clk_32to200_pll.vhd │ ├── clk_32to25_dcm.vhd │ ├── clk_32to288_dcm.sym │ ├── clk_32to288_dcm.vhd │ ├── clk_32to300_pll.sym │ ├── clk_32to300_pll.vhd │ ├── clk_32to350_pll.sym │ ├── clk_32to350_pll.vhd │ ├── clk_32to400_pll.sym │ ├── clk_32to400_pll.vhd │ ├── clk_32to50_dcm.sym │ ├── clk_32to50_dcm.vhd │ ├── clk_32to800_pll.sym │ ├── clk_32to800_pll.vhd │ ├── clk_32to960_pll.sym │ ├── clk_32to960_pll.vhd │ └── edit_library.ino ├── i2c │ ├── clean.bat │ ├── edit_library.ino │ ├── i2c.cpp │ └── i2c.h ├── menus │ ├── gfxframebuffer.cpp │ ├── gfxframebuffer.h │ ├── menus.cpp │ └── menus.h ├── modplayer │ ├── edit_library.ino │ ├── modplayer.cpp │ ├── modplayer.h │ ├── ptplay.cpp │ ├── ptplay.h │ └── retrocade_defs.h ├── ps2 │ ├── examples │ │ ├── ps2_kbd │ │ │ └── ps2_kbd.ino │ │ └── ps2_mouse │ │ │ └── ps2_mouse.ino │ ├── ps2.cpp │ └── ps2.h ├── ramFS │ ├── ramFS.cpp │ └── ramFS.h └── readme.txt └── update_projects.bat /.gitattributes: -------------------------------------------------------------------------------- 1 | # Auto detect text files and perform LF normalization 2 | * text=auto 3 | 4 | # Custom for Visual Studio 5 | *.cs diff=csharp 6 | *.sln merge=union 7 | *.csproj merge=union 8 | *.vbproj merge=union 9 | *.fsproj merge=union 10 | *.dbproj merge=union 11 | 12 | # Standard to msysgit 13 | *.doc diff=astextplain 14 | *.DOC diff=astextplain 15 | *.docx diff=astextplain 16 | *.DOCX diff=astextplain 17 | *.dot diff=astextplain 18 | *.DOT diff=astextplain 19 | *.pdf diff=astextplain 20 | *.PDF diff=astextplain 21 | *.rtf diff=astextplain 22 | *.RTF diff=astextplain 23 | -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/Wishbone_Peripherals/AUDIO_zpuino_sa_sigmadeltaDAC.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/Wishbone_Peripherals/AUDIO_zpuino_sa_sigmadeltaDAC.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/Wishbone_Peripherals/tx_unit.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/Wishbone_Peripherals/tx_unit.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/Xilinx_Symbol_Library/Papilio_Schematic_Library.cat.base: -------------------------------------------------------------------------------- 1 | ".Papilio Soft Processors" 2 | { 3 | "ZPUino_Papilio_One_V1" 4 | "ZPUino_Papilio_One_V1_hyperion" 5 | "ZPUino_Papilio_Pro_V1" 6 | "ZPUino_Papilio_DUO_V1" 7 | } -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_DUO_LX9/wishbonepkg.vhd: -------------------------------------------------------------------------------- 1 | library ieee; 2 | use ieee.std_logic_1164.all; 3 | use ieee.numeric_std.all; 4 | 5 | package wishbonepkg is 6 | 7 | constant CTI_CYCLE_CLASSIC: std_logic_vector(2 downto 0) := "000"; 8 | constant CTI_CYCLE_CONSTADDR: std_logic_vector(2 downto 0) := "001"; 9 | constant CTI_CYCLE_INCRADDR: std_logic_vector(2 downto 0) := "010"; 10 | constant CTI_CYCLE_ENDOFBURST: std_logic_vector(2 downto 0) := "111"; 11 | 12 | end wishbonepkg; 13 | -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_DUO_LX9/zpu_config.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_DUO_LX9/zpu_config.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_DUO_LX9/zpupkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_DUO_LX9/zpupkg.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_250K/wishbonepkg.vhd: -------------------------------------------------------------------------------- 1 | library ieee; 2 | use ieee.std_logic_1164.all; 3 | use ieee.numeric_std.all; 4 | 5 | package wishbonepkg is 6 | 7 | constant CTI_CYCLE_CLASSIC: std_logic_vector(2 downto 0) := "000"; 8 | constant CTI_CYCLE_CONSTADDR: std_logic_vector(2 downto 0) := "001"; 9 | constant CTI_CYCLE_INCRADDR: std_logic_vector(2 downto 0) := "010"; 10 | constant CTI_CYCLE_ENDOFBURST: std_logic_vector(2 downto 0) := "111"; 11 | 12 | end wishbonepkg; 13 | -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_250K/zpu_config.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_250K/zpu_config.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_250K/zpupkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_250K/zpupkg.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_500K/wishbonepkg.vhd: -------------------------------------------------------------------------------- 1 | library ieee; 2 | use ieee.std_logic_1164.all; 3 | use ieee.numeric_std.all; 4 | 5 | package wishbonepkg is 6 | 7 | constant CTI_CYCLE_CLASSIC: std_logic_vector(2 downto 0) := "000"; 8 | constant CTI_CYCLE_CONSTADDR: std_logic_vector(2 downto 0) := "001"; 9 | constant CTI_CYCLE_INCRADDR: std_logic_vector(2 downto 0) := "010"; 10 | constant CTI_CYCLE_ENDOFBURST: std_logic_vector(2 downto 0) := "111"; 11 | 12 | end wishbonepkg; 13 | -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_500K/zpu_config.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_500K/zpu_config.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_500K/zpu_config_hyperion.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_500K/zpu_config_hyperion.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_500K/zpupkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_500K/zpupkg.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_500K/zpupkg_hyperion.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_One_500K/zpupkg_hyperion.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_Pro_LX9/wishbonepkg.vhd: -------------------------------------------------------------------------------- 1 | library ieee; 2 | use ieee.std_logic_1164.all; 3 | use ieee.numeric_std.all; 4 | 5 | package wishbonepkg is 6 | 7 | constant CTI_CYCLE_CLASSIC: std_logic_vector(2 downto 0) := "000"; 8 | constant CTI_CYCLE_CONSTADDR: std_logic_vector(2 downto 0) := "001"; 9 | constant CTI_CYCLE_INCRADDR: std_logic_vector(2 downto 0) := "010"; 10 | constant CTI_CYCLE_ENDOFBURST: std_logic_vector(2 downto 0) := "111"; 11 | 12 | end wishbonepkg; 13 | -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_Pro_LX9/zpu_config.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_Pro_LX9/zpu_config.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_Pro_LX9/zpupkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/PSL_Papilio_Pro_LX9/zpupkg.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/zpu_core_extreme.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/zpu_core_extreme.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/zpu_core_extreme_hyperion.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/zpu_core_extreme_hyperion.vhd -------------------------------------------------------------------------------- /00.Papilio_Schematic_Library/Libraries/ZPUino_1/zpu_core_extreme_icache.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/00.Papilio_Schematic_Library/Libraries/ZPUino_1/zpu_core_extreme_icache.vhd -------------------------------------------------------------------------------- /AVR_to_ZPUino_Communications/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/AVR_to_ZPUino_Communications/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /AVR_to_ZPUino_Communications/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /AVR_to_ZPUino_Communications/circuit/schematic_papilio_duo.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/AVR_to_ZPUino_Communications/circuit/schematic_papilio_duo.pdf -------------------------------------------------------------------------------- /Arduino_Examples/01.Basics/BareMinimum/BareMinimum.ino: -------------------------------------------------------------------------------- 1 | void setup() { 2 | // put your setup code here, to run once: 3 | 4 | } 5 | 6 | void loop() { 7 | // put your main code here, to run repeatedly: 8 | 9 | } 10 | -------------------------------------------------------------------------------- /Arduino_Examples/08.Strings/CharacterAnalysis/.CharacterAnalysis.ino.swp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Arduino_Examples/08.Strings/CharacterAnalysis/.CharacterAnalysis.ino.swp -------------------------------------------------------------------------------- /Arduino_Examples/08.Strings/StringReplace/.swp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Arduino_Examples/08.Strings/StringReplace/.swp -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/Dashboards/FlowStone/RetroCade Synth Dashboard.fsm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/Dashboards/FlowStone/RetroCade Synth Dashboard.fsm -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/Dashboards/FlowStone/RetroCade_Synth_DashBoard.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/Dashboards/FlowStone/RetroCade_Synth_DashBoard.exe -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/circuit/LX9/Papilio_Pro.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g Reset_on_err:No 6 | -g ConfigRate:2 7 | -g ProgPin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g ExtMasterCclk_en:No 15 | -g SPI_buswidth:1 16 | -g TIMER_CFG:0xFFFF 17 | -g multipin_wakeup:No 18 | -g StartUpClk:CClk 19 | -g DONE_cycle:4 20 | -g GTS_cycle:5 21 | -g GWE_cycle:6 22 | -g LCK_cycle:NoWait 23 | -g Security:None 24 | -g DonePipe:Yes 25 | -g DriveDone:No 26 | -g en_sw_gsr:No 27 | -g drive_awake:No 28 | -g sw_clk:Startupclk 29 | -g sw_gwe_cycle:5 30 | -g sw_gts_cycle:4 31 | -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/circuit/RetroCade-1.1-zpuino-1.0-PapilioPro-S6LX9-RetroCade-1.1.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/circuit/RetroCade-1.1-zpuino-1.0-PapilioPro-S6LX9-RetroCade-1.1.bit -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/circuit/RetroCade-1.3-lcd-contrast-fix-zpuino-2.0-PapilioPro-S6LX9-RetroCade-1.3.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/circuit/RetroCade-1.3-lcd-contrast-fix-zpuino-2.0-PapilioPro-S6LX9-RetroCade-1.3.bit -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/circuit/RetroCade-1.3-zpuino-2.0-PapilioPro-S6LX9-RetroCade-1.3.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/circuit/RetroCade-1.3-zpuino-2.0-PapilioPro-S6LX9-RetroCade-1.3.bit -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/circuit/zpuino-2.0-PapilioPro-S6LX9-RetroCade-1.3.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/circuit/zpuino-2.0-PapilioPro-S6LX9-RetroCade-1.3.bit -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/libraries.h: -------------------------------------------------------------------------------- 1 | There are several libraries used by the RetroCade code that you may want to look at and modify. The libraries used are listed here to make finding everything easier: 2 | 3 | (DesignLabHome)\libraries\SID 4 | (DesignLabHome)\libraries\SIDPlayer 5 | (DesignLabHome)\libraries\YM2149 6 | (DesignLabHome)\libraries\YMPlayer 7 | (DesignLabHome)\libraries\modplayer 8 | 9 | 10 | -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/hihat.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/hihat.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/kick.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/kick.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track1.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track1.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track1.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track1.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track1.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track1.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track2.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track2.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track2.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track2.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track2.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track2.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track3.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track3.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track3.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track3.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track3.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track3.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track4.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track4.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track4.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track4.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track4.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track4.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track5.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track5.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track5.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track5.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track5.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track5.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track6.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track6.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track6.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track6.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track6.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track6.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track7.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track7.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track7.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track7.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track7.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track7.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track8.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track8.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track8.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track8.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track8.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track8.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track9.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track9.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track9.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track9.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs-production/track9.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs-production/track9.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/hihat.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/hihat.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/kick.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/kick.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/track1.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/track1.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/track1.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/track1.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/track1.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/track1.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/track2.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/track2.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/track2.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/track2.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/track2.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/track2.ymd -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/track3.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/track3.mod -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/track3.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/track3.sid -------------------------------------------------------------------------------- /Audio_RetroCade_Synth/smallfs/track3.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Audio_RetroCade_Synth/smallfs/track3.ymd -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_JTAG/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_JTAG/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_JTAG/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_JTAG/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_JTAG/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_JTAG/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_JTAG/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_JTAG/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_JTAG/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_Standalone/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_Standalone/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_Standalone/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_Standalone/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_Standalone/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_Standalone/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_Standalone/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_Standalone/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_Standalone/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_Standalone/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_Standalone/circuit/schematic_papilio_one_250k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_Standalone/circuit/schematic_papilio_one_250k.pdf -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_Standalone/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_Standalone/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /Benchy_Sump_LogicAnalyzer_Standalone/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Sump_LogicAnalyzer_Standalone/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /Benchy_Waveform_Generator/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Benchy_Waveform_Generator/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Waveform_Generator/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /Benchy_Waveform_Generator/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Waveform_Generator/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Benchy_Waveform_Generator/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Waveform_Generator/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /Benchy_Waveform_Generator/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Benchy_Waveform_Generator/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Waveform_Generator/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /Benchy_Waveform_Generator/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Waveform_Generator/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /Benchy_Waveform_Generator/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Benchy_Waveform_Generator/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /Divider_Example/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Divider_Example/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Divider_Example/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Divider_Example/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Divider_Example/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /LogicStartShield_LEDs/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/LogicStartShield_LEDs/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /LogicStartShield_LEDs/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /LogicStartShield_LEDs/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/LogicStartShield_LEDs/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /MegaWing_Arcade/smallfs/music.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/MegaWing_Arcade/smallfs/music.ymd -------------------------------------------------------------------------------- /MegaWing_Arcade/ym_converter/bin/ymTool.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/MegaWing_Arcade/ym_converter/bin/ymTool.exe -------------------------------------------------------------------------------- /MegaWing_Arcade/ym_converter/bin/ymdump.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/MegaWing_Arcade/ym_converter/bin/ymdump.exe -------------------------------------------------------------------------------- /MegaWing_Logicstart/smallfs/music.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/MegaWing_Logicstart/smallfs/music.mod -------------------------------------------------------------------------------- /Multiple_Serial_Ports/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Multiple_Serial_Ports/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Multiple_Serial_Ports/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /Multiple_Serial_Ports/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Multiple_Serial_Ports/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Multiple_Serial_Ports/circuit/LX9/Papilio_Pro.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g Reset_on_err:No 6 | -g ConfigRate:2 7 | -g ProgPin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g ExtMasterCclk_en:No 15 | -g SPI_buswidth:1 16 | -g TIMER_CFG:0xFFFF 17 | -g multipin_wakeup:No 18 | -g StartUpClk:CClk 19 | -g DONE_cycle:4 20 | -g GTS_cycle:5 21 | -g GWE_cycle:6 22 | -g LCK_cycle:NoWait 23 | -g Security:None 24 | -g DonePipe:Yes 25 | -g DriveDone:No 26 | -g en_sw_gsr:No 27 | -g drive_awake:No 28 | -g sw_clk:Startupclk 29 | -g sw_gwe_cycle:5 30 | -g sw_gts_cycle:4 31 | -------------------------------------------------------------------------------- /Multiple_Serial_Ports/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Multiple_Serial_Ports/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /Multiple_Serial_Ports/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Multiple_Serial_Ports/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Multiple_Serial_Ports/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /Multiple_Serial_Ports/circuit/schematic_papilio_one_500K.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Multiple_Serial_Ports/circuit/schematic_papilio_one_500K.pdf -------------------------------------------------------------------------------- /Multiple_Serial_Ports/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Multiple_Serial_Ports/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /Open_DUO_Projects.bat: -------------------------------------------------------------------------------- 1 | REM for all examples 2 | for /f %%F in ('dir /AD /b ^| findstr /vile "Template_PSL_Base"') do if exist "%%F"\circuit\PSL_Papilio_DUO_LX9.xise start %%F\circuit\PSL_Papilio_DUO_LX9.xise && pause 3 | 4 | echo "Change Xise project files to library now" 5 | pause 6 | 7 | REM for library circuit 8 | cd libraries 9 | for /f %%F in ('dir /AD /b ^| findstr /vile "Template_PSL_Base"') do if exist "%%F"\circuit\PSL_Papilio_DUO_LX9.xise start %%F\circuit\PSL_Papilio_DUO_LX9.xise && pause 10 | -------------------------------------------------------------------------------- /Open_Pro_Projects.bat: -------------------------------------------------------------------------------- 1 | REM for all examples 2 | for /f %%F in ('dir /AD /b ^| findstr /vile "Template_PSL_Base"') do if exist "%%F"\circuit\PSL_Papilio_Pro_LX9.xise start %%F\circuit\PSL_Papilio_Pro_LX9.xise && pause 3 | 4 | echo "Change Xise project files to library now" 5 | pause 6 | 7 | REM for library circuit 8 | cd libraries 9 | for /f %%F in ('dir /AD /b ^| findstr /vile "Template_PSL_Base"') do if exist "%%F"\circuit\PSL_Papilio_Pro_LX9.xise start %%F\circuit\PSL_Papilio_Pro_LX9.xise && pause 10 | -------------------------------------------------------------------------------- /Papilio_DUO_AVR_Dragon_Debug_JTAG/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_AVR_Dragon_Debug_JTAG/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Papilio_DUO_AVR_Dragon_Debug_JTAG/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Papilio_DUO_AVR_Dragon_Debug_JTAG/circuit/schematic_papilio_duo.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_AVR_Dragon_Debug_JTAG/circuit/schematic_papilio_duo.pdf -------------------------------------------------------------------------------- /Papilio_DUO_AVR_Dragon_Debug_SPI/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_AVR_Dragon_Debug_SPI/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Papilio_DUO_AVR_Dragon_Debug_SPI/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Papilio_DUO_AVR_Dragon_Debug_SPI/circuit/schematic_papilio_duo.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_AVR_Dragon_Debug_SPI/circuit/schematic_papilio_duo.pdf -------------------------------------------------------------------------------- /Papilio_DUO_ArduinoISP/Papilio_DUO_ArduinoISP.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_ArduinoISP/Papilio_DUO_ArduinoISP.bit -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/Papilio_DUO_happyjtag2.ino: -------------------------------------------------------------------------------- 1 | /* 2 | Gadget Factory 3 | HappyJTAG2 Example 4 | Use this circuit to debug the ATmega32U4 using the HappyJTAG2 software debugger. No debugging hardware required! 5 | 6 | Tools: 7 | HappyJtag2: sketchdir://happyjtag2/happyjtag2.exe 8 | 9 | Tutorials: 10 | http://gadgetfactory.net/learn/2014/08/29/papilio-duo-program-arduino-bootloader-using-happyjtag2/ 11 | 12 | created 2014 13 | by Jack Gassett 14 | http://www.gadgetfactory.net 15 | 16 | This example code is in the public domain. 17 | */ 18 | 19 | -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_happyjtag2/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/circuit/schematic_papilio_duo.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_happyjtag2/circuit/schematic_papilio_duo.pdf -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/happyjtag2/FTCJTAG.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_happyjtag2/happyjtag2/FTCJTAG.dll -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/happyjtag2/FTCSPI.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_happyjtag2/happyjtag2/FTCSPI.dll -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/happyjtag2/HappyJTAG2.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_happyjtag2/happyjtag2/HappyJTAG2.exe -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/happyjtag2/KernelDevices.plg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_happyjtag2/happyjtag2/KernelDevices.plg -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/happyjtag2/VSPEUtils.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_happyjtag2/happyjtag2/VSPEUtils.dll -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/happyjtag2/VSPE_API.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_happyjtag2/happyjtag2/VSPE_API.dll -------------------------------------------------------------------------------- /Papilio_DUO_happyjtag2/happyjtag2/ftd2xx.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Papilio_DUO_happyjtag2/happyjtag2/ftd2xx.dll -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | These are the example projects and libraries for DesignLab. 2 | 3 | They are a separate project to make it easy to download the examples without downloading the full DesignLab source code. 4 | 5 | To use you should go to File/Preferences in DesignLab and set the Sketchbook location to this DesignLab_Examples folder. 6 | You will also need to rename the libraries folder in your DesignLab installation to libraries-orig so you don't pick up two copies of the libraries. 7 | 8 | 9 | -------------------------------------------------------------------------------- /SHIELD_Computing_Shield/examples/Computing_Shield_Verification/smallfs/PapilioImage.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/SHIELD_Computing_Shield/examples/Computing_Shield_Verification/smallfs/PapilioImage.dat -------------------------------------------------------------------------------- /SHIELD_Computing_Shield/examples/Computing_Shield_Verification/smallfs/music.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/SHIELD_Computing_Shield/examples/Computing_Shield_Verification/smallfs/music.mod -------------------------------------------------------------------------------- /SHIELD_LogicStart_Shield/examples/LogicStart_Shield_Verification/smallfs/music.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/SHIELD_LogicStart_Shield/examples/LogicStart_Shield_Verification/smallfs/music.mod -------------------------------------------------------------------------------- /SigmaDelta_DAC/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/SigmaDelta_DAC/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /SigmaDelta_DAC/circuit/LX9/Papilio_Pro.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g Reset_on_err:No 6 | -g ConfigRate:2 7 | -g ProgPin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g ExtMasterCclk_en:No 15 | -g SPI_buswidth:1 16 | -g TIMER_CFG:0xFFFF 17 | -g multipin_wakeup:No 18 | -g StartUpClk:CClk 19 | -g DONE_cycle:4 20 | -g GTS_cycle:5 21 | -g GWE_cycle:6 22 | -g LCK_cycle:NoWait 23 | -g Security:None 24 | -g DonePipe:Yes 25 | -g DriveDone:No 26 | -g en_sw_gsr:No 27 | -g drive_awake:No 28 | -g sw_clk:Startupclk 29 | -g sw_gwe_cycle:5 30 | -g sw_gts_cycle:4 31 | -------------------------------------------------------------------------------- /SigmaDelta_DAC/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/SigmaDelta_DAC/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /SigmaDelta_DAC/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /SigmaDelta_DAC/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/SigmaDelta_DAC/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /SigmaDelta_DAC/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/SigmaDelta_DAC/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /Template_DesignLab_Library/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_DesignLab_Library/circuit/250K/Utility.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_DesignLab_Library/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_DesignLab_Library/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Template_DesignLab_Library/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library/include_symbols.edif -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_Schematic/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_Schematic/circuit/250K/Utility.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_Schematic/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library_Wishbone_Schematic/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_Schematic/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_Schematic/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library_Wishbone_Schematic/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_Schematic/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library_Wishbone_Schematic/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_Schematic/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library_Wishbone_Schematic/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_Schematic/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_Schematic/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library_Wishbone_Schematic/include_symbols.edif -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_VHDL/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_VHDL/circuit/250K/Utility.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_VHDL/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library_Wishbone_VHDL/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_VHDL/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_VHDL/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library_Wishbone_VHDL/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_VHDL/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library_Wishbone_VHDL/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_VHDL/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library_Wishbone_VHDL/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_VHDL/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Template_DesignLab_Library_Wishbone_VHDL/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_DesignLab_Library_Wishbone_VHDL/include_symbols.edif -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_PSL_Base/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_PSL_Base/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_PSL_Base/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/LX9/Papilio_Pro.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g Reset_on_err:No 6 | -g ConfigRate:2 7 | -g ProgPin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g ExtMasterCclk_en:No 15 | -g SPI_buswidth:1 16 | -g TIMER_CFG:0xFFFF 17 | -g multipin_wakeup:No 18 | -g StartUpClk:CClk 19 | -g DONE_cycle:4 20 | -g GTS_cycle:5 21 | -g GWE_cycle:6 22 | -g LCK_cycle:NoWait 23 | -g Security:None 24 | -g DonePipe:Yes 25 | -g DriveDone:No 26 | -g en_sw_gsr:No 27 | -g drive_awake:No 28 | -g sw_clk:Startupclk 29 | -g sw_gwe_cycle:5 30 | -g sw_gts_cycle:4 31 | -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_PSL_Base/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_PSL_Base/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/schematic_papilio_one_250k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_PSL_Base/circuit/schematic_papilio_one_250k.pdf -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_PSL_Base/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /Template_PSL_Base/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Template_PSL_Base/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /Video_Audio_Player/smallfs/image.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Video_Audio_Player/smallfs/image.jpg -------------------------------------------------------------------------------- /Video_Audio_Player/smallfs/track1.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Video_Audio_Player/smallfs/track1.sid -------------------------------------------------------------------------------- /Video_Audio_Player/smallfs/track1.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Video_Audio_Player/smallfs/track1.ymd -------------------------------------------------------------------------------- /Video_Audio_Player/smallfs/track2.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Video_Audio_Player/smallfs/track2.sid -------------------------------------------------------------------------------- /Video_Audio_Player/smallfs/track2.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Video_Audio_Player/smallfs/track2.ymd -------------------------------------------------------------------------------- /Video_Audio_Player/smallfs/track3.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Video_Audio_Player/smallfs/track3.sid -------------------------------------------------------------------------------- /Video_Audio_Player/smallfs/track3.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/Video_Audio_Player/smallfs/track3.ymd -------------------------------------------------------------------------------- /WING_Analog/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /WING_Analog/circuit/250K/Utility.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /WING_Analog/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Analog/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /WING_Analog/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /WING_Analog/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Analog/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /WING_Analog/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Analog/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /WING_Analog/circuit/LX9/Papilio_Pro.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g Reset_on_err:No 6 | -g ConfigRate:2 7 | -g ProgPin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g ExtMasterCclk_en:No 15 | -g SPI_buswidth:1 16 | -g TIMER_CFG:0xFFFF 17 | -g multipin_wakeup:No 18 | -g StartUpClk:CClk 19 | -g DONE_cycle:4 20 | -g GTS_cycle:5 21 | -g GWE_cycle:6 22 | -g LCK_cycle:NoWait 23 | -g Security:None 24 | -g DonePipe:Yes 25 | -g DriveDone:No 26 | -g en_sw_gsr:No 27 | -g drive_awake:No 28 | -g sw_clk:Startupclk 29 | -g sw_gwe_cycle:5 30 | -g sw_gts_cycle:4 31 | -------------------------------------------------------------------------------- /WING_Analog/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Analog/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /WING_Analog/circuit/LX9/papilio_pro_working_input directly connected.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Analog/circuit/LX9/papilio_pro_working_input directly connected.bit -------------------------------------------------------------------------------- /WING_Analog/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /WING_Analog/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Analog/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /WING_Analog/circuit/schematic_papilio_one_250k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Analog/circuit/schematic_papilio_one_250k.pdf -------------------------------------------------------------------------------- /WING_Analog/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Analog/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /WING_Analog/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Analog/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /WING_Audio/examples/Audio_ModFile_simple/smallfs/music.mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Audio/examples/Audio_ModFile_simple/smallfs/music.mod -------------------------------------------------------------------------------- /WING_Audio/examples/Audio_SID_simple/smallfs/music.sid: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Audio/examples/Audio_SID_simple/smallfs/music.sid -------------------------------------------------------------------------------- /WING_Audio/examples/Audio_YM2149_simple/smallfs/music.ymd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Audio/examples/Audio_YM2149_simple/smallfs/music.ymd -------------------------------------------------------------------------------- /WING_Audio/examples/Audio_YM2149_simple/ym_converter/bin/ymTool.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Audio/examples/Audio_YM2149_simple/ym_converter/bin/ymTool.exe -------------------------------------------------------------------------------- /WING_Audio/examples/Audio_YM2149_simple/ym_converter/bin/ymdump.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/WING_Audio/examples/Audio_YM2149_simple/ym_converter/bin/ymdump.exe -------------------------------------------------------------------------------- /ZPUino_VGA_Adapter/Images/image.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/ZPUino_VGA_Adapter/Images/image.jpg -------------------------------------------------------------------------------- /ZPUino_VGA_Adapter/Images/image.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/ZPUino_VGA_Adapter/Images/image.png -------------------------------------------------------------------------------- /ZPUino_VGA_Adapter/Images/smallfs/image.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/ZPUino_VGA_Adapter/Images/smallfs/image.jpg -------------------------------------------------------------------------------- /clean_all_examples.bat: -------------------------------------------------------------------------------- 1 | REM Cleans all circuit files. 2 | for /f %%F in ('dir /AD /b ^| findstr /vile "Template_PSL_Base .git archive libraries"') do if exist "%%F"\circuit\clean.bat cd "%%F"\circuit\ & clean.bat & cd ..\.. 3 | REM cd libraries 4 | REM for /f %%F in ('dir /AD /b ^| findstr /vile "Template_PSL_Base"') do if exist "%%F"\circuit\clean.bat cd "%%F"\circuit\ & clean.bat & pause & cd ..\.. 5 | REM pause 6 | -------------------------------------------------------------------------------- /clean_all_libs.bat: -------------------------------------------------------------------------------- 1 | REM Cleans all circuit files. 2 | REM for /f %%F in ('dir /AD /b ^| findstr /vile "Template_PSL_Base .git archive libraries"') do if exist "%%F"\circuit\clean.bat cd "%%F"\circuit\ & clean.bat & cd ..\.. 3 | cd libraries 4 | for /f %%F in ('dir /AD /b ^| findstr /vile "Template_PSL_Base"') do if exist "%%F"\circuit\clean.bat cd "%%F"\circuit\ & clean.bat & cd ..\.. 5 | REM pause 6 | -------------------------------------------------------------------------------- /hdmi-generic/smallfs/image.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/hdmi-generic/smallfs/image.jpg -------------------------------------------------------------------------------- /libraries/Arcade_MegaWing/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/Arcade_MegaWing/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Arcade_MegaWing/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /libraries/Arcade_MegaWing/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Arcade_MegaWing/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/Arcade_MegaWing/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/Arcade_MegaWing/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Arcade_MegaWing/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /libraries/Arcade_MegaWing/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Arcade_MegaWing/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/Arcade_MegaWing2/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Arcade_MegaWing2/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/Arcade_MegaWing2/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/Arcade_MegaWing2/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Arcade_MegaWing2/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/Audio_Wing/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/Audio_Wing/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Audio_Wing/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /libraries/Audio_Wing/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Audio_Wing/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/Audio_Wing/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Audio_Wing/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/Audio_Wing/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/Audio_Wing/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Audio_Wing/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/Audio_Wing/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Audio_Wing/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /libraries/Audio_Wing/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Audio_Wing/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/circuit/schematic_papilio_PRO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/circuit/schematic_papilio_PRO.pdf -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/examples/BitCoin_Miner/mining_proxy.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/examples/BitCoin_Miner/mining_proxy.exe -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/include_symbols.edif -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/jsonrpc/__init__.pyc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/jsonrpc/__init__.pyc -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/jsonrpc/cgiwrapper.pyc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/jsonrpc/cgiwrapper.pyc -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/jsonrpc/json.pyc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/jsonrpc/json.pyc -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/jsonrpc/modpywrapper.pyc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/jsonrpc/modpywrapper.pyc -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/jsonrpc/proxy.pyc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/jsonrpc/proxy.pyc -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/jsonrpc/serviceHandler.pyc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/jsonrpc/serviceHandler.pyc -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/pyserial-2.7/build/lib/serial/tools/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/pyserial-2.7/build/lib/serial/tools/__init__.py -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/pyserial-2.7/build/lib/serial/urlhandler/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/pyserial-2.7/build/lib/serial/urlhandler/__init__.py -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/pyserial-2.7/build/lib/serial/urlhandler/protocol_rfc2217.py: -------------------------------------------------------------------------------- 1 | #! python 2 | # 3 | # Python Serial Port Extension for Win32, Linux, BSD, Jython 4 | # see ../__init__.py 5 | # 6 | # This is a thin wrapper to load the rfc2271 implementation. 7 | # 8 | # (C) 2011 Chris Liechti 9 | # this is distributed under a free software license, see license.txt 10 | 11 | from serial.rfc2217 import Serial 12 | -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/pyserial-2.7/documentation/pyserial.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/pyserial-2.7/documentation/pyserial.png -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/pyserial-2.7/examples/scanlinux.py: -------------------------------------------------------------------------------- 1 | #! /usr/bin/env python 2 | """\ 3 | Scan for serial ports. Linux specific variant that also includes USB/Serial 4 | adapters. 5 | 6 | Part of pySerial (http://pyserial.sf.net) 7 | (C) 2009 8 | """ 9 | 10 | import serial 11 | import glob 12 | 13 | def scan(): 14 | """scan for available ports. return a list of device names.""" 15 | return glob.glob('/dev/ttyS*') + glob.glob('/dev/ttyUSB*') + glob.glob('/dev/ttyACM*') 16 | 17 | if __name__=='__main__': 18 | print "Found ports:" 19 | for name in scan(): 20 | print name 21 | -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/pyserial-2.7/examples/setup-rfc2217_server-py2exe.py: -------------------------------------------------------------------------------- 1 | # setup script for py2exe to create the miniterm.exe 2 | # $Id: setup-rfc2217_server-py2exe.py 452 2012-04-12 16:47:47Z cliechti $ 3 | 4 | from distutils.core import setup 5 | import glob, sys, py2exe, os 6 | 7 | sys.path.insert(0, '..') 8 | 9 | sys.argv.extend("py2exe --bundle 1".split()) 10 | 11 | setup( 12 | name='rfc2217_server', 13 | zipfile=None, 14 | options = {"py2exe": 15 | { 16 | 'dist_dir': 'bin', 17 | 'excludes': ['javax.comm'], 18 | 'compressed': 1, 19 | } 20 | }, 21 | console = [ 22 | "rfc2217_server.py", 23 | ], 24 | ) 25 | -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/pyserial-2.7/serial/tools/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/pyserial-2.7/serial/tools/__init__.py -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/pyserial-2.7/serial/urlhandler/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/pyserial-2.7/serial/urlhandler/__init__.py -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/pyserial-2.7/serial/urlhandler/protocol_rfc2217.py: -------------------------------------------------------------------------------- 1 | #! python 2 | # 3 | # Python Serial Port Extension for Win32, Linux, BSD, Jython 4 | # see ../__init__.py 5 | # 6 | # This is a thin wrapper to load the rfc2271 implementation. 7 | # 8 | # (C) 2011 Chris Liechti 9 | # this is distributed under a free software license, see license.txt 10 | 11 | from serial.rfc2217 import Serial 12 | -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/python-jsonrpc-master/setup.py: -------------------------------------------------------------------------------- 1 | #!/usr/bin/env python 2 | 3 | from distutils.core import setup 4 | 5 | setup(name = "jsonrpc", 6 | version = "0.01", 7 | description = "A json-rpc package which implements JSON-RPC over HTTP.", 8 | keywords = "JSON RPC", 9 | author = "Jan-Klaas Kollhof", 10 | url = "http://json-rpc.org/wiki/python-json-rpc", 11 | license = "LGPL", 12 | long_description = """""", 13 | packages = ['jsonrpc'] 14 | ) -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/serial/__init__.pyc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/serial/__init__.pyc -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/serial/serialutil.pyc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/serial/serialutil.pyc -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/serial/serialwin32.pyc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/serial/serialwin32.pyc -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/serial/tools/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/serial/tools/__init__.py -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/serial/urlhandler/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/serial/urlhandler/__init__.py -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/serial/urlhandler/protocol_rfc2217.py: -------------------------------------------------------------------------------- 1 | #! python 2 | # 3 | # Python Serial Port Extension for Win32, Linux, BSD, Jython 4 | # see ../__init__.py 5 | # 6 | # This is a thin wrapper to load the rfc2271 implementation. 7 | # 8 | # (C) 2011 Chris Liechti 9 | # this is distributed under a free software license, see license.txt 10 | 11 | from serial.rfc2217 import Serial 12 | -------------------------------------------------------------------------------- /libraries/BitCoin_Miner/serial/win32.pyc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/BitCoin_Miner/serial/win32.pyc -------------------------------------------------------------------------------- /libraries/Building_Blocks/Chip_Designer/debounce.spl: -------------------------------------------------------------------------------- 1 | [Inputs] 2 | reset 3 | clk_32Mhz 4 | button_in 5 | [Outputs] 6 | debounced_button 7 | [BiDir] 8 | -------------------------------------------------------------------------------- /libraries/Building_Blocks/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Building_Blocks/include_symbols.edif -------------------------------------------------------------------------------- /libraries/Clocks/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Clocks/include_symbols.edif -------------------------------------------------------------------------------- /libraries/Computing_Shield/Chip_Designer/Edit_Your_Chip_Design.cmd_log: -------------------------------------------------------------------------------- 1 | sch2vhdl -intstyle ise -family spartan6 -tionly -w D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/Edit_Your_CCL_Design.sch Edit_Your_CCL_Design.vhi 2 | -------------------------------------------------------------------------------- /libraries/Computing_Shield/Chip_Designer/Simulate_Your_CCL_Design_beh.prj: -------------------------------------------------------------------------------- 1 | vhdl work "../Wishbone_to_Registers.vhd" 2 | vhdl work "../Wishbone_Symbol_Example.vhd" 3 | vhdl work "../Simulate_Your_CCL_Design.vhd" 4 | -------------------------------------------------------------------------------- /libraries/Computing_Shield/Chip_Designer/Wishbone_to_Registers.cmd_log: -------------------------------------------------------------------------------- 1 | vhdtdtfi -prj PSL_Papilio_DUO_LX9 -o D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Wishbone_to_Registers.vhi -module Wishbone_to_Registers -template C:/Xilinx/14.7/ISE_DS/ISE//data/vhdlinst.tft -deleteonerror -lib work ../Wishbone_to_Registers.vhd 2 | -------------------------------------------------------------------------------- /libraries/Computing_Shield/Chip_Designer/fuseRelaunch.cmd: -------------------------------------------------------------------------------- 1 | -intstyle "ise" -incremental -lib "secureip" -o "D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Simulate_Your_CCL_Design_isim_beh.exe" -prj "D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Simulate_Your_CCL_Design_beh.prj" "work.Simulate_Your_CCL_Design" 2 | -------------------------------------------------------------------------------- /libraries/Computing_Shield/Chip_Designer/isim.cmd: -------------------------------------------------------------------------------- 1 | onerror {resume} 2 | run 1000 ns; 3 | -------------------------------------------------------------------------------- /libraries/Computing_Shield/Chip_Designer/pepExtractor.prj: -------------------------------------------------------------------------------- 1 | work "../Wishbone_Symbol_Example.vhd" 2 | work "../Wishbone_to_Registers.vhd" 3 | -------------------------------------------------------------------------------- /libraries/Computing_Shield/Chip_Designer/wishbone_testbench_beh.prj: -------------------------------------------------------------------------------- 1 | vhdl work "../Wishbone_to_Registers.vhd" 2 | vhdl work "../Wishbone_Symbol_Example.vhd" 3 | vhdl work "../wishbone_testbench.vhd" 4 | -------------------------------------------------------------------------------- /libraries/Computing_Shield/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Computing_Shield/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/Computing_Shield/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/Computing_Shield/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Computing_Shield/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/Computing_Shield/keywords.txt: -------------------------------------------------------------------------------- 1 | ####################################### 2 | # Syntax Coloring Map SD 3 | ####################################### 4 | 5 | ####################################### 6 | # Datatypes (KEYWORD1) 7 | ####################################### 8 | 9 | Computing_Shield KEYWORD1 10 | 11 | ####################################### 12 | # Methods and Functions (KEYWORD2) 13 | ####################################### 14 | setup KEYWORD2 15 | readButtons KEYWORD2 16 | writeLEDs KEYWORD2 17 | 18 | ####################################### 19 | # Constants (LITERAL1) 20 | ####################################### 21 | -------------------------------------------------------------------------------- /libraries/Computing_Shield2/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Computing_Shield2/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/Computing_Shield2/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/Computing_Shield2/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Computing_Shield2/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/Gameduino/examples/2.Audio/instruments2/showvoices.h: -------------------------------------------------------------------------------- 1 | static PROGMEM prog_uchar showvoices_code[] = { 2 | 0x81,0x15, 3 | 0x00,0x80, 4 | 0x81,0x60, 5 | 0x04,0x80, 6 | 0x03,0x6D, 7 | 0x00,0xAA, 8 | 0x03,0x62, 9 | 0x02,0x80, 10 | 0x03,0x62, 11 | 0x00,0x60, 12 | 0x00,0x6C, 13 | 0x00,0x66, 14 | 0x81,0x61, 15 | 0x04,0x80, 16 | 0x03,0x6D, 17 | 0x00,0xB0, 18 | 0x03,0x62, 19 | 0x00,0x80, 20 | 0x03,0x62, 21 | 0x23,0x60, 22 | 0x03,0x61, 23 | 0x00,0x6A, 24 | 0x3F,0x80, 25 | 0x03,0x63, 26 | 0x82,0x15, 27 | 0x0C,0x70, 28 | }; 29 | -------------------------------------------------------------------------------- /libraries/Gameduino/examples/3.Advanced/interrupt/rasterinterrupt.h: -------------------------------------------------------------------------------- 1 | static PROGMEM prog_uchar rasterinterrupt_code[] = { 2 | 0x8C,0x15, 3 | 0x01,0x80, 4 | 0x0F,0x72, 5 | 0x81,0x60, 6 | 0x00,0x60, 7 | 0x00,0x6C, 8 | 0x80,0x61, 9 | 0x81,0x55, 10 | 0x00,0x60, 11 | 0x00,0x6C, 12 | 0x00,0x6E, 13 | 0x0F,0x74, 14 | 0x00,0x80, 15 | 0xEF,0xFF, 16 | 0x00,0x66, 17 | 0x23,0x60, 18 | 0x03,0x61, 19 | 0x90,0xA8, 20 | 0x83,0x55, 21 | 0xFF,0xFF, 22 | 0x00,0x66, 23 | 0x00,0x60, 24 | 0x00,0x6C, 25 | 0x03,0x68, 26 | 0xF1,0xFF, 27 | 0x00,0x66, 28 | 0x23,0x60, 29 | 0x03,0x61, 30 | 0x91,0x15, 31 | 0x0C,0x70, 32 | }; 33 | -------------------------------------------------------------------------------- /libraries/Gameduino/examples/3.Advanced/snow/random.h: -------------------------------------------------------------------------------- 1 | static PROGMEM prog_uchar random_code[] = { 2 | 0x81,0x15, 3 | 0x00,0x80, 4 | 0xED,0xFF, 5 | 0x00,0x66, 6 | 0x00,0x60, 7 | 0x00,0x6C, 8 | 0x81,0x61, 9 | 0x23,0x60, 10 | 0x03,0x61, 11 | 0x00,0x6A, 12 | 0xFF,0x9F, 13 | 0x03,0x63, 14 | 0x82,0x15, 15 | 0x0C,0x70, 16 | }; 17 | -------------------------------------------------------------------------------- /libraries/Gameduino/examples/3.Advanced/wireframe/eraser.h: -------------------------------------------------------------------------------- 1 | static PROGMEM prog_uchar eraser_code[] = { 2 | 0x81,0x15, 3 | 0x98,0xA8, 4 | 0x00,0x60, 5 | 0x00,0x6C, 6 | 0x47,0x61, 7 | 0xFF,0xBF, 8 | 0xFF,0xFF, 9 | 0x81,0x60, 10 | 0x00,0x6C, 11 | 0x81,0x6B, 12 | 0x03,0x63, 13 | 0x81,0x61, 14 | 0x23,0x60, 15 | 0x03,0x61, 16 | 0x00,0x6A, 17 | 0x81,0x67, 18 | 0x87,0x35, 19 | 0x00,0x80, 20 | 0x97,0xA8, 21 | 0x23,0x60, 22 | 0x03,0x61, 23 | 0x95,0x15, 24 | 0x0C,0x70, 25 | }; 26 | -------------------------------------------------------------------------------- /libraries/Gameduino/examples/5.Tools/selftest/selftest1.h: -------------------------------------------------------------------------------- 1 | static PROGMEM prog_uchar selftest1_code[] = { 2 | 0x94,0x15, 3 | 0x01,0x80, 4 | 0x0F,0x72, 5 | 0x81,0x61, 6 | 0x00,0x6E, 7 | 0x81,0x61, 8 | 0x81,0x55, 9 | 0x23,0x60, 10 | 0x03,0x61, 11 | 0x23,0x60, 12 | 0x0F,0x71, 13 | 0x80,0x61, 14 | 0x81,0x55, 15 | 0x80,0x61, 16 | 0x81,0x61, 17 | 0x00,0x80, 18 | 0x03,0x67, 19 | 0x93,0x35, 20 | 0x81,0x55, 21 | 0x0C,0x70, 22 | 0x00,0x80, 23 | 0x00,0x80, 24 | 0x81,0x61, 25 | 0x90,0xA8, 26 | 0x83,0x55, 27 | 0x81,0x60, 28 | 0x92,0xA8, 29 | 0x83,0x55, 30 | 0x8B,0x55, 31 | 0x9F,0xA8, 32 | 0x00,0x60, 33 | 0x00,0x6C, 34 | 0x9D,0x35, 35 | 0x96,0x15, 36 | 0x0C,0x70, 37 | }; 38 | -------------------------------------------------------------------------------- /libraries/Gameduino/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Gameduino/include_symbols.edif -------------------------------------------------------------------------------- /libraries/Gameduino/revision.v: -------------------------------------------------------------------------------- 1 | `define REVISION 8'h10 2 | -------------------------------------------------------------------------------- /libraries/Gameduino_Computing_Shield/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Gameduino_Computing_Shield/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/Gameduino_Computing_Shield/circuit/Spartan6_Reset.sym: -------------------------------------------------------------------------------- 1 | 2 | 3 | BLOCK 4 | 2014-12-10T19:52:54 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /libraries/Gameduino_Computing_Shield/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/Gameduino_Computing_Shield/circuit/schematic_papilio_duo.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Gameduino_Computing_Shield/circuit/schematic_papilio_duo.pdf -------------------------------------------------------------------------------- /libraries/Gameduino_LogicStart_Shield/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Gameduino_LogicStart_Shield/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/Gameduino_LogicStart_Shield/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/Gameduino_LogicStart_Shield/circuit/schematic_papilio_duo.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Gameduino_LogicStart_Shield/circuit/schematic_papilio_duo.pdf -------------------------------------------------------------------------------- /libraries/HQVGA/Chip_Designer/Edit_Your_Chip_Design.cmd_log: -------------------------------------------------------------------------------- 1 | sch2vhdl -intstyle ise -family spartan6 -tionly -w D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/Edit_Your_CCL_Design.sch Edit_Your_CCL_Design.vhi 2 | -------------------------------------------------------------------------------- /libraries/HQVGA/Chip_Designer/Simulate_Your_CCL_Design_beh.prj: -------------------------------------------------------------------------------- 1 | vhdl work "../Wishbone_to_Registers.vhd" 2 | vhdl work "../Wishbone_Symbol_Example.vhd" 3 | vhdl work "../Simulate_Your_CCL_Design.vhd" 4 | -------------------------------------------------------------------------------- /libraries/HQVGA/Chip_Designer/Wishbone_to_Registers.cmd_log: -------------------------------------------------------------------------------- 1 | vhdtdtfi -prj PSL_Papilio_DUO_LX9 -o D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Wishbone_to_Registers.vhi -module Wishbone_to_Registers -template C:/Xilinx/14.7/ISE_DS/ISE//data/vhdlinst.tft -deleteonerror -lib work ../Wishbone_to_Registers.vhd 2 | -------------------------------------------------------------------------------- /libraries/HQVGA/Chip_Designer/fuseRelaunch.cmd: -------------------------------------------------------------------------------- 1 | -intstyle "ise" -incremental -lib "secureip" -o "D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Simulate_Your_CCL_Design_isim_beh.exe" -prj "D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Simulate_Your_CCL_Design_beh.prj" "work.Simulate_Your_CCL_Design" 2 | -------------------------------------------------------------------------------- /libraries/HQVGA/Chip_Designer/isim.cmd: -------------------------------------------------------------------------------- 1 | onerror {resume} 2 | run 1000 ns; 3 | -------------------------------------------------------------------------------- /libraries/HQVGA/Chip_Designer/pepExtractor.prj: -------------------------------------------------------------------------------- 1 | work "../Wishbone_Symbol_Example.vhd" 2 | work "../Wishbone_to_Registers.vhd" 3 | -------------------------------------------------------------------------------- /libraries/HQVGA/Chip_Designer/wishbone_testbench_beh.prj: -------------------------------------------------------------------------------- 1 | vhdl work "../Wishbone_to_Registers.vhd" 2 | vhdl work "../Wishbone_Symbol_Example.vhd" 3 | vhdl work "../wishbone_testbench.vhd" 4 | -------------------------------------------------------------------------------- /libraries/HQVGA/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/HQVGA/include_symbols.edif -------------------------------------------------------------------------------- /libraries/HQVGA/keywords.txt: -------------------------------------------------------------------------------- 1 | ####################################### 2 | # Syntax Coloring Map SD 3 | ####################################### 4 | 5 | ####################################### 6 | # Datatypes (KEYWORD1) 7 | ####################################### 8 | 9 | HQVGA KEYWORD1 10 | 11 | ####################################### 12 | # Methods and Functions (KEYWORD2) 13 | ####################################### 14 | setup KEYWORD2 15 | readButtons KEYWORD2 16 | writeLEDs KEYWORD2 17 | 18 | ####################################### 19 | # Constants (LITERAL1) 20 | ####################################### 21 | -------------------------------------------------------------------------------- /libraries/LogicStart_MegaWing/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/LogicStart_MegaWing/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/LogicStart_MegaWing/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /libraries/LogicStart_MegaWing/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/LogicStart_MegaWing/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/LogicStart_MegaWing/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/LogicStart_MegaWing/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/LogicStart_MegaWing/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /libraries/LogicStart_MegaWing/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/LogicStart_MegaWing/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/LogicStart_MegaWing2/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/LogicStart_MegaWing2/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/LogicStart_MegaWing2/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/LogicStart_MegaWing2/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/LogicStart_MegaWing2/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/LogicStart_Shield/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/LogicStart_Shield/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/LogicStart_Shield/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/LogicStart_Shield/circuit/schematic_papilio_duo.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/LogicStart_Shield/circuit/schematic_papilio_duo.pdf -------------------------------------------------------------------------------- /libraries/LogicStart_Shield2/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/LogicStart_Shield2/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/LogicStart_Shield2/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/LogicStart_Shield2/circuit/schematic_papilio_duo.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/LogicStart_Shield2/circuit/schematic_papilio_duo.pdf -------------------------------------------------------------------------------- /libraries/Papilio_Hardware/Spartan6_Reset.sym: -------------------------------------------------------------------------------- 1 | 2 | 3 | BLOCK 4 | 2014-12-10T19:52:54 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /libraries/Papilio_Hardware/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Papilio_Hardware/include_symbols.edif -------------------------------------------------------------------------------- /libraries/README: -------------------------------------------------------------------------------- 1 | Don't remove 2 | -------------------------------------------------------------------------------- /libraries/RGB_Matrix/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/RGB_Matrix/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/RGB_Matrix/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/RGB_Matrix/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/RGB_Matrix/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/RGB_Matrix/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/RGB_Matrix/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/RGB_Matrix/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/RGB_Matrix/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/250K/Utility.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/schematic_papilio_one_250k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/circuit/schematic_papilio_one_250k.pdf -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/include_symbols.edif -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/quad_inst.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/quad_inst.vhd -------------------------------------------------------------------------------- /libraries/Robot_Control_Library/robot_control_library_original.tar.gz: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/Robot_Control_Library/robot_control_library_original.tar.gz -------------------------------------------------------------------------------- /libraries/SID/html/bc_s.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/bc_s.png -------------------------------------------------------------------------------- /libraries/SID/html/bdwn.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/bdwn.png -------------------------------------------------------------------------------- /libraries/SID/html/closed.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/closed.png -------------------------------------------------------------------------------- /libraries/SID/html/doxygen.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/doxygen.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2blank.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2blank.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2cl.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2cl.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2doc.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2doc.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2folderclosed.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2folderclosed.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2folderopen.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2folderopen.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2lastnode.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2lastnode.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2link.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2link.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2mlastnode.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2mlastnode.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2mnode.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2mnode.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2mo.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2mo.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2node.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2node.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2ns.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2ns.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2plastnode.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2plastnode.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2pnode.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2pnode.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2splitbar.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2splitbar.png -------------------------------------------------------------------------------- /libraries/SID/html/ftv2vertline.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/ftv2vertline.png -------------------------------------------------------------------------------- /libraries/SID/html/nav_f.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/nav_f.png -------------------------------------------------------------------------------- /libraries/SID/html/nav_g.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/nav_g.png -------------------------------------------------------------------------------- /libraries/SID/html/nav_h.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/nav_h.png -------------------------------------------------------------------------------- /libraries/SID/html/open.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/open.png -------------------------------------------------------------------------------- /libraries/SID/html/search/all_67.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['getcurrentfreq',['getCurrentFreq',['../class_s_i_d_voice.html#a36b1bafd22c3d933685b9bf8fa524211',1,'SIDVoice']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/all_68.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['handlecc',['handleCC',['../class_s_i_d_voice.html#a047a46d6412d26cbfe90d18c444df9e2',1,'SIDVoice']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/all_6c.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['loadinstrument',['loadInstrument',['../class_s_i_d_voice.html#a9a254a14153823ce47bab858ec094362',1,'SIDVoice']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/all_6d.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['midi2freq',['MIDI2freq',['../class_s_i_d.html#a1ad8e16508e1725b63cac3cb121eeaa7',1,'SID']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/all_72.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['reset',['reset',['../class_s_i_d_voice.html#a691e3925e259deec3547a5da3b7cd69a',1,'SIDVoice::reset()'],['../class_s_i_d.html#a5f2a75181e41162058a5772bdcd9ff77',1,'SID::reset()']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/all_76.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['v1',['V1',['../class_s_i_d.html#a40ce504e8b0d2abe45a0ee02c708bf5a',1,'SID']]], 4 | ['v2',['V2',['../class_s_i_d.html#ab36321aa74f06954f3be809625733d0b',1,'SID']]], 5 | ['v3',['V3',['../class_s_i_d.html#a9fb2c19d5f0212521e2b291829915042',1,'SID']]] 6 | ]; 7 | -------------------------------------------------------------------------------- /libraries/SID/html/search/all_77.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['writedata',['writeData',['../class_s_i_d.html#a8a1f89146fe0189b512e586db12009e0',1,'SID']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/classes_73.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['sid',['SID',['../class_s_i_d.html',1,'']]], 4 | ['sidvoice',['SIDVoice',['../class_s_i_d_voice.html',1,'']]] 5 | ]; 6 | -------------------------------------------------------------------------------- /libraries/SID/html/search/close.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/search/close.png -------------------------------------------------------------------------------- /libraries/SID/html/search/files_73.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['sid_2ecpp',['sid.cpp',['../sid_8cpp.html',1,'']]], 4 | ['sid_2eh',['SID.h',['../_s_i_d_8h.html',1,'']]] 5 | ]; 6 | -------------------------------------------------------------------------------- /libraries/SID/html/search/functions_67.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['getcurrentfreq',['getCurrentFreq',['../class_s_i_d_voice.html#a36b1bafd22c3d933685b9bf8fa524211',1,'SIDVoice']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/functions_68.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['handlecc',['handleCC',['../class_s_i_d_voice.html#a047a46d6412d26cbfe90d18c444df9e2',1,'SIDVoice']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/functions_6c.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['loadinstrument',['loadInstrument',['../class_s_i_d_voice.html#a9a254a14153823ce47bab858ec094362',1,'SIDVoice']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/functions_72.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['reset',['reset',['../class_s_i_d_voice.html#a691e3925e259deec3547a5da3b7cd69a',1,'SIDVoice::reset()'],['../class_s_i_d.html#a5f2a75181e41162058a5772bdcd9ff77',1,'SID::reset()']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/functions_77.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['writedata',['writeData',['../class_s_i_d.html#a8a1f89146fe0189b512e586db12009e0',1,'SID']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/mag_sel.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/search/mag_sel.png -------------------------------------------------------------------------------- /libraries/SID/html/search/search_l.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/search/search_l.png -------------------------------------------------------------------------------- /libraries/SID/html/search/search_m.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/search/search_m.png -------------------------------------------------------------------------------- /libraries/SID/html/search/search_r.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/search/search_r.png -------------------------------------------------------------------------------- /libraries/SID/html/search/variables_6d.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['midi2freq',['MIDI2freq',['../class_s_i_d.html#a1ad8e16508e1725b63cac3cb121eeaa7',1,'SID']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/variables_73.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['sidinstrument',['sidInstrument',['../sid_8cpp.html#a020aa11458c496f037aebac967ce026c',1,'sid.cpp']]] 4 | ]; 5 | -------------------------------------------------------------------------------- /libraries/SID/html/search/variables_76.js: -------------------------------------------------------------------------------- 1 | var searchData= 2 | [ 3 | ['v1',['V1',['../class_s_i_d.html#a40ce504e8b0d2abe45a0ee02c708bf5a',1,'SID']]], 4 | ['v2',['V2',['../class_s_i_d.html#ab36321aa74f06954f3be809625733d0b',1,'SID']]], 5 | ['v3',['V3',['../class_s_i_d.html#a9fb2c19d5f0212521e2b291829915042',1,'SID']]] 6 | ]; 7 | -------------------------------------------------------------------------------- /libraries/SID/html/sync_off.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/sync_off.png -------------------------------------------------------------------------------- /libraries/SID/html/sync_on.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/sync_on.png -------------------------------------------------------------------------------- /libraries/SID/html/tab_a.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/tab_a.png -------------------------------------------------------------------------------- /libraries/SID/html/tab_b.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/tab_b.png -------------------------------------------------------------------------------- /libraries/SID/html/tab_h.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/tab_h.png -------------------------------------------------------------------------------- /libraries/SID/html/tab_s.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/html/tab_s.png -------------------------------------------------------------------------------- /libraries/SID/sid.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SID/sid.cpp -------------------------------------------------------------------------------- /libraries/Servo/library.properties: -------------------------------------------------------------------------------- 1 | name=Servo 2 | version=1.0 3 | author=Michael Margolis, Arduino 4 | maintainer=Arduino 5 | sentence=Controls a lot of Servos. 6 | paragraph=This library can control a great number of servos.
It makes careful use of timers: the library can control 12 servos using only 1 timer.
On the Arduino Due you can control up to 60 servos.
7 | url=http://arduino.cc/en/Reference/Servo 8 | architectures=avr,sam,zpu20 9 | -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/README.md: -------------------------------------------------------------------------------- 1 | # SmartMatrix Library for Teensy 3 2 | 3 | Documentation Here: 4 | [docs.pixelmatix.com/SmartMatrix](http://docs.pixelmatix.com/SmartMatrix) 5 | 6 | To download in Arduino Library form, see [Releases](https://github.com/pixelmatix/SmartMatrix/releases) on GitHub, or just add files directly to your project directory 7 | -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/SmartMatrix_32x32.h: -------------------------------------------------------------------------------- 1 | /* 2 | The purpose of this file is to give a unique header filename 3 | to be included in the Arduino Sketch, so this particular library 4 | can be found during linking 5 | */ 6 | #include "SmartMatrix.h" 7 | -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/bigbuck1.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/bigbuck1.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/bigbuck2.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/bigbuck2.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/chasm1.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/chasm1.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/explode2.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/explode2.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/fight2.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/fight2.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/star.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/star.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/wifi.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/gifs/wifi.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/bigbuck2.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/bigbuck2.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/chasm1.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/chasm1.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/explode2.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/explode2.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/fight2.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/fight2.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/star.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/star.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/wifi.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/AnimatedGIFs/smallfs/wifi.gif -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/Bitmaps/SourceBitmaps/chrome16.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/Bitmaps/SourceBitmaps/chrome16.png -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/Bitmaps/SourceBitmaps/colorwheel.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/Bitmaps/SourceBitmaps/colorwheel.png -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/Bitmaps/SourceBitmaps/pixelmatix.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/examples/Bitmaps/SourceBitmaps/pixelmatix.png -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/Bitmaps/gimpbitmap.h: -------------------------------------------------------------------------------- 1 | #ifndef _GIMPBITMAP_ 2 | #define _GIMPBITMAP_ 3 | 4 | // this structre can hold up to a 32x32 pixel RGB bitmap 5 | typedef struct { 6 | unsigned int width; 7 | unsigned int height; 8 | unsigned int bytes_per_pixel; /* 2:RGB16, 3:RGB, 4:RGBA */ 9 | unsigned char pixel_data[32*32*3 + 1]; 10 | } gimp32x32bitmap; 11 | 12 | #endif 13 | -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/examples/matrixman/matrixman-gamefile.h: -------------------------------------------------------------------------------- 1 | #include "matrixman.h" 2 | 3 | #ifdef __cplusplus 4 | extern "C"{ 5 | #endif 6 | 7 | int playMatrixman(void); 8 | 9 | #ifdef __cplusplus 10 | } // extern "C" 11 | #endif 12 | /*--------------------*/ 13 | -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V1_BOM.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V1_BOM.pdf -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V1_BOM.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V1_BOM.xlsx -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V1_brd.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V1_brd.pdf -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V1_sch.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V1_sch.pdf -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V2_BOM.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V2_BOM.pdf -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V2_BOM.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V2_BOM.xlsx -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V2_brd.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V2_brd.pdf -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V2_sch.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixSD_V2_sch.pdf -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixShield_V1_BOM.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixShield_V1_BOM.pdf -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixShield_V1_BOM.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixShield_V1_BOM.xlsx -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixShield_V1_brd.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixShield_V1_brd.pdf -------------------------------------------------------------------------------- /libraries/SmartMatrix_32x32/hardware/SmartMatrixShield_V1_sch.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/SmartMatrix_32x32/hardware/SmartMatrixShield_V1_sch.pdf -------------------------------------------------------------------------------- /libraries/Stepper/library.properties: -------------------------------------------------------------------------------- 1 | name=Stepper 2 | version=1.0 3 | author= 4 | maintainer= 5 | sentence=Drives a unipolar or bipolar stepper motor using 2 wires or 4 wires 6 | paragraph=This library allows you to control unipolar or bipolar stepper motors. To use it you will need a stepper motor, and the appropriate hardware to control it. 7 | url=http://arduino.cc/en/Reference/Stepper 8 | architectures=* 9 | -------------------------------------------------------------------------------- /libraries/VGA_Wing/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/VGA_Wing/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_Wing/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /libraries/VGA_Wing/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_Wing/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/VGA_Wing/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_Wing/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/VGA_Wing/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/VGA_Wing/circuit/schematic_papilio_duo.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_Wing/circuit/schematic_papilio_duo.pdf -------------------------------------------------------------------------------- /libraries/VGA_Wing/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_Wing/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /libraries/VGA_Wing/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_Wing/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/circuit/250K/Utility.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZPUino/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZPUino/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZPUino/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZPUino/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZPUino/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZPUino/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/VGA_ZPUino/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZPUino/include_symbols.edif -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZXSpectrum/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZXSpectrum/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZXSpectrum/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZXSpectrum/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/screen1.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/screen1.png -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/screen2.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/screen2.png -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/smallfs/JSW: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/smallfs/JSW -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/smallfs/ZPUINO: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/smallfs/ZPUINO -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/utils/getsprite: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/utils/getsprite -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/examples/Jet_Set_Willy/utils/yets.xbm: -------------------------------------------------------------------------------- 1 | #define yets_width 16 2 | #define yets_height 16 3 | static unsigned char yets_bits[] = { 4 | 00,00,00,00,2a,ffffffaa,7f,ffffffff,7f,ffffffff,7c,3f,7c,3f,7f,ffffffff,00,00,2a,ffffffaa,7f,ffffffff,7f,ffffffff,7c,3f,7c,3f,7f,ffffffff,7f,ffffffff,}; 5 | -------------------------------------------------------------------------------- /libraries/VGA_ZXSpectrum/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/VGA_ZXSpectrum/include_symbols.edif -------------------------------------------------------------------------------- /libraries/YMPlayer/retrocade_defs.h: -------------------------------------------------------------------------------- 1 | #ifndef LIB_RETROCADEDEFS_H_ 2 | #define LIB_RETROCADEDEFS_H_ 3 | 4 | enum kFileType { 5 | SmallFSType = 0, 6 | SDFSType = 1, 7 | }; 8 | 9 | #endif // LIB_RETROCADEDEFS_H_ 10 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/Chip_Designer/Edit_Your_Chip_Design.cmd_log: -------------------------------------------------------------------------------- 1 | sch2vhdl -intstyle ise -family spartan6 -tionly -w D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/Edit_Your_CCL_Design.sch Edit_Your_CCL_Design.vhi 2 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/Chip_Designer/Simulate_Your_CCL_Design_beh.prj: -------------------------------------------------------------------------------- 1 | vhdl work "../Wishbone_to_Registers.vhd" 2 | vhdl work "../Wishbone_Symbol_Example.vhd" 3 | vhdl work "../Simulate_Your_CCL_Design.vhd" 4 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/Chip_Designer/Wishbone_to_Registers.cmd_log: -------------------------------------------------------------------------------- 1 | vhdtdtfi -prj PSL_Papilio_DUO_LX9 -o D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Wishbone_to_Registers.vhi -module Wishbone_to_Registers -template C:/Xilinx/14.7/ISE_DS/ISE//data/vhdlinst.tft -deleteonerror -lib work ../Wishbone_to_Registers.vhd 2 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/Chip_Designer/fuseRelaunch.cmd: -------------------------------------------------------------------------------- 1 | -intstyle "ise" -incremental -lib "secureip" -o "D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Simulate_Your_CCL_Design_isim_beh.exe" -prj "D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Simulate_Your_CCL_Design_beh.prj" "work.Simulate_Your_CCL_Design" 2 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/Chip_Designer/isim.cmd: -------------------------------------------------------------------------------- 1 | onerror {resume} 2 | run 1000 ns; 3 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/Chip_Designer/pepExtractor.prj: -------------------------------------------------------------------------------- 1 | work "../Wishbone_Symbol_Example.vhd" 2 | work "../Wishbone_to_Registers.vhd" 3 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/Chip_Designer/wishbone_testbench_beh.prj: -------------------------------------------------------------------------------- 1 | vhdl work "../Wishbone_to_Registers.vhd" 2 | vhdl work "../Wishbone_Symbol_Example.vhd" 3 | vhdl work "../wishbone_testbench.vhd" 4 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/250K/Papilio_One_250K.prj: -------------------------------------------------------------------------------- 1 | vhdl DesignLab "../../pad.vhd" 2 | vhdl DesignLab "../../ZPUino_Papilio_One_250K_V2.vhd" 3 | vhdl DesignLab "../../Wing_GPIO.vhd" 4 | vhdl DesignLab "../../Papilio_Default_Wing_Pinout.vhd" 5 | vhdl work "Papilio_One_250K.vhf" 6 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/250K/Utility.prj: -------------------------------------------------------------------------------- 1 | vhdl zpuino "../Libraries/ZPUino_1/Wing_GPIO.vhd" 2 | vhdl work "Utility.vhf" 3 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/250K/Utility.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_2/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_2/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_2/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/LX9/Papilio_Pro.prj: -------------------------------------------------------------------------------- 1 | vhdl DesignLab "../../sdram_hamster.vhd" 2 | vhdl DesignLab "../../sdram_wrap.vhd" 3 | vhdl DesignLab "../../pad.vhd" 4 | vhdl DesignLab "../../ZPUino_Papilio_Pro_V2.vhd" 5 | vhdl DesignLab "../../Wing_GPIO.vhd" 6 | vhdl DesignLab "../../Papilio_Default_Wing_Pinout.vhd" 7 | vhdl work "Papilio_Pro.vhf" 8 | -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_2/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_2/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/schematic_papilio_one_250k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_2/circuit/schematic_papilio_one_250k.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_2/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_2/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_2/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_2/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_2/include_symbols.edif -------------------------------------------------------------------------------- /libraries/ZPUino_2/keywords.txt: -------------------------------------------------------------------------------- 1 | ####################################### 2 | # Syntax Coloring Map SD 3 | ####################################### 4 | 5 | ####################################### 6 | # Datatypes (KEYWORD1) 7 | ####################################### 8 | 9 | ZPUino_2 KEYWORD1 10 | 11 | ####################################### 12 | # Methods and Functions (KEYWORD2) 13 | ####################################### 14 | setup KEYWORD2 15 | readButtons KEYWORD2 16 | writeLEDs KEYWORD2 17 | 18 | ####################################### 19 | # Constants (LITERAL1) 20 | ####################################### 21 | -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Vanilla/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Vanilla/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Vanilla/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Vanilla/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Vanilla/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/schematic_papilio_one_250k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Vanilla/circuit/schematic_papilio_one_250k.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Vanilla/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_Vanilla/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Vanilla/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wings/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wings/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wings/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wings/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wings/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/schematic_papilio_one_250k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wings/circuit/schematic_papilio_one_250k.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wings/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_Wings/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wings/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/ZPUino_Wishbone_Peripherals/AUDIO_zpuino_sa_sigmadeltaDAC.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wishbone_Peripherals/AUDIO_zpuino_sa_sigmadeltaDAC.vhd -------------------------------------------------------------------------------- /libraries/ZPUino_Wishbone_Peripherals/Chip_Designer/Edit_Your_Chip_Design.cmd_log: -------------------------------------------------------------------------------- 1 | sch2vhdl -intstyle ise -family spartan6 -tionly -w D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/Edit_Your_CCL_Design.sch Edit_Your_CCL_Design.vhi 2 | -------------------------------------------------------------------------------- /libraries/ZPUino_Wishbone_Peripherals/Chip_Designer/Simulate_Your_CCL_Design_beh.prj: -------------------------------------------------------------------------------- 1 | vhdl work "../Wishbone_to_Registers.vhd" 2 | vhdl work "../Wishbone_Symbol_Example.vhd" 3 | vhdl work "../Simulate_Your_CCL_Design.vhd" 4 | -------------------------------------------------------------------------------- /libraries/ZPUino_Wishbone_Peripherals/Chip_Designer/fuseRelaunch.cmd: -------------------------------------------------------------------------------- 1 | -intstyle "ise" -incremental -lib "secureip" -o "D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Simulate_Your_CCL_Design_isim_beh.exe" -prj "D:/Dropbox/GadgetFactory/GadgetFactory_Engineering/Papilio-Schematic-Library/examples/Community_Core_Wishbone_Template/DUO_LX9/Simulate_Your_CCL_Design_beh.prj" "work.Simulate_Your_CCL_Design" 2 | -------------------------------------------------------------------------------- /libraries/ZPUino_Wishbone_Peripherals/Chip_Designer/isim.cmd: -------------------------------------------------------------------------------- 1 | onerror {resume} 2 | run 1000 ns; 3 | -------------------------------------------------------------------------------- /libraries/ZPUino_Wishbone_Peripherals/Chip_Designer/pepExtractor.prj: -------------------------------------------------------------------------------- 1 | work "../Wishbone_to_Registers.vhd" 2 | -------------------------------------------------------------------------------- /libraries/ZPUino_Wishbone_Peripherals/Chip_Designer/wishbone_testbench_beh.prj: -------------------------------------------------------------------------------- 1 | vhdl work "../Wishbone_to_Registers.vhd" 2 | vhdl work "../Wishbone_Symbol_Example.vhd" 3 | vhdl work "../wishbone_testbench.vhd" 4 | -------------------------------------------------------------------------------- /libraries/ZPUino_Wishbone_Peripherals/include_symbols.edif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wishbone_Peripherals/include_symbols.edif -------------------------------------------------------------------------------- /libraries/ZPUino_Wishbone_Peripherals/tx_unit.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/ZPUino_Wishbone_Peripherals/tx_unit.vhd -------------------------------------------------------------------------------- /libraries/blank/circuit/250K/Papilio_One_250K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/blank/circuit/250K/papilio_one_250k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/blank/circuit/250K/papilio_one_250k.bit -------------------------------------------------------------------------------- /libraries/blank/circuit/500K/Papilio_One_500K.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g ConfigRate:1 6 | -g ProgPin:PullUp 7 | -g DonePin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g DCMShutdown:Disable 15 | -g StartUpClk:CClk 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g DonePipe:Yes 22 | -g DriveDone:No 23 | -------------------------------------------------------------------------------- /libraries/blank/circuit/500K/papilio_one_500k.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/blank/circuit/500K/papilio_one_500k.bit -------------------------------------------------------------------------------- /libraries/blank/circuit/DUO_LX9/papilio_duo_lx9.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/blank/circuit/DUO_LX9/papilio_duo_lx9.bit -------------------------------------------------------------------------------- /libraries/blank/circuit/LX9/Papilio_Pro.ut: -------------------------------------------------------------------------------- 1 | -w 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -g CRC:Enable 5 | -g Reset_on_err:No 6 | -g ConfigRate:2 7 | -g ProgPin:PullUp 8 | -g TckPin:PullUp 9 | -g TdiPin:PullUp 10 | -g TdoPin:PullUp 11 | -g TmsPin:PullUp 12 | -g UnusedPin:PullDown 13 | -g UserID:0xFFFFFFFF 14 | -g ExtMasterCclk_en:No 15 | -g SPI_buswidth:1 16 | -g TIMER_CFG:0xFFFF 17 | -g multipin_wakeup:No 18 | -g StartUpClk:CClk 19 | -g DONE_cycle:4 20 | -g GTS_cycle:5 21 | -g GWE_cycle:6 22 | -g LCK_cycle:NoWait 23 | -g Security:None 24 | -g DonePipe:Yes 25 | -g DriveDone:No 26 | -g en_sw_gsr:No 27 | -g drive_awake:No 28 | -g sw_clk:Startupclk 29 | -g sw_gwe_cycle:5 30 | -g sw_gts_cycle:4 31 | -------------------------------------------------------------------------------- /libraries/blank/circuit/LX9/papilio_pro.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/blank/circuit/LX9/papilio_pro.bit -------------------------------------------------------------------------------- /libraries/blank/circuit/Papilio_One_250K.sch: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /libraries/blank/circuit/Papilio_One_500K.sch: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /libraries/blank/circuit/Papilio_Pro.sch: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /libraries/blank/circuit/build_all.bat: -------------------------------------------------------------------------------- 1 | mkdir 250K\xst\projnav.tmp 2 | mkdir 500K\xst\projnav.tmp 3 | mkdir LX9\xst\projnav.tmp 4 | mkdir DUO_LX9\xst\projnav.tmp 5 | cd DUO_LX9 6 | start Papilio_DUO_LX9.cmd 7 | cd LX9 8 | start Papilio_Pro.cmd 9 | REM clean.bat 10 | REM mkdir xst\projnav.tmp 11 | cd ..\500K 12 | start Papilio_One_500K.cmd 13 | REM clean.bat 14 | REM mkdir xst\projnav.tmp 15 | cd ..\250K 16 | start Papilio_One_250K.cmd 17 | pause 18 | clean.bat -------------------------------------------------------------------------------- /libraries/blank/circuit/schematic_papilio_DUO.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/blank/circuit/schematic_papilio_DUO.pdf -------------------------------------------------------------------------------- /libraries/blank/circuit/schematic_papilio_one_250k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/blank/circuit/schematic_papilio_one_250k.pdf -------------------------------------------------------------------------------- /libraries/blank/circuit/schematic_papilio_one_500k.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/blank/circuit/schematic_papilio_one_500k.pdf -------------------------------------------------------------------------------- /libraries/blank/circuit/schematic_papilio_pro.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/GadgetFactory/DesignLab_Examples/6b4e4841b9323077a38011a9331fcc0f64dd1b31/libraries/blank/circuit/schematic_papilio_pro.pdf -------------------------------------------------------------------------------- /libraries/i2c/i2c.cpp: -------------------------------------------------------------------------------- 1 | /* 2 | 3 | i2c - Summarize your library here. 4 | 5 | Describe your library here. 6 | 7 | License: GNU General Public License V3 8 | 9 | (C) Copyright (Your Name Here) 10 | 11 | For more help on how to make an Arduino style library: 12 | http://arduino.cc/en/Hacking/LibraryTutorial 13 | 14 | */ 15 | 16 | #include "i2c.h" 17 | 18 | class I2C_class I2C; 19 | -------------------------------------------------------------------------------- /libraries/modplayer/retrocade_defs.h: -------------------------------------------------------------------------------- 1 | #ifndef LIB_RETROCADEDEFS_H_ 2 | #define LIB_RETROCADEDEFS_H_ 3 | 4 | enum kFileType { 5 | SmallFSType = 0, 6 | SDFSType = 1, 7 | }; 8 | 9 | #endif // LIB_RETROCADEDEFS_H_ 10 | -------------------------------------------------------------------------------- /libraries/ps2/ps2.h: -------------------------------------------------------------------------------- 1 | /* 2 | * ps2.h - a library to interface with ps2 devices. See comments in 3 | * ps2.cpp. 4 | * Written by Chris J. Kiick, January 2008. 5 | * Release into public domain. 6 | */ 7 | 8 | #ifndef ps2_h 9 | #define ps2_h 10 | 11 | #include "Arduino.h" 12 | 13 | class PS2 14 | { 15 | public: 16 | PS2(int clk, int data); 17 | void write(unsigned char data); 18 | unsigned char read(void); 19 | private: 20 | int _ps2clk; 21 | int _ps2data; 22 | void golo(int pin); 23 | void gohi(int pin); 24 | }; 25 | 26 | #endif /* ps2_h */ 27 | 28 | -------------------------------------------------------------------------------- /libraries/readme.txt: -------------------------------------------------------------------------------- 1 | For information on installing libraries, see: http://arduino.cc/en/Guide/Libraries 2 | --------------------------------------------------------------------------------