├── .gitattributes ├── .gitignore ├── Arcade_MiST ├── .gitignore ├── Alpha Densi M68000 Hardware │ ├── Alpha68k.qpf │ ├── Alpha68k.qsf │ ├── Alpha68k.sdc │ ├── README.md │ ├── clean.bat │ ├── meta │ │ ├── Gang Wars.mra │ │ ├── Gold Medalist (Set 1, Alpha68k II PCB).mra │ │ ├── Sky Adventure (World).mra │ │ ├── Sky Soldiers (US).mra │ │ ├── Super Champion Baseball (Japan).mra │ │ ├── Time Soldiers (US Rev 3).mra │ │ └── WIP │ │ │ └── Super Champion Baseball (US).mra │ └── rtl │ │ ├── Alpha68k.sv │ │ ├── Alpha68k_MiST.sv │ │ ├── build_id.tcl │ │ ├── chip_select.v │ │ ├── defs.v │ │ ├── dual_port_ram.vhd │ │ ├── math.vhd │ │ ├── pll_mist.qip │ │ ├── pll_mist.v │ │ ├── sdram.sv │ │ └── video_timing.v ├── ArcadeMenu_MIST │ ├── ReadMe.md │ ├── clean.bat │ ├── menu.qpf │ ├── menu.qsf │ ├── menu.sdc │ └── rtl │ │ ├── cos.sv │ │ ├── lfsr.v │ │ ├── menu.sv │ │ ├── pll.v │ │ └── sram.sv ├── Atari BW Raster Hardware │ ├── Canyon_Bomber_MiST │ │ ├── Canyon_Bomber.qpf │ │ ├── Canyon_Bomber.qsf │ │ ├── Canyon_Bomber.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── build_id.tcl │ │ │ ├── canyon_bomber.vhd │ │ │ ├── canyon_bomber_mist.sv │ │ │ ├── cpu_mem.vhd │ │ │ ├── motion.vhd │ │ │ ├── motor.vhd │ │ │ ├── playfield.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── roms │ │ │ ├── 6400-01m2.mif │ │ │ ├── 9491-01.j6.mif │ │ │ ├── 9492-01.n8.mif │ │ │ ├── 9496-01.d1.mif │ │ │ ├── 9499-01.j1.mif │ │ │ ├── 9503-01.p1.mif │ │ │ ├── 9505-01.n5.mif │ │ │ └── 9506-01.m5.mif │ │ │ ├── sound.vhd │ │ │ ├── spram.vhd │ │ │ ├── sprom.vhd │ │ │ ├── sync.vhd │ │ │ └── whistle.vhd │ ├── Dominos_MiST │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── dominos.qpf │ │ ├── dominos.qsf │ │ └── rtl │ │ │ ├── Inputs.vhd │ │ │ ├── build_id.sv │ │ │ ├── build_id.tcl │ │ │ ├── cpu_mem.vhd │ │ │ ├── dominos.vhd │ │ │ ├── dominos_mist.sv │ │ │ ├── dominos_sound.vhd │ │ │ ├── dpram.vhd │ │ │ ├── oscillator.vhd │ │ │ ├── playfield.vhd │ │ │ ├── pll.v │ │ │ ├── roms │ │ │ ├── 6400-01.m2.mif │ │ │ ├── 6401-01.e2.mif │ │ │ ├── 7352-02.d1.mif │ │ │ ├── 7438-02.e1.mif │ │ │ ├── 7439-01.p4.mif │ │ │ └── 7440-01.r4.mif │ │ │ ├── sprom.vhd │ │ │ └── sync.vhd │ ├── ReadMe.txt │ ├── Sprint2_MiST │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── rtl │ │ │ ├── EngineSound.vhd │ │ │ ├── IO.vhd │ │ │ ├── Inputs.vhd │ │ │ ├── build_id.sv │ │ │ ├── build_id.tcl │ │ │ ├── collision.vhd │ │ │ ├── cpu_mem.vhd │ │ │ ├── dpram.vhd │ │ │ ├── gearshift.vhd │ │ │ ├── joy2quad.sv │ │ │ ├── motion.vhd │ │ │ ├── playfield.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── roms │ │ │ │ ├── 6290-01b1.hex │ │ │ │ ├── 6291-01c1.hex │ │ │ │ ├── 6396-01p4.hex │ │ │ │ ├── 6397-01r4.hex │ │ │ │ ├── 6398-01k6.hex │ │ │ │ ├── 6399-01j6.hex │ │ │ │ ├── 6400-01m2.hex │ │ │ │ ├── 6401-01e2.hex │ │ │ │ ├── 6404d1.hex │ │ │ │ ├── 6405-02e1.hex │ │ │ │ ├── 6442-01d1.hex │ │ │ │ └── 6443-01e1.hex │ │ │ ├── screech.vhd │ │ │ ├── sprint2.vhd │ │ │ ├── sprint2_mist.sv │ │ │ ├── sprint2_sound.vhd │ │ │ ├── sprom.vhd │ │ │ └── sync.vhd │ │ ├── sprint2.qpf │ │ ├── sprint2.qsf │ │ └── sprint2.srf │ ├── SprintOne_MiST │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── rtl │ │ │ ├── EngineSound.vhd │ │ │ ├── Inputs.vhd │ │ │ ├── build_id.sv │ │ │ ├── build_id.tcl │ │ │ ├── collision.vhd │ │ │ ├── cpu_mem.vhd │ │ │ ├── dpram.vhd │ │ │ ├── gearshift.vhd │ │ │ ├── joy2quad.sv │ │ │ ├── motion.vhd │ │ │ ├── playfield.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── roms │ │ │ │ ├── 6290-01b1.hex │ │ │ │ ├── 6291-01c1.hex │ │ │ │ ├── 6396-01p4.hex │ │ │ │ ├── 6397-01r4.hex │ │ │ │ ├── 6398-01k6.hex │ │ │ │ ├── 6399-01j6.hex │ │ │ │ ├── 6400-01m2.hex │ │ │ │ ├── 6401-01e2.hex │ │ │ │ ├── 6442-01d1.hex │ │ │ │ └── 6443-01e1.hex │ │ │ ├── screech.vhd │ │ │ ├── sprint1.vhd │ │ │ ├── sprint1_mist.sv │ │ │ ├── sprint1_sound.vhd │ │ │ ├── sprom.vhd │ │ │ └── sync.vhd │ │ ├── sprint1.qpf │ │ ├── sprint1.qsf │ │ └── sprint1.srf │ ├── Subs_MiST │ │ ├── README.txt │ │ ├── Subs.qpf │ │ ├── Subs.qsf │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── SVF.v │ │ │ ├── Subs_MiST.sv │ │ │ ├── audio.vhd │ │ │ ├── build_id.sv │ │ │ ├── cpu_mem.vhd │ │ │ ├── input.vhd │ │ │ ├── joy2quad.sv │ │ │ ├── mixer.vhd │ │ │ ├── motion.vhd │ │ │ ├── playfield.vhd │ │ │ ├── pll.v │ │ │ ├── ram1k.vhd │ │ │ ├── roms │ │ │ ├── PROM_SYNC.vhd │ │ │ ├── ROM_D7.vhd │ │ │ ├── ROM_D8.vhd │ │ │ ├── ROM_E1.vhd │ │ │ ├── ROM_E2.vhd │ │ │ ├── ROM_E7.vhd │ │ │ ├── ROM_E8.vhd │ │ │ ├── ROM_M4.vhd │ │ │ ├── ROM_N2.vhd │ │ │ ├── ROM_P1.vhd │ │ │ └── ROM_P2.vhd │ │ │ ├── sid_coeffs.vhd │ │ │ ├── subs_core.vhd │ │ │ ├── svfilter.vhd │ │ │ └── sync.vhd │ ├── SuperBreakout_MiST │ │ ├── README.txt │ │ ├── SuperBreakout.qpf │ │ ├── SuperBreakout.qsf │ │ ├── SuperBreakout.srf │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── IO.vhd │ │ │ ├── audio.vhd │ │ │ ├── build_id.sv │ │ │ ├── build_id.tcl │ │ │ ├── cpu_mem.vhd │ │ │ ├── dpram.vhd │ │ │ ├── joy2quad.sv │ │ │ ├── motion.vhd │ │ │ ├── paddle.vhd │ │ │ ├── paddle_analog.vhd │ │ │ ├── playfield.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── quadrature_decoder.vhd │ │ │ ├── roms │ │ │ ├── 006400_m2.hex │ │ │ ├── 006401_e2.hex │ │ │ ├── 033280_p4.hex │ │ │ ├── 033281_r4.hex │ │ │ ├── 033282_k6.hex │ │ │ ├── 033453_c1.hex │ │ │ ├── 033454_d1.hex │ │ │ └── 033455_e1.hex │ │ │ ├── sprom.vhd │ │ │ ├── super_breakout.vhd │ │ │ ├── super_breakout_mist.sv │ │ │ └── sync.vhd │ └── UltraTank_MiST │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── rtl │ │ ├── EngineSound.vhd │ │ ├── build_id.tcl │ │ ├── collision.vhd │ │ ├── cpu_mem.vhd │ │ ├── inputs.vhd │ │ ├── motion.vhd │ │ ├── playfield.vhd │ │ ├── pll.v │ │ ├── roms │ │ │ ├── 030180n1.hex │ │ │ ├── 030181k1.hex │ │ │ ├── 030182m1.hex │ │ │ ├── 030183l1.hex │ │ │ ├── 30024-01p8.hex │ │ │ ├── 30172-01j6.hex │ │ │ ├── 30173-01h6.hex │ │ │ ├── 30174-01n6.hex │ │ │ ├── 30175-01m6.hex │ │ │ ├── 30176-01l6.hex │ │ │ ├── 30177-01k6.hex │ │ │ └── 30218-01j10.hex │ │ ├── screech.vhd │ │ ├── sound.vhd │ │ ├── spram.vhd │ │ ├── sprom.vhd │ │ ├── sync.vhd │ │ ├── ultra_tank.vhd │ │ └── ultratank_mist.sv │ │ ├── ultratank.qpf │ │ └── ultratank.qsf ├── Atari Centipede Hardware │ ├── Centipede_MiST │ │ ├── Centiped.qpf │ │ ├── Centiped.qsf │ │ ├── Centiped.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── meta │ │ │ ├── Centipede (revision 4).mra │ │ │ ├── Centipede.mra │ │ │ └── Millipede.mra │ │ └── rtl │ │ │ ├── Centipede_MiST.sv │ │ │ ├── build_id.tcl │ │ │ ├── centipede.v │ │ │ ├── dpram.vhd │ │ │ ├── pf_ram.v │ │ │ ├── pll.vhd │ │ │ └── spram.vhd │ └── ReadMe.txt ├── Atari Colour Raster Hardware │ └── Sprint4_MiST │ │ ├── Chain1.cdf │ │ ├── Sprint4.qpf │ │ ├── Sprint4.qsf │ │ ├── clean.bat │ │ ├── rtl │ │ ├── EngineSound.vhd │ │ ├── Sprint4_MiST.sv │ │ ├── build_id.sv │ │ ├── build_id.tcl │ │ ├── collision.vhd │ │ ├── colormix.vhd │ │ ├── cpu_mem.vhd │ │ ├── gearshift.vhd │ │ ├── inputs.vhd │ │ ├── joy2quad.sv │ │ ├── motion.vhd │ │ ├── playfield.vhd │ │ ├── pll.v │ │ ├── ram1k.vhd │ │ ├── rom │ │ │ ├── PROM_SYNC.vhd │ │ │ ├── ROM_C1.vhd │ │ │ ├── ROM_E1.vhd │ │ │ ├── ROM_H5.vhd │ │ │ ├── ROM_K1_High.vhd │ │ │ ├── ROM_L6.vhd │ │ │ ├── ROM_M6.vhd │ │ │ ├── ROM_N1_Low.vhd │ │ │ └── ROM_N6.vhd │ │ ├── screech.vhd │ │ ├── sound.vhd │ │ ├── sprint4.vhd │ │ └── synchronizer.vhd │ │ └── sprint4.pdf ├── Atari Discrete Logic │ ├── ComputerSpace_MiST │ │ ├── ComputerSpace_MiST.qpf │ │ ├── ComputerSpace_MiST.qsf │ │ ├── ComputerSpace_MiST.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── ComputerSpace_MiST.sv │ │ │ ├── build_id.tcl │ │ │ ├── clocks.vhd │ │ │ ├── computer_space_logic.vhd │ │ │ ├── computer_space_sound.vhd │ │ │ ├── computer_space_top.vhd │ │ │ ├── dpram.vhd │ │ │ ├── memory_board.vhd │ │ │ ├── motion_board.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── rocket_diode_images.vhd │ │ │ ├── saucer_diode_image.vhd │ │ │ ├── scan_counter.vhd │ │ │ ├── sprom.vhd │ │ │ ├── sync_star_board.vhd │ │ │ ├── v74161.vhd │ │ │ └── v74161_16bit.vhd │ ├── Pong │ │ ├── Arcade-Pong.sv │ │ ├── LICENSE │ │ ├── Pong.qpf │ │ ├── Pong.qsf │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Pong_Mist.sv │ │ │ ├── ball_horizontal.v │ │ │ ├── ball_horizontal_direction.v │ │ │ ├── ball_horizontal_move.v │ │ │ ├── ball_horizontal_video.v │ │ │ ├── ball_vertical.v │ │ │ ├── ball_vertical_counter.v │ │ │ ├── ball_vertical_move.v │ │ │ ├── build_id.tcl │ │ │ ├── dm9316.v │ │ │ ├── game_control.v │ │ │ ├── hcounter.v │ │ │ ├── hsync.v │ │ │ ├── net.v │ │ │ ├── paddle.v │ │ │ ├── paddles.v │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── png_dff.v │ │ │ ├── png_jkff.v │ │ │ ├── pong.v │ │ │ ├── score.v │ │ │ ├── score_counters.v │ │ │ ├── score_counters_to_segments.v │ │ │ ├── score_segments_to_video.v │ │ │ ├── sound.v │ │ │ ├── srlatch.v │ │ │ ├── timer.v │ │ │ ├── ttl │ │ │ ├── ls00.v │ │ │ ├── ls02.v │ │ │ ├── ls04.v │ │ │ ├── ls10.v │ │ │ ├── ls107.v │ │ │ ├── ls153.v │ │ │ ├── ls20.v │ │ │ ├── ls25.v │ │ │ ├── ls27.v │ │ │ ├── ls30.v │ │ │ ├── ls48.v │ │ │ ├── ls50.v │ │ │ ├── ls74.v │ │ │ ├── ls83.v │ │ │ ├── ls86.v │ │ │ ├── ls90.v │ │ │ └── ls93.v │ │ │ ├── vcounter.v │ │ │ ├── video.v │ │ │ └── vsync.v │ └── SpaceRace │ │ ├── Readme.md │ │ ├── SpaceRace.qpf │ │ ├── SpaceRace.qsf │ │ ├── clean.bat │ │ └── rtl │ │ ├── SpaceRace_MiST.sv │ │ ├── build_id.tcl │ │ ├── clock.sv │ │ ├── crash.sv │ │ ├── dprom_2r.vhd │ │ ├── gamecntl.sv │ │ ├── hcounter.sv │ │ ├── ic │ │ ├── DM9310.sv │ │ ├── DM9311.sv │ │ ├── DM9312.sv │ │ ├── DM9316.sv │ │ ├── DM9322.sv │ │ ├── DM9602.sv │ │ ├── S82S16.sv │ │ ├── SN74107.sv │ │ ├── SN74153.sv │ │ ├── SN74175.sv │ │ ├── SN74192.sv │ │ ├── SN74193.sv │ │ ├── SN74279.sv │ │ ├── SN7448.sv │ │ ├── SN7474.sv │ │ ├── SN7483.sv │ │ ├── SN7490.sv │ │ ├── SN7493.sv │ │ ├── astable_555.sv │ │ ├── clocked_srff.sv │ │ ├── logic.qip │ │ ├── nand_rsff.sv │ │ ├── nor_rsff.sv │ │ ├── oneshot_555.sv │ │ ├── oneshot_555_var.sv │ │ └── toggle_ff.sv │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── rockets.sv │ │ ├── score.sv │ │ ├── sdram.sv │ │ ├── sound.sv │ │ ├── sound_delta.mif │ │ ├── sound_delta.qip │ │ ├── sound_delta.v │ │ ├── space_race_top.sv │ │ ├── stars.sv │ │ ├── synchronizer.sv │ │ ├── vcounter.sv │ │ ├── videomisc.sv │ │ └── videosync.sv ├── Atari MissileCommand │ ├── MissileC.qpf │ ├── MissileC.qsf │ ├── MissileC.sdc │ ├── README.MD │ ├── clean.bat │ ├── meta │ │ ├── Missile Command (rev 1).mra │ │ ├── Missile Command (rev 2).mra │ │ └── Missile Command (rev 3).mra │ └── rtl │ │ ├── MissileCommand_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.v │ │ ├── dpvram.v │ │ ├── ls42.v │ │ ├── micro.v │ │ ├── missile.v │ │ ├── pll_mist.v │ │ ├── rom │ │ └── L6.vhd │ │ ├── spram.v │ │ ├── sync.v │ │ └── trackball.v ├── Atari Tetris │ ├── LICENSE │ ├── README.txt │ ├── Tetris.qpf │ ├── Tetris.qsf │ ├── Tetris.sdc │ ├── clean.bat │ └── rtl │ │ ├── ATARI_SLAPSTIK1.v │ │ ├── FPGA_ATetris.v │ │ ├── Tetris_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── hvgen.v │ │ ├── nvinit.hex │ │ ├── nvinit.mif │ │ ├── pll_mist.vhd │ │ ├── sdram.sv │ │ └── spram.vhd ├── Atari Vector │ ├── Asteroids │ │ ├── Snapshot │ │ │ └── asteroids.rbf │ │ ├── asteroids.qpf │ │ ├── asteroids.qsf │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Asteroid_MiST.sv │ │ │ ├── Graphics.VHD │ │ │ ├── Inputs.VHD │ │ │ ├── T65 │ │ │ ├── T65.vhd │ │ │ ├── T65_ALU.vhd │ │ │ ├── T65_MCode.vhd │ │ │ └── T65_Pack.vhd │ │ │ ├── asteroids.vhd │ │ │ ├── asteroids_pokey.vhd │ │ │ ├── asteroids_ram.vhd │ │ │ ├── asteroids_vg.vhd │ │ │ ├── bitmapctl.vhd │ │ │ ├── bitmapctl_e.vhd │ │ │ ├── build_id.tcl │ │ │ ├── custom_io.vhd │ │ │ ├── dpram.vhd │ │ │ ├── inputmapper.vhd │ │ │ ├── pace.vhd │ │ │ ├── pace_pkg.vhd │ │ │ ├── pace_pkg_body.vhd │ │ │ ├── pkg_asteroids.vhd │ │ │ ├── pkg_asteroids_xilinx_prims.vhd │ │ │ ├── platform_pkg.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── project_pkg.vhd │ │ │ ├── roms │ │ │ ├── Build_Rom.bat │ │ │ ├── dvg_rom_1.vhd │ │ │ ├── prog_rom_0.hex │ │ │ ├── prog_rom_0.vhd │ │ │ ├── prog_rom_1.hex │ │ │ ├── prog_rom_1.vhd │ │ │ ├── prog_rom_2.hex │ │ │ ├── prog_rom_2.vhd │ │ │ ├── prog_rom_3.hex │ │ │ ├── vec_rom_1.hex │ │ │ ├── vec_rom_1.vhd │ │ │ └── vec_rom_2.hex │ │ │ ├── spram.vhd │ │ │ ├── sprite_pkg.vhd │ │ │ ├── sprite_pkg_body.vhd │ │ │ ├── sprom.vhd │ │ │ ├── target_pkg.vhd │ │ │ ├── target_top.vhd │ │ │ ├── tilemapctl_e.vhd │ │ │ ├── video_controller.vhd │ │ │ ├── video_controller_pkg.vhd │ │ │ ├── video_controller_pkg_body.vhd │ │ │ ├── video_mixer.vhd │ │ │ └── wrappers.vhd │ ├── BlackWidow_MiST │ │ ├── BlackWidow.qpf │ │ ├── BlackWidow.qsf │ │ ├── BlackWidow.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── BlackWidow_MiST.sv │ │ │ ├── avg.vhd │ │ │ ├── build_id.tcl │ │ │ ├── bwidow.vhd │ │ │ ├── bwidow_sb.vhd │ │ │ ├── bwidow_top.vhd │ │ │ ├── dpram.vhd │ │ │ ├── earom.vhd │ │ │ ├── gen_ram.vhd │ │ │ ├── p2ram.v │ │ │ ├── pll.v │ │ │ ├── pokey.vhd │ │ │ ├── sdram.sv │ │ │ ├── vecrom.vhd │ │ │ └── vector_drawer.vhd │ ├── Gravitar_MiST │ │ ├── Gravitar.qpf │ │ ├── Gravitar.qsf │ │ ├── Unbenannt.jpg │ │ ├── clean.bat │ │ ├── gravitar.txt │ │ └── rtl │ │ │ ├── Gravitar_MiST.sv │ │ │ ├── avg.vhd │ │ │ ├── build_id.tcl │ │ │ ├── bwidow.vhd │ │ │ ├── bwidow_dw.vhd │ │ │ ├── bwidow_top.vhd │ │ │ ├── earom.vhd │ │ │ ├── gen_ram.vhd │ │ │ ├── p2ram.v │ │ │ ├── pgmrom.vhd │ │ │ ├── pkg_bwidow.vhd │ │ │ ├── pll.v │ │ │ ├── pokey.vhd │ │ │ ├── roms │ │ │ ├── gravitar_dec_rom1.vhd │ │ │ ├── gravitar_dec_rom2.vhd │ │ │ ├── gravitar_pgm_rom1.vhd │ │ │ ├── gravitar_pgm_rom2.vhd │ │ │ ├── gravitar_pgm_rom3.vhd │ │ │ ├── gravitar_pgm_rom4.vhd │ │ │ ├── gravitar_pgm_rom5.vhd │ │ │ ├── gravitar_pgm_rom6.vhd │ │ │ ├── gravitar_vec_rom1.vhd │ │ │ ├── gravitar_vec_rom2.vhd │ │ │ ├── gravitar_vec_rom3.vhd │ │ │ ├── gravitar_vec_rom4.vhd │ │ │ └── make_rom.bat │ │ │ ├── vecrom.vhd │ │ │ └── vector_drawer.vhd │ └── LunarLander_MiST │ │ ├── LunarLander.qpf │ │ ├── LunarLander.qsf │ │ ├── LunarLander.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ ├── LunarLander_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── llander.vhd │ │ ├── llander_dw.vhd │ │ ├── llander_sb.vhd │ │ ├── llander_top.vhd │ │ ├── llander_vg.vhd │ │ ├── ovo.vhd │ │ ├── pll.v │ │ └── sdram.sv ├── Bagman Hardware │ ├── Bagman.qpf │ ├── Bagman.qsf │ ├── Bagman.sdc │ ├── README.txt │ ├── clean.bat │ ├── meta │ │ ├── Bagman.mra │ │ ├── Botanic.mra │ │ ├── Pickin'.mra │ │ ├── Squash.mra │ │ └── Super Bagman.mra │ └── rtl │ │ ├── bagman.vhd │ │ ├── bagman_mist.sv │ │ ├── bagman_pal16r6.vhd │ │ ├── bagman_speech.vhd │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── plc10_speech_synthetizer.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── sdram.sv │ │ └── video_gen.vhd ├── Berzerk Hardware │ ├── Berzerk_MiST │ │ ├── Berzerk_MiST.qpf │ │ ├── Berzerk_MiST.qsf │ │ ├── Berzerk_MiST.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── berzerk.vhd │ │ │ ├── berzerk_mist.sv │ │ │ ├── berzerk_program1.vhd │ │ │ ├── berzerk_program2.vhd │ │ │ ├── berzerk_sound_fx.vhd │ │ │ ├── berzerk_speech.vhd │ │ │ ├── berzerk_speech_rom.vhd │ │ │ ├── build_id.tcl │ │ │ ├── gen_ram.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ └── video_gen.vhd │ ├── Frenzy_MiST │ │ ├── Frenzy_MiST.qpf │ │ ├── Frenzy_MiST.qsf │ │ ├── Frenzy_MiST.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── berzerk_program1.vhd │ │ │ ├── berzerk_program2.vhd │ │ │ ├── berzerk_sound_fx.vhd │ │ │ ├── berzerk_speech.vhd │ │ │ ├── berzerk_speech_rom.vhd │ │ │ ├── build_id.tcl │ │ │ ├── frenzy.vhd │ │ │ ├── frenzy_mist.sv │ │ │ ├── gen_ram.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ └── video_gen.vhd │ └── MoonWar_MiST │ │ ├── MoonWar_MiST.qpf │ │ ├── MoonWar_MiST.qsf │ │ ├── MoonWar_MiST.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ ├── MoonWar_mist.sv │ │ ├── berzerk.vhd │ │ ├── berzerk_sound_fx.vhd │ │ ├── berzerk_speech.vhd │ │ ├── build_id.tcl │ │ ├── gen_ram.vhd │ │ ├── moonwar_dail.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── rom │ │ ├── MoonWar_program1.vhd │ │ ├── MoonWar_program2.vhd │ │ └── MoonWar_speech_rom.vhd │ │ └── video_gen.vhd ├── Capcom SonSon │ ├── README.txt │ ├── Sonson.qpf │ ├── Sonson.qsf │ ├── Sonson.sdc │ ├── clean.bat │ ├── meta │ │ └── SonSon.mra │ └── rtl │ │ ├── Graphics.VHD │ │ ├── SonSon_MiST.sv │ │ ├── bitmapctl_e.vhd │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── dprom_2r.vhd │ │ ├── pace.vhd │ │ ├── pace_pkg.vhd │ │ ├── pace_pkg_body.vhd │ │ ├── platform.vhd │ │ ├── platform_pkg.vhd │ │ ├── pll.v │ │ ├── roms │ │ ├── cram.hex │ │ ├── ss_10_m6.hex │ │ ├── ss_11_m3.hex │ │ ├── ss_12_m4.hex │ │ ├── ss_13_m1.hex │ │ ├── ss_14_m2.hex │ │ ├── ss_9_m5.hex │ │ └── vram.hex │ │ ├── sdram.sv │ │ ├── sonson_soundboard.vhd │ │ ├── sonson_video_controller.vhd │ │ ├── spram.vhd │ │ ├── sprite_array.vhd │ │ ├── sprite_pkg.vhd │ │ ├── sprite_pkg_body.vhd │ │ ├── spritectl.vhd │ │ ├── spritereg.vhd │ │ ├── sprom.vhd │ │ ├── target_top.vhd │ │ ├── tilemapctl.vhd │ │ ├── tilemapctl_e.vhd │ │ ├── video_controller_pkg.vhd │ │ ├── video_controller_pkg_body.vhd │ │ └── video_mixer.vhd ├── Crazy Climber Hardware │ ├── CClimber.qpf │ ├── CClimber.qsf │ ├── CClimber.sdc │ ├── README.txt │ ├── clean.bat │ ├── meta │ │ ├── Big Kong.mra │ │ ├── Crazy Climber.mra │ │ ├── Crazy Kong (Kyoei).mra │ │ ├── Crazy Kong Part II (Set 1).mra │ │ ├── Guzzler.mra │ │ ├── River Patrol.mra │ │ ├── Silver Land.mra │ │ ├── Swimmer.mra │ │ └── Yamato.mra │ └── rtl │ │ ├── CClimber_mist.sv │ │ ├── build_id.tcl │ │ ├── crazy_climber.vhd │ │ ├── crazy_climber_sound.vhd │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── sdram.sv │ │ ├── swimmer_sound.vhd │ │ ├── video_gen.vhd │ │ └── yamato_sound.vhd ├── Data East Burger Time Hardware │ ├── Burger_Time_MiST │ │ ├── README.txt │ │ ├── burger_time_mist.qpf │ │ ├── burger_time_mist.qsf │ │ ├── burger_time_mist.sdc │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Roms │ │ │ ├── bg_graphx_1.vhd │ │ │ ├── bg_graphx_2.vhd │ │ │ ├── bg_graphx_3.vhd │ │ │ ├── bg_map.vhd │ │ │ ├── burger_time_prog.vhd │ │ │ ├── burger_time_sound_prog.vhd │ │ │ ├── fg_sp_graphx_1.vhd │ │ │ ├── fg_sp_graphx_2.vhd │ │ │ └── fg_sp_graphx_3.vhd │ │ │ ├── build_id.tcl │ │ │ ├── burger_time _sound.vhd │ │ │ ├── burger_time.vhd │ │ │ ├── burger_time_mist.sv │ │ │ ├── gen_ram.vhd │ │ │ ├── pll.qip │ │ │ └── pll.v │ ├── Burnin Rubber_MiST │ │ ├── README.txt │ │ ├── burnin_rubber_mist.qpf │ │ ├── burnin_rubber_mist.qsf │ │ ├── burnin_rubber_mist.sdc │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Roms │ │ │ ├── bg_graphx_1.vhd │ │ │ ├── bg_graphx_2.vhd │ │ │ ├── burnin_rubber_prog.vhd │ │ │ ├── burnin_rubber_sound_prog.vhd │ │ │ ├── fg_sp_graphx_1.vhd │ │ │ ├── fg_sp_graphx_2.vhd │ │ │ └── fg_sp_graphx_3.vhd │ │ │ ├── build_id.tcl │ │ │ ├── burnin_rubber _sound.vhd │ │ │ ├── burnin_rubber.vhd │ │ │ ├── burnin_rubber_mist.sv │ │ │ ├── gen_ram.vhd │ │ │ ├── pll.qip │ │ │ └── pll.v │ ├── ReadMe.txt │ └── Treasure_Island_MiST │ │ ├── Burgertime.qpf │ │ ├── Burgertime.qsf │ │ ├── Burgertime.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── meta │ │ ├── Burger Time (Set 1).mra │ │ └── Treasure Island.mra │ │ └── rtl │ │ ├── Burgertime_MiST.sv │ │ ├── build_id.tcl │ │ ├── burger_time.vhd │ │ ├── burger_time_sound.vhd │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ └── sdram.sv ├── Data East Express Raider │ ├── ExpressRaider.qpf │ ├── ExpressRaider.qsf │ ├── ExpressRaider.sdc │ ├── Readme.md │ ├── clean.bat │ ├── meta │ │ ├── Express Raider.mra │ │ └── Western Express (Japan, rev 4).mra │ └── rtl │ │ ├── ExpressRaider_MiST.sv │ │ ├── audio │ │ ├── acpu_mem.v │ │ └── audio.v │ │ ├── build_id.tcl │ │ ├── core.v │ │ ├── cpu │ │ ├── CPU16.v │ │ ├── mcpu.v │ │ └── mcpu_rom.v │ │ ├── er_decode.v │ │ ├── mem │ │ ├── dpram.v │ │ └── ram.v │ │ ├── pll_mist.qip │ │ ├── pll_mist.v │ │ ├── prot.v │ │ ├── sdram.sv │ │ ├── tools │ │ ├── clk_en.v │ │ ├── falling_edge.v │ │ └── rising_edge.v │ │ └── video │ │ ├── VSC30.v │ │ ├── hvgen.v │ │ ├── vdata.v │ │ └── video.v ├── Dottori-Kun Hardware │ ├── DottoriKun.qpf │ ├── DottoriKun.qsf │ ├── LICENSE.txt │ ├── README.md │ ├── clean.bat │ └── rtl │ │ ├── DottoriKun_MiST.sv │ │ ├── RAM.v │ │ ├── ROM1.v │ │ ├── ROM2.v │ │ ├── ROM3.v │ │ ├── ROM4.v │ │ ├── build_id.tcl │ │ ├── cpu_z80.v │ │ ├── dottori.v │ │ ├── pll.v │ │ └── roms │ │ ├── Dottori1.hex │ │ ├── Dottori14k.hex │ │ ├── Dottori2.hex │ │ ├── Dottori24k.hex │ │ ├── DottoriM4k.hex │ │ ├── Minesweep.hex │ │ └── dottoriM.hex ├── Gottlieb Qbert │ ├── Qbert.qpf │ ├── Qbert.qsf │ ├── Qbert.sdc │ ├── Readme.md │ ├── clean.bat │ ├── meta │ │ ├── Argus.mra │ │ ├── Curve Ball.mra │ │ ├── Insector.mra │ │ ├── Knightmare.mra │ │ ├── Krull.mra │ │ ├── Mad Planets.mra │ │ ├── Q'bert (US, Set 1).mra │ │ ├── QBert Qubes.mra │ │ └── Tylz.mra │ └── rtl │ │ ├── Qbert_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.v │ │ ├── m6532.sv │ │ ├── ma216_board.v │ │ ├── mylstar_board.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ram.v │ │ ├── sc01.v │ │ ├── sdram.sv │ │ └── spinner.vhd ├── Gremlin Blockade Hardware │ ├── Blockade.qpf │ ├── Blockade.qsf │ ├── META │ │ ├── Blasto.mra │ │ ├── Blockade.mra │ │ ├── CoMotion.mra │ │ ├── Hustle.mra │ │ ├── Minesweeper.mra │ │ └── Minesweeper4P.mra │ ├── README.MD │ ├── clean.bat │ └── rtl │ │ ├── Blockede_MiST.sv │ │ ├── astable_555.v │ │ ├── blockade.v │ │ ├── blockade_lpf.v │ │ ├── build_id.tcl │ │ ├── dpram.v │ │ ├── iir_1st_order.v │ │ ├── jtframe_resync.v │ │ ├── pause.v │ │ ├── pll.qip │ │ ├── pll.vhd │ │ ├── rom │ │ └── boom.hex │ │ ├── spram.v │ │ └── vm80a.v ├── Gremlin VicDual Hardware │ ├── README.MD │ ├── SegaVICZ80.qpf │ ├── SegaVICZ80.qsf │ ├── clean.bat │ ├── meta │ │ ├── Carnival.mra │ │ ├── Pulsar.mra │ │ └── unreleased │ │ │ ├── Alpha Fighter + Head On.mra │ │ │ ├── Borderline.mra │ │ │ ├── Car Hunt + Deep Scan (France).mra │ │ │ ├── Carnival (zip sound).mra │ │ │ ├── Digger.mra │ │ │ ├── Frogs.mra │ │ │ ├── Head On (bootleg alt maze).mra │ │ │ ├── Head On 2.mra │ │ │ ├── Head On.mra │ │ │ ├── Heiankyo Alien.mra │ │ │ ├── Invinco + Car Hunt (Germany).mra │ │ │ ├── Invinco + Deep Scan.mra │ │ │ ├── Invinco + Head On 2.mra │ │ │ ├── Invinco.mra │ │ │ ├── Missile + Circuit.mra │ │ │ ├── N-Sub.mra │ │ │ ├── Safari.mra │ │ │ ├── Samurai.mra │ │ │ ├── Space Attack + Head On.mra │ │ │ ├── Space Attack.mra │ │ │ ├── Space Trek.mra │ │ │ ├── Star Raker.mra │ │ │ ├── Sub Hunt.mra │ │ │ ├── Tranquilizer Gun.mra │ │ │ └── Wanted.mra │ └── rtl │ │ ├── VicDual_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.v │ │ ├── games.v │ │ ├── i8035.v │ │ ├── pll.v │ │ ├── sdram.sv │ │ ├── sega_97269pb.v │ │ ├── sound │ │ ├── lfsr.v │ │ ├── sound_carnival.v │ │ ├── sound_headon.qip │ │ ├── sound_headon.v │ │ ├── sound_headon_bonus.v │ │ ├── sound_headon_car.v │ │ ├── sound_headon_crash.v │ │ ├── sound_headon_crash_sample.v │ │ └── variable_555.v │ │ ├── spram.v │ │ ├── system.v │ │ ├── vic.v │ │ └── wave_sound.sv ├── IremM52 Hardware │ ├── MoonPatrol │ │ ├── ReadMe.txt │ │ ├── clean.bat │ │ ├── mpatrol.qpf │ │ ├── mpatrol.qsf │ │ ├── mpatrol.sdc │ │ └── rtl │ │ │ ├── Graphics.vhd │ │ │ ├── Z80.vhd │ │ │ ├── bitmap1_ctl.vhd │ │ │ ├── bitmap2_ctl.vhd │ │ │ ├── bitmap3_ctl.vhd │ │ │ ├── bitmapctl_e.vhd │ │ │ ├── build_id.tcl │ │ │ ├── clk_div.vhd │ │ │ ├── dpram.vhd │ │ │ ├── iremm52_video_controller.vhd │ │ │ ├── moon_patrol_sound_board.vhd │ │ │ ├── mpatrol.sv │ │ │ ├── mpatrol_top.vhd │ │ │ ├── pace.vhd │ │ │ ├── pace_pkg.vhd │ │ │ ├── platform.vhd │ │ │ ├── platform_pkg.vhd │ │ │ ├── pll_mist.vhd │ │ │ ├── project_pkg.vhd │ │ │ ├── roms │ │ │ ├── mp-s1.1a.vhd │ │ │ ├── mpa-1.3m.vhd │ │ │ ├── mpa-2.3l.vhd │ │ │ ├── mpa-3.3k.vhd │ │ │ ├── mpa-4.3j.vhd │ │ │ ├── mpb-1.3n.vhd │ │ │ ├── mpb-2.3m.vhd │ │ │ ├── mpe-1.3l.vhd │ │ │ ├── mpe-2.3k.vhd │ │ │ ├── mpe-3.3h.vhd │ │ │ ├── mpe-4.3f.vhd │ │ │ └── mpe-5.3e.vhd │ │ │ ├── spram.vhd │ │ │ ├── sprite_array.vhd │ │ │ ├── sprite_pkg.vhd │ │ │ ├── sprite_pkg_body.vhd │ │ │ ├── spritectl.vhd │ │ │ ├── spritereg.vhd │ │ │ ├── sprom.vhd │ │ │ ├── target_pkg.vhd │ │ │ ├── tilemapctl.vhd │ │ │ ├── tilemapctl_e.vhd │ │ │ ├── video_controller.vhd │ │ │ ├── video_controller_pkg.vhd │ │ │ ├── video_controller_pkg_body.vhd │ │ │ └── video_mixer.vhd │ ├── ReadMe.txt │ └── TraverseUSA │ │ ├── ReadMe.txt │ │ ├── TravrUSA.qpf │ │ ├── TravrUSA.qsf │ │ ├── TravrUSA.sdc │ │ ├── clean.bat │ │ ├── meta │ │ ├── shtrider.mra │ │ └── travrusa.mra │ │ └── rtl │ │ ├── TraverseUSA_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── moon_patrol_sound_board.vhd │ │ ├── pll_aud.qip │ │ ├── pll_aud.vhd │ │ ├── pll_mist.qip │ │ ├── pll_mist.vhd │ │ ├── sdram.sv │ │ └── traverse_usa.vhd ├── IremM57 Hardware │ └── TropicalAngel_MiST │ │ ├── ReadMe.txt │ │ ├── TropicalAngel.qpf │ │ ├── TropicalAngel.qsf │ │ ├── TropicalAngel.sdc │ │ ├── clean.bat │ │ ├── meta │ │ ├── New Tropical Angel.mra │ │ └── Tropical Angel.mra │ │ └── rtl │ │ ├── TropicalAngel.vhd │ │ ├── TropicalAngel_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── moon_patrol_sound_board.vhd │ │ ├── pll_aud.qip │ │ ├── pll_aud.vhd │ │ ├── pll_mist.qip │ │ ├── pll_mist.vhd │ │ └── sdram.sv ├── IremM62 Hardware │ ├── IremM62.qpf │ ├── IremM62.qsf │ ├── IremM62.sdc │ ├── README.txt │ ├── clean.bat │ ├── meta │ │ ├── Battle Road.mra │ │ ├── Horizon.mra │ │ ├── Kid Niki.mra │ │ ├── Kung Fu Master.mra │ │ ├── Lode Runner 2.mra │ │ ├── Lode Runner 3.mra │ │ ├── Lode Runner 4.mra │ │ ├── Lode Runner.mra │ │ ├── Lot Lot.mra │ │ ├── Spelunker 2.mra │ │ ├── Spelunker.mra │ │ └── Youjyudn.mra │ └── rtl │ │ ├── Graphics.VHD │ │ ├── Inputs.VHD │ │ ├── IremM62_MiST.sv │ │ ├── Sound_Board.vhd │ │ ├── bitmapctl_e.vhd │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── input_mapper.vhd │ │ ├── iremm62_video_controller.vhd │ │ ├── pace.vhd │ │ ├── pace_pkg.vhd │ │ ├── pace_pkg_body.vhd │ │ ├── platform.vhd │ │ ├── platform_pkg.vhd │ │ ├── platform_variant_pkg.vhd │ │ ├── pll_aud.qip │ │ ├── pll_aud.vhd │ │ ├── pll_mist.qip │ │ ├── pll_mist.vhd │ │ ├── sdram.sv │ │ ├── spram.vhd │ │ ├── sprite_array.vhd │ │ ├── sprite_pkg.vhd │ │ ├── sprite_pkg_body.vhd │ │ ├── spritectl.vhd │ │ ├── spritereg.vhd │ │ ├── sprom.vhd │ │ ├── target_top.vhd │ │ ├── tilemapctl.vhd │ │ ├── video_controller_pkg.vhd │ │ ├── video_controller_pkg_body.vhd │ │ └── video_mixer.vhd ├── IremM72 Hardware │ ├── IremM72.qpf │ ├── IremM72.qsf │ ├── IremM72.sdc │ ├── Readme.md │ ├── clean.bat │ ├── meta │ │ ├── Air Duel (Japan, M72 hardware).mra │ │ ├── Air Duel (World, M72 hardware).mra │ │ ├── Daiku no Gensan (Japan, M84 hardware).mra │ │ ├── Dragon Breed (Japan, M72 hardware).mra │ │ ├── Gallop - Armed Police Unit (Japan, M72 hardware).mra │ │ ├── Hammerin' Harry (US, M84 hardware).mra │ │ ├── Image Fight (Japan).mra │ │ ├── Image Fight (World).mra │ │ ├── Legend of Hero Tonma (Japan).mra │ │ ├── Mr. HELI no Daibouken (Japan).mra │ │ ├── Ninja Spirit (Japan).mra │ │ ├── R-Type (Japan).mra │ │ ├── R-Type (World).mra │ │ ├── R-Type II (Japan).mra │ │ ├── R-Type II (World).mra │ │ └── X Multiply (Japan, M72 hardware).mra │ └── rtl │ │ ├── IremM72_MiST.sv │ │ ├── board_b_d.sv │ │ ├── board_b_d_layer.sv │ │ ├── build_id.tcl │ │ ├── ddr_debug.sv │ │ ├── dpramv.sv │ │ ├── dualport_mailbox.sv │ │ ├── iir_filter.v │ │ ├── jtframe_frac_cen.v │ │ ├── kna6034201.v │ │ ├── kna70h015.sv │ │ ├── kna91h014.v │ │ ├── m72.qip │ │ ├── m72.sv │ │ ├── m72_pic.sv │ │ ├── m72_pkg.sv │ │ ├── mcu.sv │ │ ├── pal.sv │ │ ├── pll_mist.qip │ │ ├── pll_mist.v │ │ ├── rom.sv │ │ ├── sample_rom.sv │ │ ├── sdram_4w.sv │ │ ├── sound.sv │ │ └── sprite.sv ├── IremM92 Hardware │ ├── IremM92.qpf │ ├── IremM92.qsf │ ├── IremM92.sdc │ ├── Readme.md │ ├── clean.bat │ ├── meta │ │ ├── Blade Master (World).mra │ │ ├── Dream Soccer '94 (Japan, M92 hardware).mra │ │ ├── Gun Force II (US).mra │ │ ├── Gunforce - Battle Fire Engulfed Terror Island (World).mra │ │ ├── Hook (World).mra │ │ ├── In The Hunt (World).mra │ │ ├── Lethal Thunder (World).mra │ │ ├── Major Title 2 (World, set 1).mra │ │ ├── Mystic Riders (World).mra │ │ ├── Ninja Baseball Bat Man (World).mra │ │ ├── R-Type Leo (World).mra │ │ ├── Superior Soldiers (US).mra │ │ ├── Undercover Cops (World).mra │ │ └── Undercover Cops - Alpha Renewal Version (World).mra │ └── rtl │ │ ├── IremM92_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpramv.sv │ │ ├── eeprom.sv │ │ ├── ga20.sv │ │ ├── ga21.sv │ │ ├── ga21_tb.sv │ │ ├── ga22.sv │ │ ├── ga22_linebuffer.sv │ │ ├── ga23.sv │ │ ├── ga23_layer.sv │ │ ├── ga23_shifter.sv │ │ ├── iir_filter.v │ │ ├── jtframe_frac_cen.v │ │ ├── m92.qip │ │ ├── m92.sv │ │ ├── m92_pic.sv │ │ ├── m92_pkg.sv │ │ ├── objram.sv │ │ ├── pal.sv │ │ ├── palram.sv │ │ ├── pll_mist.qip │ │ ├── pll_mist.v │ │ ├── rom.sv │ │ ├── sdram_4w_cl3.sv │ │ ├── sound.sv │ │ └── v35.sv ├── Jaleco NaughtyBoy │ ├── NBoy.qpf │ ├── NBoy.qsf │ ├── README.txt │ ├── clean.bat │ ├── meta │ │ ├── NBoy.mra │ │ ├── NotWorking │ │ │ └── TriviaM.mra │ │ └── PopF.mra │ └── rtl │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── naughty_boy.vhd │ │ ├── naughty_boy_effect1.vhd │ │ ├── naughty_boy_effect2.vhd │ │ ├── naughty_boy_effect3.vhd │ │ ├── naughty_boy_effect4.vhd │ │ ├── naughty_boy_mist.sv │ │ ├── naughty_boy_noise.vhd │ │ ├── naughty_boy_video.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── prom_palette_1.vhd │ │ ├── prom_palette_2.vhd │ │ └── tms3615.vhd ├── Kiwako MrJong │ ├── MrJong.qpf │ ├── MrJong.qsf │ ├── MrJong.sdc │ ├── Readme.md │ ├── clean.bat │ ├── meta │ │ ├── BlockBuster.mra │ │ ├── CrazyBlocks.mra │ │ └── MrJong.mra │ └── rtl │ │ ├── MrJong.sv │ │ ├── audio.v │ │ ├── build_id.tcl │ │ ├── clk_en.v │ │ ├── core.v │ │ ├── cpu_ram.v │ │ ├── cpu_rom.v │ │ ├── dpram.v │ │ ├── falling_edge.v │ │ ├── hvgen.v │ │ ├── jg_decode.v │ │ ├── mcpu.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ram.v │ │ ├── rising_edge.v │ │ ├── sn76489_audio.vhd │ │ ├── vdata.v │ │ └── video.v ├── Konami Finalizer │ ├── Finalizr.qpf │ ├── Finalizr.qsf │ ├── Finalizr.sdc │ ├── README.md │ ├── clean.bat │ ├── meta │ │ ├── Finalizer - Super Transformation (Set 1).mra │ │ ├── Finalizer - Super Transformation (Set 2) [bl].mra │ │ └── Finalizer - Super Transformation (Set 2).mra │ └── rtl │ │ ├── Finalizer.sv │ │ ├── Finalizer_MiST.sv │ │ ├── KONAMI1.sv │ │ ├── audio_iir_filter.v │ │ ├── build_id.tcl │ │ ├── dpram_dc.vhd │ │ ├── finalizer_lpf.v │ │ ├── finalizer_psg_lpf.sv │ │ ├── jt49_dcrm2.v │ │ ├── jtframe_frac_cen.v │ │ ├── k005885.sv │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── rom_loader.sv │ │ ├── sdram.sv │ │ ├── spram.vhd │ │ └── t8049_notri.vhd ├── Konami Gyruss │ ├── Gyruss.qpf │ ├── Gyruss.qsf │ ├── Gyruss.sdc │ ├── README.md │ ├── clean.bat │ ├── meta │ │ └── Gyruss.mra │ └── rtl │ │ ├── Filters │ │ ├── audio_iir_filter.v │ │ ├── gyruss_lpf.v │ │ ├── gyruss_lpf_heavy.v │ │ ├── gyruss_lpf_light.v │ │ └── gyruss_lpf_medium.v │ │ ├── Gyruss.sv │ │ ├── Gyruss_CPU.sv │ │ ├── Gyruss_MiST.sv │ │ ├── Gyruss_SND.sv │ │ ├── KONAMI1.sv │ │ ├── build_id.tcl │ │ ├── custom │ │ ├── gyruss_custom.qip │ │ ├── k082.sv │ │ ├── k083.sv │ │ ├── k501.sv │ │ ├── k502.sv │ │ └── k503.sv │ │ ├── hiscore.v │ │ ├── jt49_dcrm2.v │ │ ├── jtframe_frac_cen.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ram_rom │ │ ├── dpram_dc.vhd │ │ ├── gyruss_ram_rom.qip │ │ ├── rom_loader.sv │ │ └── spram.vhd │ │ └── sdram.sv ├── Konami Iron Horse │ ├── IronHors.qpf │ ├── IronHors.qsf │ ├── IronHors.sdc │ ├── README.md │ ├── clean.bat │ ├── meta │ │ └── Iron Horse (Ver. K).mra │ └── rtl │ │ ├── IronHorse.sv │ │ ├── IronHorse_MiST.sv │ │ ├── audio_iir_filter.v │ │ ├── build_id.tcl │ │ ├── dpram_dc.vhd │ │ ├── ironhorse_fm_lpf.sv │ │ ├── ironhorse_ssg_lpf.sv │ │ ├── jt49_dcrm2.v │ │ ├── jtframe_frac_cen.v │ │ ├── k005885.sv │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── rom_loader.sv │ │ ├── sdram.sv │ │ └── spram.vhd ├── Konami Jackal │ ├── Jackal.qpf │ ├── Jackal.qsf │ ├── Jackal.sdc │ ├── README.md │ ├── clean.bat │ ├── meta │ │ ├── Jackal (W) [bl].mra │ │ ├── Jackal (W).mra │ │ ├── Jackal (W, Rotary).mra │ │ ├── Tokushu Butai Jackal (JP).mra │ │ ├── Top Gunner (US) [bl].mra │ │ └── Top Gunner (US).mra │ └── rtl │ │ ├── Jackal.sv │ │ ├── Jackal_MiST.sv │ │ ├── build_id.tcl │ │ ├── custom │ │ ├── jackal_custom.qip │ │ ├── k005885.sv │ │ ├── k007327.sv │ │ └── k007343.sv │ │ ├── dpram_dc.vhd │ │ ├── hiscore.v │ │ ├── jtframe_frac_cen.v │ │ ├── pause.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── sdram.sv │ │ ├── sound │ │ ├── Filter │ │ │ ├── audio_iir_filter.v │ │ │ ├── jackal_lpf.sv │ │ │ └── jt49_dcrm2.v │ │ └── jackal_sound.qip │ │ └── spram.vhd ├── Konami Jailbreak │ ├── Jailbrek.qpf │ ├── Jailbrek.qsf │ ├── Jailbrek.sdc │ ├── README.md │ ├── clean.bat │ ├── meta │ │ ├── Green Beret.mra │ │ ├── Jailbreak.mra │ │ ├── Manhattan 24 Bunsyo (J).mra │ │ ├── Mr. Goemon.mra │ │ └── Rush'n Attack (US).mra │ └── rtl │ │ ├── Jailbreak.sv │ │ ├── Jailbreak_MiST.sv │ │ ├── KONAMI1.sv │ │ ├── VLM5030 │ │ ├── vlm5030_gl.vhd │ │ ├── vlm5030_pack.vhd │ │ └── vlm5030_subcircuits.vhd │ │ ├── audio_iir_filter.v │ │ ├── build_id.tcl │ │ ├── dpram_dc.vhd │ │ ├── jailbreak_psg_lpf.v │ │ ├── jailbreak_speech_lpf.v │ │ ├── jt49_dcrm2.v │ │ ├── jtframe_frac_cen.v │ │ ├── k005849.sv │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── rom_loader.sv │ │ ├── sdram.sv │ │ └── spram.vhd ├── Konami Pooyan │ ├── README.txt │ ├── clean.bat │ ├── pooyan_mist.qpf │ ├── pooyan_mist.qsf │ ├── pooyan_mist.sdc │ └── rtl │ │ ├── build_id.tcl │ │ ├── gen_ram.vhd │ │ ├── gen_video.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── pooyan.vhd │ │ ├── pooyan_mist.sv │ │ ├── pooyan_sound_board.vhd │ │ ├── rom │ │ ├── pooyan_char_color_lut.vhd │ │ ├── pooyan_char_grphx1.vhd │ │ ├── pooyan_char_grphx2.vhd │ │ ├── pooyan_palette.vhd │ │ ├── pooyan_sound_prog.vhd │ │ ├── pooyan_sprite_color_lut.vhd │ │ ├── pooyan_sprite_grphx1.vhd │ │ └── pooyan_sprite_grphx2.vhd │ │ └── sdram.sv ├── Konami ScooterShooter │ ├── README.md │ ├── Scotrsht.qpf │ ├── Scotrsht.qsf │ ├── Scotrsht.sdc │ ├── clean.bat │ ├── meta │ │ └── Scooter Shooter.mra │ └── rtl │ │ ├── ScooterShooter.sv │ │ ├── ScooterShooter_MiST.sv │ │ ├── audio_iir_filter.v │ │ ├── build_id.tcl │ │ ├── dpram_dc.vhd │ │ ├── jt49_dcrm2.v │ │ ├── jtframe_frac_cen.v │ │ ├── k005849.sv │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── rom_loader.sv │ │ ├── sdram.sv │ │ ├── spram.vhd │ │ ├── sshooter_fm_lpf.sv │ │ └── sshooter_ssg_lpf.sv ├── Konami Scramble Hardware │ ├── ReadMe.txt │ ├── Scramble_MiST │ │ ├── README.txt │ │ ├── Scramble.qpf │ │ ├── Scramble.qsf │ │ ├── Scramble.sdc │ │ ├── clean.bat │ │ ├── meta │ │ │ ├── amidar.mra │ │ │ ├── anteater.mra │ │ │ ├── armorcar.mra │ │ │ ├── atlantis.mra │ │ │ ├── calipso.mra │ │ │ ├── darkplnt.mra │ │ │ ├── frogger.mra │ │ │ ├── losttomb.mra │ │ │ ├── mars.mra │ │ │ ├── mimonkey.mra │ │ │ ├── minefld.mra │ │ │ ├── moonwar.mra │ │ │ ├── rescue.mra │ │ │ ├── scobra.mra │ │ │ ├── scramble.mra │ │ │ ├── spdcoin.mra │ │ │ ├── stratgyx.mra │ │ │ ├── tazmania.mra │ │ │ ├── turtles.mra │ │ │ └── wip │ │ │ │ └── Troopy (bootleg of Mr. Kougar.mra │ │ └── rtl │ │ │ ├── MULT18X18.vhd │ │ │ ├── ScrambleMist.sv │ │ │ ├── build_id.tcl │ │ │ ├── dpram.vhd │ │ │ ├── i82c55.vhd │ │ │ ├── moonwar_dial.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── scramble.vhd │ │ │ ├── scramble_audio.vhd │ │ │ ├── scramble_pack.vhd │ │ │ ├── scramble_top.vhd │ │ │ ├── scramble_video.vhd │ │ │ ├── sdram.sv │ │ │ └── spinner.vhd │ └── TheEnd_MiST │ │ ├── README.txt │ │ ├── TheEnd.qpf │ │ ├── TheEnd.qsf │ │ ├── TheEnd.sdc │ │ ├── clean.bat │ │ └── rtl │ │ ├── MULT18X18.vhd │ │ ├── ROM │ │ ├── ROM_LUT.vhd │ │ ├── ROM_OBJ_0.vhd │ │ ├── ROM_OBJ_1.vhd │ │ ├── ROM_PGM.vhd │ │ ├── ROM_SND_0.vhd │ │ └── ROM_SND_1.vhd │ │ ├── TheEnd.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── i82c55.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── scramble.vhd │ │ ├── scramble_audio.vhd │ │ ├── scramble_top.vhd │ │ └── scramble_video.vhd ├── Konami TimePilot84 │ ├── README.md │ ├── TP84.qpf │ ├── TP84.qsf │ ├── TP84.sdc │ ├── clean.bat │ ├── meta │ │ ├── Time Pilot '84 (Set 1).mra │ │ ├── Time Pilot '84 (Set 2).mra │ │ └── Time Pilot '84 (Set 3).mra │ └── rtl │ │ ├── Filters │ │ ├── audio_iir_filter.v │ │ ├── jt49_dcrm2.v │ │ ├── tp84_lpf_heavy.v │ │ ├── tp84_lpf_light.v │ │ └── tp84_lpf_medium.v │ │ ├── TimePilot84.sv │ │ ├── TimePilot84_CPU.sv │ │ ├── TimePilot84_MiST.sv │ │ ├── TimePilot84_SND.sv │ │ ├── build_id.tcl │ │ ├── custom │ │ ├── k082.sv │ │ ├── k083.sv │ │ ├── k502.sv │ │ ├── k503.sv │ │ └── tp84_custom.qip │ │ ├── pll.v │ │ ├── ram_rom │ │ ├── dpram_dc.vhd │ │ ├── rom_loader.sv │ │ ├── spram.vhd │ │ └── tp84_ram_rom.qip │ │ ├── sdram.sv │ │ └── tp84_sound.qip ├── Konami Timepilot Hardware │ ├── README.txt │ ├── TimePlt.qpf │ ├── TimePlt.qsf │ ├── TimePlt.sdc │ ├── clean.bat │ ├── meta │ │ ├── Power Surge.mra │ │ └── Time Pilot.mra │ └── rtl │ │ ├── TimePilot_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── gen_video.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── sdram.sv │ │ ├── time_pilot.vhd │ │ └── time_pilot_sound_board.vhd ├── Midway MCR 1 │ ├── README.txt │ ├── clean.bat │ ├── mcr1.qpf │ ├── mcr1.qsf │ ├── mcr1.sdc │ ├── meta │ │ ├── Draw Poker.mra │ │ ├── Kick-Man.mra │ │ ├── Kick.mra │ │ └── Solar Fox.mra │ └── rtl │ │ ├── MCR1_MiST.sv │ │ ├── build_id.tcl │ │ ├── cmos_ram.vhd │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── kick.vhd │ │ ├── kick_sound_board.vhd │ │ ├── pll_mist.vhd │ │ ├── rom │ │ └── midssio_82s123.vhd │ │ ├── sdram.sv │ │ └── spinner.vhd ├── Midway MCR 2 │ ├── Journey_MiST │ │ ├── Journey.qpf │ │ ├── Journey.qsf │ │ ├── Journey.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── meta │ │ │ └── Journey.mra │ │ └── rtl │ │ │ ├── Journey_MiST.sv │ │ │ ├── build_id.tcl │ │ │ ├── dpram.vhd │ │ │ ├── gen_ram.vhd │ │ │ ├── journey.vhd │ │ │ ├── pll_mist.vhd │ │ │ ├── rom │ │ │ └── midssio_82s123.vhd │ │ │ ├── satans_hollow_sound_board.vhd │ │ │ └── sdram.sv │ ├── MCR2 │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── mcr2.qpf │ │ ├── mcr2.qsf │ │ ├── mcr2.sdc │ │ ├── meta │ │ │ ├── Domino Man.mra │ │ │ ├── Kozmik Kroozr.mra │ │ │ ├── Satans Hollow.mra │ │ │ ├── Tron.mra │ │ │ └── Wacko.mra │ │ └── rtl │ │ │ ├── MCR2_MiST.sv │ │ │ ├── build_id.tcl │ │ │ ├── cmos_ram.vhd │ │ │ ├── dpram.vhd │ │ │ ├── gen_ram.vhd │ │ │ ├── pll_mist.qip │ │ │ ├── pll_mist.vhd │ │ │ ├── rom │ │ │ └── midssio_82s123.vhd │ │ │ ├── satans_hollow.vhd │ │ │ ├── satans_hollow_sound_board.vhd │ │ │ ├── sdram.sv │ │ │ └── spinner.vhd │ └── TwoTigers_MiST │ │ ├── README.txt │ │ ├── TwoTigers.qpf │ │ ├── TwoTigers.qsf │ │ ├── TwoTigers.sdc │ │ ├── clean.bat │ │ ├── meta │ │ └── Two Tigers.mra │ │ └── rtl │ │ ├── TwoTigers_MiST.sv │ │ ├── build_id.tcl │ │ ├── cmos_ram.vhd │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── pll_mist.qip │ │ ├── pll_mist.vhd │ │ ├── rom │ │ └── midssio_82s123.vhd │ │ ├── satans_hollow.vhd │ │ ├── satans_hollow_sound_board.vhd │ │ ├── sdram.sv │ │ └── spinner.vhd ├── Midway MCR 3 │ ├── README.txt │ ├── clean.bat │ ├── mcr3.qpf │ ├── mcr3.qsf │ ├── mcr3.sdc │ ├── meta │ │ ├── Demolition Derby.mra │ │ ├── Discs of Tron.mra │ │ ├── Max RPM.mra │ │ ├── Power Drive.mra │ │ ├── Rampage.mra │ │ ├── Sarge.mra │ │ ├── Tapper.mra │ │ └── Timber.mra │ └── rtl │ │ ├── MCR3_MiST.sv │ │ ├── build_id.tcl │ │ ├── cmos_ram.vhd │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── mcr3.vhd │ │ ├── midssio_82s123.vhd │ │ ├── pll_mist.qip │ │ ├── pll_mist.vhd │ │ ├── sdram.sv │ │ ├── sounds_good.vhd │ │ ├── spinner.vhd │ │ ├── spy_hunter_control.vhd │ │ ├── super_sound_board.vhd │ │ └── turbo_cheap_squeak.vhd ├── Midway MCR Scroll │ ├── CraterRaider_MiST │ │ ├── CraterRaider.qpf │ │ ├── CraterRaider.qsf │ │ ├── CraterRaider.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── meta │ │ │ └── Crater Raider.mra │ │ └── rtl │ │ │ ├── CraterRaider_MiST.sv │ │ │ ├── build_id.tcl │ │ │ ├── cmos_ram.vhd │ │ │ ├── crater_raider.vhd │ │ │ ├── dpram.vhd │ │ │ ├── gen_ram.vhd │ │ │ ├── pll_mist.vhd │ │ │ ├── rom │ │ │ └── midssio_82s123.vhd │ │ │ ├── sdram.sv │ │ │ ├── spinner.vhd │ │ │ └── spy_hunter_sound_board.vhd │ ├── SpyHunter_MiST │ │ ├── README.txt │ │ ├── SpyHunter.qpf │ │ ├── SpyHunter.qsf │ │ ├── SpyHunter.sdc │ │ ├── clean.bat │ │ ├── meta │ │ │ └── Spy Hunter.mra │ │ └── rtl │ │ │ ├── SpyHunter_MiST.sv │ │ │ ├── build_id.tcl │ │ │ ├── cheap_squeak_deluxe.vhd │ │ │ ├── cmos_ram.vhd │ │ │ ├── dpram.vhd │ │ │ ├── gen_ram.vhd │ │ │ ├── pll_mist.vhd │ │ │ ├── rom │ │ │ └── midssio_82s123.vhd │ │ │ ├── sdram.sv │ │ │ ├── spy_hunter.vhd │ │ │ ├── spy_hunter_control.vhd │ │ │ └── spy_hunter_sound_board.vhd │ └── TurboTag_MiST │ │ ├── README.txt │ │ ├── TurboTag.qpf │ │ ├── TurboTag.qsf │ │ ├── TurboTag.sdc │ │ ├── clean.bat │ │ ├── meta │ │ └── Turbo Tag.mra │ │ └── rtl │ │ ├── TurboTag_MiST.sv │ │ ├── build_id.tcl │ │ ├── cheap_squeak_deluxe.vhd │ │ ├── cmos_ram.vhd │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── pll_mist.vhd │ │ ├── rom │ │ └── midssio_82s123.vhd │ │ ├── sdram.sv │ │ ├── spinner.vhd │ │ ├── turbo_tag.vhd │ │ ├── turbo_tag_control.vhd │ │ └── turbo_tag_sound_board.vhd ├── Midway-Taito 8080 Hardware │ ├── 280ZZZAP_MiST │ │ ├── 280ZZZAP.qpf │ │ ├── 280ZZZAP.qsf │ │ ├── 280ZZZAP.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── D280ZZZAP_Overlay.vhd │ │ │ ├── D280ZZZAP_memory.sv │ │ │ ├── D280ZZZAP_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── zzzap_c.hex │ │ │ ├── zzzap_d.hex │ │ │ ├── zzzap_e.hex │ │ │ ├── zzzap_f.hex │ │ │ ├── zzzap_g.hex │ │ │ └── zzzap_h.hex │ │ │ ├── sound │ │ │ ├── EngineSound.vhd │ │ │ ├── screech.vhd │ │ │ └── sprint1_sound.vhd │ │ │ ├── spram.vhd │ │ │ ├── sprom.vhd │ │ │ └── spy_hunter_control.vhd │ ├── Amazing Maze_MiST │ │ ├── AmazingMaze.qpf │ │ ├── AmazingMaze.qsf │ │ ├── AmazingMaze.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── AmazingMaze_memory.sv │ │ │ ├── AmazingMaze_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── gun.sv │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── maze.g.hex │ │ │ └── maze.h.hex │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── Astropal_MiST │ │ ├── Astropal.qpf │ │ ├── Astropal.qsf │ │ ├── Astropal.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Invaders2_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── invaders_memory.sv │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ └── rom.vhd │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── Attack Force_MiST │ │ ├── AttackForce.qpf │ │ ├── AttackForce.qsf │ │ ├── AttackForce.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── AttackForce_memory.sv │ │ │ ├── AttackForce_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── gun.sv │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── a30a.vhd │ │ │ ├── a31a.vhd │ │ │ ├── a32a.vhd │ │ │ ├── a33a.vhd │ │ │ ├── a36a.vhd │ │ │ ├── a37a.vhd │ │ │ ├── a39a.vhd │ │ │ └── attackfcu │ │ │ │ ├── egs0.vhd │ │ │ │ ├── egs1.vhd │ │ │ │ ├── egs2.vhd │ │ │ │ ├── egs3.vhd │ │ │ │ ├── egs4.vhd │ │ │ │ ├── egs6.vhd │ │ │ │ └── egs7.vhd │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── BalloonBomber_MiST │ │ ├── BalloonBomber.qpf │ │ ├── BalloonBomber.qsf │ │ ├── BalloonBomber.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── BalloonBomber_Overlay.vhd │ │ │ ├── BalloonBomber_memory.sv │ │ │ ├── BalloonBomber_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── tn01.vhd │ │ │ ├── tn02.vhd │ │ │ ├── tn03.vhd │ │ │ ├── tn04.vhd │ │ │ ├── tn05-1.vhd │ │ │ ├── tn06.vhd │ │ │ └── tn07.vhd │ │ │ └── spram.vhd │ ├── BlueShark_MiST │ │ ├── BlueShark.qpf │ │ ├── BlueShark.qsf │ │ ├── BlueShark.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── doc │ │ │ └── Blue_Shark_-_1978_-_Midway_Games.pdf │ │ └── rtl │ │ │ ├── BlueShark_Overlay.vhd │ │ │ ├── BlueShark_memory.sv │ │ │ ├── BlueShark_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── blueshrk_f.hex │ │ │ ├── blueshrk_g.hex │ │ │ └── blueshrk_h.hex │ │ │ ├── spinner.vhd │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── Boothill_MiST │ │ ├── Boothill.qpf │ │ ├── Boothill.qsf │ │ ├── Boothill.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── BootHill_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── invaders_memory.sv │ │ │ ├── invaders_video.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── rome.vhd │ │ │ ├── romf.vhd │ │ │ ├── romg.vhd │ │ │ └── romh.vhd │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── BowlingAlley_MiST │ │ ├── BowlingAlley.qpf │ │ ├── BowlingAlley.qsf │ │ ├── BowlingAlley.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── BowlingAlley_memory.sv │ │ │ ├── BowlingAlley_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── d.cpu.hex │ │ │ ├── e.cpu.hex │ │ │ ├── f.cpu.hex │ │ │ ├── g.cpu.hex │ │ │ └── h.cpu.hex │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── Checkmate_MiST │ │ ├── Checkmate.qpf │ │ ├── Checkmate.qsf │ │ ├── Checkmate.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Checkmate_memory.sv │ │ │ ├── Checkmate_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── checkmat.e.hex │ │ │ ├── checkmat.f.hex │ │ │ ├── checkmat.g.hex │ │ │ └── checkmat.h.hex │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── GunFight_MiST │ │ ├── GunFight.qpf │ │ ├── GunFight.qsf │ │ ├── GunFight.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── GunFight_memory.sv │ │ │ ├── GunFight_mist.sv │ │ │ ├── GunFight_overlay.vhd │ │ │ ├── build_id.tcl │ │ │ ├── gun.sv │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── gf-a.hex │ │ │ ├── gf-b.hex │ │ │ ├── gf-c.hex │ │ │ ├── gf-d.hex │ │ │ ├── gf-e.hex │ │ │ ├── gf-f.hex │ │ │ ├── gf-g.hex │ │ │ └── gf-h.hex │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── LagunaRacer_MiST │ │ ├── Lagunar.qpf │ │ ├── Lagunar.qsf │ │ ├── Lagunar.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Lagunar_Overlay.vhd │ │ │ ├── Lagunar_memory.sv │ │ │ ├── Lagunar_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── lagunar_e.hex │ │ │ ├── lagunar_f.hex │ │ │ ├── lagunar_g.hex │ │ │ └── lagunar_h.hex │ │ │ ├── sound │ │ │ ├── EngineSound.vhd │ │ │ ├── screech.vhd │ │ │ └── sprint1_sound.vhd │ │ │ ├── spram.vhd │ │ │ ├── sprom.vhd │ │ │ └── spy_hunter_control.vhd │ ├── Lunar Rescue_MiST │ │ ├── LunarRescue.qpf │ │ ├── LunarRescue.qsf │ │ ├── LunarRescue.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── LunarRescue_Overlay.vhd │ │ │ ├── LunarRescue_memory.sv │ │ │ ├── LunarRescue_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── col.bin │ │ │ ├── col.vhd │ │ │ ├── lrescue_1.hex │ │ │ ├── lrescue_2.hex │ │ │ ├── lrescue_3.hex │ │ │ ├── lrescue_4.hex │ │ │ ├── lrescue_5.hex │ │ │ └── lrescue_6.hex │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── Ozma Wars_MiST │ │ ├── OzmaWars.qpf │ │ ├── OzmaWars.qsf │ │ ├── OzmaWars.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── OzmaWars_memory.sv │ │ │ ├── OzmaWars_mist.sv │ │ │ ├── OzmaWars_overlay.vhd │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── mw01.vhd │ │ │ ├── mw02.vhd │ │ │ ├── mw03.vhd │ │ │ ├── mw04.vhd │ │ │ ├── mw05.vhd │ │ │ └── mw06.vhd │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── Polaris_MiST │ │ ├── Polaris.qpf │ │ ├── Polaris.qsf │ │ ├── Polaris.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Polaris_memory.sv │ │ │ ├── Polaris_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── cloud.vhd │ │ │ ├── gun.sv │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── bg.vhd │ │ │ ├── ps01.vhd │ │ │ ├── ps02.vhd │ │ │ ├── ps03.vhd │ │ │ ├── ps04.vhd │ │ │ ├── ps05.vhd │ │ │ ├── ps06.vhd │ │ │ └── ps26.vhd │ │ │ ├── spram.vhd │ │ │ ├── sprom.vhd │ │ │ └── virtualgun.sv │ ├── Space Chaser_MiST │ │ ├── README.txt │ │ ├── SpaceChaser.qpf │ │ ├── SpaceChaser.qsf │ │ ├── SpaceChaser.sdc │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── SpaceChaser.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── invaders_memory.sv │ │ │ ├── invaders_video.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── rom1.vhd │ │ │ ├── rom2.vhd │ │ │ └── snd.vhd │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── Space Encounters_MiST │ │ ├── README.txt │ │ ├── SpaceEncounters.qpf │ │ ├── SpaceEncounters.qsf │ │ ├── SpaceEncounters.sdc │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── SpaceEncounters_memory.sv │ │ │ ├── SpaceEncounters_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── gun.sv │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── a1.vhd │ │ │ ├── b1.vhd │ │ │ ├── c1.vhd │ │ │ ├── cont_table.vhd │ │ │ ├── d1.vhd │ │ │ ├── e1.vhd │ │ │ ├── f1.vhd │ │ │ ├── g1.vhd │ │ │ └── h1.vhd │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── Space Invaders 2_MiST │ │ ├── Invaders2.qpf │ │ ├── Invaders2.qsf │ │ ├── Invaders2.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Invaders2_mist.sv │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── invaders_memory.sv │ │ │ ├── invaders_video.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── invad2ct.a.hex │ │ │ ├── invad2ct.b.hex │ │ │ ├── invad2ct.e.hex │ │ │ ├── invad2ct.f.hex │ │ │ ├── invad2ct.g.hex │ │ │ └── invad2ct.h.hex │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── Space Invaders_MiST │ │ ├── README.txt │ │ ├── SpaceInvaders.qpf │ │ ├── SpaceInvaders.qsf │ │ ├── SpaceInvaders.sdc │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── invaders_e.hex │ │ │ ├── invaders_f.hex │ │ │ ├── invaders_g.hex │ │ │ └── invaders_h.hex │ │ │ ├── spaceinvaders_memory.sv │ │ │ ├── spaceinvaders_mist.sv │ │ │ ├── spaceinvaders_overlay.vhd │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── SpaceLaser_MiST │ │ ├── README.txt │ │ ├── SpaceLaser.qpf │ │ ├── SpaceLaser.qsf │ │ ├── SpaceLaser.sdc │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── clr.vhd │ │ │ ├── la01.hex │ │ │ ├── la02.hex │ │ │ ├── la03.hex │ │ │ └── la04.hex │ │ │ ├── spacelaser_memory.sv │ │ │ ├── spacelaser_mist.sv │ │ │ ├── spacelaser_overlay.vhd │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── SpaceWalk_MiST │ │ ├── README.txt │ │ ├── SpaceWalk.qpf │ │ ├── SpaceWalk.qsf │ │ ├── SpaceWalk.sdc │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── SpaceWalk.sv │ │ │ ├── Spacewalk_memory.sv │ │ │ ├── Spacewalk_overlay.vhd │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── sw.a.bin │ │ │ ├── sw.a.hex │ │ │ ├── sw.b.bin │ │ │ ├── sw.b.hex │ │ │ ├── sw.c.bin │ │ │ ├── sw.c.hex │ │ │ ├── sw.d.bin │ │ │ ├── sw.d.hex │ │ │ ├── sw.e.bin │ │ │ ├── sw.e.hex │ │ │ ├── sw.f.bin │ │ │ ├── sw.f.hex │ │ │ ├── sw.g.bin │ │ │ ├── sw.g.hex │ │ │ ├── sw.h.bin │ │ │ └── sw.h.hex │ │ │ ├── spinner.vhd │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ ├── Super Earth Invasion_MiST │ │ ├── README.txt │ │ ├── SuperEarthInvasion.qpf │ │ ├── SuperEarthInvasion.qsf │ │ ├── SuperEarthInvasion.sdc │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── SuperEarthInvasion_memory.sv │ │ │ ├── SuperEarthInvasion_mist.sv │ │ │ ├── SuperEarthInvasion_overlay.vhd │ │ │ ├── build_id.tcl │ │ │ ├── invaders.vhd │ │ │ ├── invaders_audio.vhd │ │ │ ├── mw8080.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── roms │ │ │ ├── earthinv_e.hex │ │ │ ├── earthinv_f.hex │ │ │ ├── earthinv_g.hex │ │ │ └── earthinv_h.hex │ │ │ ├── spram.vhd │ │ │ └── sprom.vhd │ └── Vortex_MiST │ │ ├── README.txt │ │ ├── Vortex.qpf │ │ ├── Vortex.qsf │ │ ├── Vortex.sdc │ │ ├── clean.bat │ │ └── rtl │ │ ├── Vortex_memory.sv │ │ ├── Vortex_mist.sv │ │ ├── build_id.tcl │ │ ├── invaders.vhd │ │ ├── invaders_audio.vhd │ │ ├── mw8080.vhd │ │ ├── pll.qip │ │ ├── pll.vhd │ │ ├── roms │ │ ├── 1.t36.vhd │ │ ├── 2.t35.vhd │ │ ├── 3.t34.vhd │ │ ├── 4.t33.vhd │ │ ├── 5.t32.vhd │ │ └── 6.t31.vhd │ │ └── spram.vhd ├── Namco Galaga Hardware │ ├── DigDug_MiST │ │ ├── DigDug_MiST.qpf │ │ ├── DigDug_MiST.qsf │ │ ├── clean.bat │ │ ├── readme.txt │ │ └── rtl │ │ │ ├── DIGDUG_CORES.v │ │ │ ├── DIGDUG_CUSIO.v │ │ │ ├── DIGDUG_IODEV.v │ │ │ ├── DIGDUG_SPRITE.v │ │ │ ├── DIGDUG_VIDEO.v │ │ │ ├── DigDug.sv │ │ │ ├── FPGA_DIGDUG.v │ │ │ ├── LINEBUF.qip │ │ │ ├── LINEBUF.v │ │ │ ├── build_id.tcl │ │ │ ├── cpucore.v │ │ │ ├── dprams.v │ │ │ ├── hvgen.v │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── roms │ │ │ ├── bgchip_rom.vhd │ │ │ ├── bgclut_rom.vhd │ │ │ ├── bgscrn_rom.vhd │ │ │ ├── cpu1_rom.vhd │ │ │ ├── cpu2_rom.vhd │ │ │ ├── fgchip_rom.vhd │ │ │ ├── palette_rom.vhd │ │ │ ├── spchip_rom.vhd │ │ │ ├── spclut_rom.vhd │ │ │ └── wave_rom.vhd │ │ │ ├── sdram.sv │ │ │ └── wsg.v │ ├── Galaga │ │ ├── Galaga.qpf │ │ ├── Galaga.qsf │ │ ├── Galaga.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── meta │ │ │ ├── Galaga (Midway set 1 with fast shoot hack).mra │ │ │ ├── Galaga (Midway, Set 1).mra │ │ │ ├── Galaga (Namco rev. B).mra │ │ │ ├── Galaga (Namco).mra │ │ │ └── Gatsbee.mra │ │ └── rtl │ │ │ ├── build_id.tcl │ │ │ ├── dpram.vhd │ │ │ ├── galaga.vhd │ │ │ ├── galaga_mist.sv │ │ │ ├── galaga_video.vhd │ │ │ ├── gen_ram.vhd │ │ │ ├── gen_video.vhd │ │ │ ├── mb88.vhd │ │ │ ├── pll.v │ │ │ ├── roms │ │ │ ├── bg_palette.vhd │ │ │ ├── rgb.vhd │ │ │ ├── sound_samples.vhd │ │ │ ├── sound_seq.vhd │ │ │ └── sp_palette.vhd │ │ │ ├── sound_lpf.vhd │ │ │ ├── sound_machine.vhd │ │ │ ├── stars.vhd │ │ │ └── stars_machine.vhd │ ├── ReadMe.txt │ └── Xevious │ │ ├── README.txt │ │ ├── Xevious.qpf │ │ ├── Xevious.qsf │ │ ├── Xevious.sdc │ │ ├── clean.bat │ │ ├── meta │ │ ├── Super Xevious.mra │ │ └── Xevious.mra │ │ └── rtl │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── gen_video.vhd │ │ ├── mb88.vhd │ │ ├── pll.v │ │ ├── roms │ │ ├── bg_palette_lsb.vhd │ │ ├── bg_palette_msb.vhd │ │ ├── blue.vhd │ │ ├── cs50xx_prog.vhd │ │ ├── cs51xx_prog.vhd │ │ ├── cs54xx_prog.vhd │ │ ├── green.vhd │ │ ├── red.vhd │ │ ├── sound_samples.vhd │ │ ├── sound_seq.vhd │ │ ├── sp_palette_lsb.vhd │ │ └── sp_palette_msb.vhd │ │ ├── sdram.sv │ │ ├── sound_machine.vhd │ │ ├── xevious.vhd │ │ └── xevious_mist.sv ├── Namco Galaxian Hardware │ └── Z80 Based │ │ ├── Galaxian_MiST │ │ ├── Galaxian.qpf │ │ ├── Galaxian.qsf │ │ ├── Galaxian.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── meta │ │ │ ├── Azurian Attack.mra │ │ │ ├── Black Hole.mra │ │ │ ├── Catacomb.mra │ │ │ ├── Chewing Gum.mra │ │ │ ├── Clean Sweep.mra │ │ │ ├── Devil Fish.mra │ │ │ ├── Galaxian.mra │ │ │ ├── King and Balloon.mra │ │ │ ├── Lucky Today.mra │ │ │ ├── Moon Cresta.mra │ │ │ ├── Mr. Dos Nightmare.mra │ │ │ ├── Omega.mra │ │ │ ├── Orbitron.mra │ │ │ ├── Pisces.mra │ │ │ ├── Triple Draw Poker.mra │ │ │ ├── UniWar S.mra │ │ │ ├── Victory.mra │ │ │ └── War of the Bugs.mra │ │ └── rtl │ │ │ ├── Galaxian_MiST.sv │ │ │ ├── ROM │ │ │ ├── GAL_FIR.vhd │ │ │ ├── GAL_HIT.vhd │ │ │ └── kingbaloon │ │ │ │ ├── kbe1-4.vhd │ │ │ │ ├── kbe2-5.vhd │ │ │ │ └── kbe3-6.vhd │ │ │ ├── build_id.tcl │ │ │ ├── dpram.vhd │ │ │ ├── galaxian.vhd │ │ │ ├── kb_synth.vhd │ │ │ ├── mc_adec.vhd │ │ │ ├── mc_bram.vhd │ │ │ ├── mc_clocks.vhd │ │ │ ├── mc_col_pal.vhd │ │ │ ├── mc_hv_count.vhd │ │ │ ├── mc_inport.vhd │ │ │ ├── mc_ld_pls.vhd │ │ │ ├── mc_logic.vhd │ │ │ ├── mc_missile.vhd │ │ │ ├── mc_pack.vhd │ │ │ ├── mc_sound_a.vhd │ │ │ ├── mc_sound_b.vhd │ │ │ ├── mc_sound_vco.vhd │ │ │ ├── mc_stars.vhd │ │ │ ├── mc_video.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ ├── sine_package.vhd │ │ │ ├── spram.vhd │ │ │ └── ym2149.sv │ │ ├── ReadMe.txt │ │ └── ZigZag_MiST │ │ ├── README.txt │ │ ├── ZigZag.qpf │ │ ├── ZigZag.qsf │ │ ├── clean.bat │ │ └── rtl │ │ ├── Rom │ │ ├── col.vhd │ │ ├── prog.vhd │ │ ├── rom_h.vhd │ │ └── rom_k.vhd │ │ ├── ZigZag.vhd │ │ ├── ZigZag_MiST.sv │ │ ├── build_id.tcl │ │ ├── dac.vhd │ │ ├── dpram.vhd │ │ ├── mc_adec.vhd │ │ ├── mc_bram.vhd │ │ ├── mc_col_pal.vhd │ │ ├── mc_hv_count.vhd │ │ ├── mc_inport.vhd │ │ ├── mc_ld_pls.vhd │ │ ├── mc_logic.vhd │ │ ├── mc_video.vhd │ │ ├── pll.qip │ │ ├── pll.vhd │ │ ├── spram.vhd │ │ └── ym2149.sv ├── Namco Pacman Hardware │ ├── Pacman │ │ ├── Pacman.qpf │ │ ├── Pacman.qsf │ │ ├── Pacman.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── meta │ │ │ ├── Ali Baba and 40 Thieves.mra │ │ │ ├── Beastie Feastie (Pac-Man Conversion).mra │ │ │ ├── Birdiy.mra │ │ │ ├── Crush Roller (Set 2).mra │ │ │ ├── Dream Shopper.mra │ │ │ ├── Eeek! (Pac-Man Conversion).mra │ │ │ ├── Eggor.mra │ │ │ ├── Eyes (US, Set 1).mra │ │ │ ├── Gorkans.mra │ │ │ ├── Jump Shot.mra │ │ │ ├── Lizard Wizard.mra │ │ │ ├── Mr. TNT.mra │ │ │ ├── Ms. Pac-Man.mra │ │ │ ├── Number Crash.mra │ │ │ ├── Pac-Man (Midway).mra │ │ │ ├── Pac-Man Club- Club Lambada (AR).mra │ │ │ ├── Pac-Man Plus.mra │ │ │ ├── Pac-Manic Miner.mra │ │ │ ├── Ponpoko.mra │ │ │ ├── Puck Man (JP, Set 1).mra │ │ │ ├── Super Glob (Pac-Man, German) [bl].mra │ │ │ ├── The Glob (Pac-Man Hardware).mra │ │ │ ├── Van-Van Car.mra │ │ │ └── Woodpecker (Set 1).mra │ │ └── rtl │ │ │ ├── Pacman.sv │ │ │ ├── build_id.tcl │ │ │ ├── dpram.vhd │ │ │ ├── pacman.vhd │ │ │ ├── pacman_audio.vhd │ │ │ ├── pacman_rom_descrambler.vhd │ │ │ ├── pacman_video.vhd │ │ │ ├── pacman_vram_addr.vhd │ │ │ ├── pll.qip │ │ │ └── pll.v │ ├── Pengo_MiST │ │ ├── Pengo.qpf │ │ ├── Pengo.qsf │ │ ├── Pengo.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Pengo.sv │ │ │ ├── ROM │ │ │ ├── GFX1.vhd │ │ │ ├── PROM1_DST.vhd │ │ │ ├── PROM3_DST.vhd │ │ │ ├── PROM4_DST.vhd │ │ │ ├── PROM7_DST.vhd │ │ │ ├── ROM_PGM_0.vhd │ │ │ └── ROM_PGM_1.vhd │ │ │ ├── build_id.tcl │ │ │ ├── dpram.vhd │ │ │ ├── pacman.vhd │ │ │ ├── pacman_audio.vhd │ │ │ ├── pacman_rom_descrambler.vhd │ │ │ ├── pacman_video.vhd │ │ │ ├── pacman_vram_addr.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.vhd │ │ │ └── sega_decode.vhd │ └── ReadMe.txt ├── Namco Rally-X Hardware │ ├── README.txt │ ├── RallyX.qpf │ ├── RallyX.qsf │ ├── RallyX.sdc │ ├── clean.bat │ ├── meta │ │ ├── Commando (SEGA).mra │ │ ├── Jungler.mra │ │ ├── Loco-motion.mra │ │ ├── New Rally-X.mra │ │ ├── Rally-X.mra │ │ └── Tactician.mra │ └── rtl │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── fpga_nrx.v │ │ ├── gen_ram.vhd │ │ ├── nrx_hvgen.v │ │ ├── nrx_psg_voice.v │ │ ├── nrx_sound.v │ │ ├── nrx_sprite.v │ │ ├── nrx_video.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── rallyX_mist.sv │ │ ├── rommap.txt │ │ ├── sdram.sv │ │ ├── spram.vhd │ │ └── time_pilot_sound_board.vhd ├── Namco Super Pacman Hardware │ ├── Druaga.qpf │ ├── Druaga.qsf │ ├── Druaga.sdc │ ├── README.txt │ ├── clean.bat │ ├── meta │ │ ├── Dig Dug 2.mra │ │ ├── Grobda.mra │ │ ├── Mappy.mra │ │ ├── Motos.mra │ │ ├── Pac n Pal.mra │ │ ├── Pac-Man & Chomp Chomp.mra │ │ ├── Super Pacman.mra │ │ └── The Tower of Druaga.mra │ └── rtl │ │ ├── TheTowerofDruaga_mist.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── druaga_sprite.v │ │ ├── druaga_video.v │ │ ├── fpga_druaga.v │ │ ├── hvgen.v │ │ ├── ioctrl.v │ │ ├── ioctrl_0a.v │ │ ├── ioctrl_0b.v │ │ ├── ioctrl_1a.v │ │ ├── ioctrl_1b.v │ │ ├── ioctrl_2b.v │ │ ├── mc6809 │ │ └── cpucore.v │ │ ├── param.v │ │ ├── pll.v │ │ ├── sdram.sv │ │ └── wsg.v ├── Namco Tank_Battalion │ ├── README.txt │ ├── TankBatt.qpf │ ├── TankBatt.qsf │ ├── clean.bat │ └── rtl │ │ ├── EngineSound.vhd │ │ ├── TankBatt_MiST.sv │ │ ├── Tankb_fpga.v │ │ ├── build_id.tcl │ │ ├── clock.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ram.v │ │ ├── rom │ │ ├── a1.vhd │ │ ├── b1.vhd │ │ ├── c1.vhd │ │ ├── d1.vhd │ │ ├── explode.txt │ │ ├── fire.txt │ │ ├── k3.vhd │ │ └── l3.vhd │ │ ├── sound_FSM.v │ │ └── ttl_chips.v ├── Nichibutsu Galivan Hardware │ ├── Galivan.qpf │ ├── Galivan.qsf │ ├── Galivan.sdc │ ├── LICENSE │ ├── Readme.md │ ├── clean.bat │ ├── meta │ │ ├── Cosmo Police Galivan (12-26-1985).mra │ │ └── Ufo Robo Dangar (4-07-1987).mra │ └── rtl │ │ ├── Galivan_MiST.sv │ │ ├── build_id.tcl │ │ ├── clk_en.v │ │ ├── core.v │ │ ├── dpram.v │ │ ├── gfx.v │ │ ├── pll_mist.qip │ │ ├── pll_mist.vhd │ │ ├── sdram.sv │ │ ├── spram.sv │ │ └── video.v ├── Nichibutsu M68000 Hardware │ ├── ArmedF │ │ ├── Armedf.qpf │ │ ├── Armedf.qsf │ │ ├── Armedf.sdc │ │ ├── README.md │ │ ├── clean.bat │ │ ├── meta │ │ │ ├── Armed F (Japan).mra │ │ │ ├── Crazy Climber 2 (Japan).mra │ │ │ ├── Kozure Ookami (Japan).mra │ │ │ ├── Legion - Spinner-87 (World ver 2.03).mra │ │ │ ├── Sky Robo.mra │ │ │ ├── Terra Force (Japan, bootleg with additional Z80).mra │ │ │ └── Terra Force.mra │ │ └── rtl │ │ │ ├── Armedf_MiST.sv │ │ │ ├── armedf.sv │ │ │ ├── build_id.tcl │ │ │ ├── chip_select.v │ │ │ ├── defs.v │ │ │ ├── jtframe │ │ │ ├── jtframe_8751mcu.v │ │ │ ├── jtframe_dual_ram.v │ │ │ ├── jtframe_ram.v │ │ │ └── jtframe_sync.v │ │ │ ├── mem │ │ │ ├── dual_port_ram.vhd │ │ │ ├── math.vhd │ │ │ ├── single_port_ram.vhd │ │ │ ├── single_port_rom.vhd │ │ │ └── true_dual_port_ram.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── sdram.sv │ │ │ └── video_timing.v │ └── TerraCresta │ │ ├── README.md │ │ ├── TerraCresta.qpf │ │ ├── TerraCresta.qsf │ │ ├── TerraCresta.sdc │ │ ├── clean.bat │ │ ├── meta │ │ ├── Kid no Hore Hore Daisakusen.mra │ │ ├── Sei Senshi Amatelass.mra │ │ └── Terra Cresta (YM3526 set 1).mra │ │ └── rtl │ │ ├── TerraCresta.sv │ │ ├── TerraCresta_MiST.sv │ │ ├── build_id.tcl │ │ ├── chip_select.v │ │ ├── defs.v │ │ ├── mem │ │ ├── dual_port_ram.vhd │ │ └── math.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── sdram.sv │ │ └── video_timing.sv ├── Nintendo Mario Bros │ ├── MarioBros.qpf │ ├── MarioBros.qsf │ ├── clean.bat │ └── rtl │ │ ├── MarioBros_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── m58715ip.v │ │ ├── mario_adec.v │ │ ├── mario_bram.v │ │ ├── mario_col_pal.v │ │ ├── mario_dma.v │ │ ├── mario_hv_generator.v │ │ ├── mario_iir_filter.v │ │ ├── mario_input.v │ │ ├── mario_logic.v │ │ ├── mario_main.v │ │ ├── mario_obj.v │ │ ├── mario_sound.v │ │ ├── mario_sound_analog.v │ │ ├── mario_sound_digital.v │ │ ├── mario_sound_mixer.v │ │ ├── mario_top.v │ │ ├── mario_video.v │ │ ├── mario_vram.v │ │ ├── mario_wav_sound.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── rom │ │ ├── adec_5p.vhd │ │ ├── clut_4p.vhd │ │ ├── gfx_3f.vhd │ │ ├── gfx_3j.vhd │ │ ├── obj_7m.vhd │ │ ├── obj_7n.vhd │ │ ├── obj_7p.vhd │ │ ├── obj_7s.vhd │ │ ├── obj_7t.vhd │ │ ├── obj_7u.vhd │ │ ├── samp.bin │ │ └── snd_rom.vhd │ │ └── sdram.sv ├── Nintendo Popeye Hardware │ ├── Popeye_MiST │ │ ├── Popeye.qpf │ │ ├── Popeye.qsf │ │ ├── Popeye.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Popeye_MiST.sv │ │ │ ├── YM2149_linmix_sep.vhd │ │ │ ├── build_id.tcl │ │ │ ├── gen_ram.vhd │ │ │ ├── pll_mist.qip │ │ │ ├── pll_mist.v │ │ │ ├── popeye.vhd │ │ │ ├── rom │ │ │ ├── popeye_bg_palette_rgb.vhd │ │ │ ├── popeye_ch_bits.vhd │ │ │ ├── popeye_ch_palette_rgb.vhd │ │ │ ├── popeye_sp_bits_1.vhd │ │ │ ├── popeye_sp_bits_2.vhd │ │ │ ├── popeye_sp_bits_3.vhd │ │ │ ├── popeye_sp_bits_4.vhd │ │ │ ├── popeye_sp_palette_gb.vhd │ │ │ └── popeye_sp_palette_rg.vhd │ │ │ └── sdram.sv │ └── SkySkipper_MiST │ │ ├── README.txt │ │ ├── SkySkipper.qpf │ │ ├── SkySkipper.qsf │ │ ├── SkySkipper.sdc │ │ ├── clean.bat │ │ └── rtl │ │ ├── SkySkipper.vhd │ │ ├── SkySkipper_MiST.sv │ │ ├── YM2149_linmix_sep.vhd │ │ ├── build_id.tcl │ │ ├── gen_ram.vhd │ │ ├── pll_mist.qip │ │ ├── pll_mist.v │ │ ├── rom │ │ ├── skyskip_bg_palette_rgb.vhd │ │ ├── skyskip_ch_bits.vhd │ │ ├── skyskip_ch_palette_rgb.vhd │ │ ├── skyskip_palette_gb.vhd │ │ ├── skyskip_palette_rg.vhd │ │ ├── skyskip_sp_bits_1.vhd │ │ ├── skyskip_sp_bits_2.vhd │ │ ├── skyskip_sp_bits_3.vhd │ │ └── skyskip_sp_bits_4.vhd │ │ └── sdram.sv ├── Nintendo Radar Scope Hardware │ ├── DKong.qpf │ ├── DKong.qsf │ ├── DKong.sdc │ ├── README.txt │ ├── clean.bat │ ├── meta │ │ ├── Donkey Kong 3 (bootleg).mra │ │ ├── Donkey Kong Junior.mra │ │ ├── Donkey Kong.mra │ │ ├── Pest Place.mra │ │ └── Radar Scope.mra │ └── rtl │ │ ├── build_id.tcl │ │ ├── dkong_MiST.sv │ │ ├── dkong_adec.v │ │ ├── dkong_bram.v │ │ ├── dkong_col_pal.v │ │ ├── dkong_dma.v │ │ ├── dkong_hv_count.v │ │ ├── dkong_logic.v │ │ ├── dkong_obj.v │ │ ├── dkong_sound.v │ │ ├── dkong_soundboard.sv │ │ ├── dkong_top.v │ │ ├── dkong_vram.v │ │ ├── dkong_wav_sound.v │ │ ├── dpram.vhd │ │ ├── i8035ip.v │ │ ├── pll.v │ │ ├── radarscp_stars.v │ │ └── sdram.sv ├── Nintendo VS Hardware │ └── ReadMe.txt ├── Noma Tri-Pool Hardware │ ├── Freeze.qpf │ ├── Freeze.qsf │ ├── Readme.md │ ├── clean.bat │ ├── meta │ │ ├── freeze.mra │ │ ├── jack.mra │ │ ├── sucasino.mra │ │ ├── tripool.mra │ │ └── zzyzzyxx.mra │ └── rtl │ │ ├── Freeze.sv │ │ ├── build_id.tcl │ │ ├── clk_en.v │ │ ├── core.v │ │ ├── decode.v │ │ ├── decrypt_mcpu.v │ │ ├── dpram.v │ │ ├── hvgen.v │ │ ├── mcpu.v │ │ ├── mcpu_rom1.v │ │ ├── mcpu_rom2.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ram.v │ │ ├── scpu.v │ │ ├── scpu_rom.v │ │ ├── sdram.sv │ │ ├── spram.vhd │ │ ├── vdata.v │ │ └── video.v ├── Non Arcade │ ├── 2048_MiST │ │ ├── 2048.qpf │ │ ├── 2048.qsf │ │ ├── README.txt │ │ └── rtl │ │ │ ├── 2048.sv.bak │ │ │ ├── CHAR.qip │ │ │ ├── CHAR.vhd │ │ │ ├── Game.vhd │ │ │ ├── Game.vhd.bak │ │ │ ├── Game_BOX.vhd │ │ │ ├── Game_BOX.vhd.bak │ │ │ ├── Game_CHDISPLAY.vhd │ │ │ ├── Game_CHDISPLAY.vhd.bak │ │ │ ├── Game_CHROM.vhd │ │ │ ├── Game_CLKGENERATOR.vhd │ │ │ ├── Game_CONTROL.vhd │ │ │ ├── Game_DATA.vhd │ │ │ ├── Game_GRID_VIEW.vhd │ │ │ ├── Game_KEYBOARD.vhd │ │ │ ├── Game_RANDOMGEN.vhd │ │ │ ├── Game_TYPES.vhd │ │ │ ├── Game_UTILS.vhd │ │ │ ├── Game_VIEW.vhd │ │ │ ├── Game_VIEW.vhd.bak │ │ │ ├── Unbenannt1.hex │ │ │ ├── build_id.sv │ │ │ ├── build_id.tcl │ │ │ ├── game2048_mist.sv │ │ │ ├── game2048_mist.sv.bak │ │ │ ├── hq2x.sv │ │ │ ├── mist_io.sv │ │ │ ├── osd.sv │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── rom.v │ │ │ ├── rom.v.bak │ │ │ ├── scandoubler.sv │ │ │ └── video_mixer.sv │ ├── Arkanoid_MiST │ │ ├── Arkanoid Level Editor.jar │ │ ├── Arkanoid.qpf │ │ ├── Arkanoid.qsf │ │ ├── README.md │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Arkanoid_Mist.sv │ │ │ ├── PLL.vhd │ │ │ ├── arkanoid.vhd │ │ │ ├── arkanoid_controller.vhd │ │ │ ├── arkanoid_datapath.vhd │ │ │ ├── arkanoid_levels_rom.vhd │ │ │ ├── arkanoid_package.vhd │ │ │ ├── arkanoid_sound.vhd │ │ │ ├── arkanoid_view.vhd │ │ │ ├── build_id.tcl │ │ │ ├── hq2x.sv │ │ │ ├── keyboard.v │ │ │ ├── mist_io.v │ │ │ ├── osd.v │ │ │ ├── roms │ │ │ └── levels.mif │ │ │ ├── scandoubler.v │ │ │ ├── sqrt.vhd │ │ │ ├── vga_package.vhd │ │ │ └── video_mixer.sv │ ├── FlappyBird_MiST │ │ ├── FlappyBird_MiST.qpf │ │ ├── FlappyBird_MiST.qsf │ │ ├── ReadMe.md │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── DrawBackground.v │ │ │ ├── DrawBird.v │ │ │ ├── DrawBoard.v │ │ │ ├── DrawItem.v │ │ │ ├── DrawOnBackground.v │ │ │ ├── DrawPipes.v │ │ │ ├── DrawPipes2.v │ │ │ ├── DrawScore.v │ │ │ ├── FlappyBird_MiST.sv │ │ │ ├── Pattern.v │ │ │ ├── Random.v │ │ │ ├── SlowClock.v │ │ │ ├── Sound.v │ │ │ ├── StatusChecker.v │ │ │ ├── TopModule.v │ │ │ ├── VGAOut.v │ │ │ ├── build_id.tcl │ │ │ ├── dac.sv │ │ │ ├── hq2x.sv │ │ │ ├── keyboard.sv │ │ │ ├── mist_io.v │ │ │ ├── osd.v │ │ │ ├── pll.v │ │ │ ├── scandoubler.v │ │ │ └── video_mixer.sv │ └── RiverRaid_MiST(Clone) │ │ ├── README.txt │ │ ├── RiverRaid.qpf │ │ ├── RiverRaid.qsf │ │ ├── clean.bat │ │ └── rtl │ │ ├── RiverRaid.sv │ │ ├── altera_up_ps2_command_out.v │ │ ├── altera_up_ps2_data_in.v │ │ ├── build_id.tcl │ │ ├── defs.vh │ │ ├── mist │ │ ├── hq2x.sv │ │ ├── keyboard.v │ │ ├── mist_io.v │ │ ├── osd.v │ │ ├── scandoubler.v │ │ ├── sigma_delta_dac.v │ │ └── video_mixer.sv │ │ ├── pll_108.qip │ │ ├── pll_108.v │ │ ├── ps2_controller.v │ │ ├── sprite_building.mif │ │ ├── sprite_building.v │ │ ├── sprite_fuel.mif │ │ ├── sprite_fuel.v │ │ ├── sprite_helicopter.mif │ │ ├── sprite_helicopter.v │ │ ├── sprite_plane.mif │ │ ├── sprite_plane.v │ │ ├── sprite_player.mif │ │ ├── sprite_player.v │ │ ├── sprite_ship.mif │ │ ├── sprite_ship.v │ │ └── vga_time_generator.v ├── Phoenix Hardware │ ├── README.txt │ ├── clean.bat │ ├── meta │ │ ├── Capitol.mra │ │ ├── Phoenix (Amstar, Set 1).mra │ │ └── Pleiads (Tehkan).mra │ ├── phoenix.qpf │ ├── phoenix.qsf │ ├── phoenix.sdc │ └── rtl │ │ ├── Phoenix_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── phoenix.vhd │ │ ├── phoenix_effect1.vhd │ │ ├── phoenix_effect2.vhd │ │ ├── phoenix_effect3.vhd │ │ ├── phoenix_music.vhd │ │ ├── phoenix_video.vhd │ │ ├── pll.qip │ │ └── pll.vhd ├── SNK M68000 Harware │ ├── PrehistoricIsle │ │ ├── Prehisle.qpf │ │ ├── Prehisle.qsf │ │ ├── Prehisle.sdc │ │ ├── README.md │ │ ├── clean.bat │ │ ├── meta │ │ │ └── Prehistoric Isle in 1930 (World).mra │ │ └── rtl │ │ │ ├── Prehisle_MiST.sv │ │ │ ├── build_id.tcl │ │ │ ├── chip_select.v │ │ │ ├── dual_port_ram.vhd │ │ │ ├── math.vhd │ │ │ ├── pll_mist.qip │ │ │ ├── pll_mist.v │ │ │ ├── prehisle.sv │ │ │ ├── sdram.sv │ │ │ └── video_timing.v │ └── SNK68 │ │ ├── README.md │ │ ├── SNK68.qpf │ │ ├── SNK68.qsf │ │ ├── SNK68.sdc │ │ ├── clean.bat │ │ ├── meta │ │ ├── Ikari III - The Rescue (World Version 1, 8-Way Joystick).mra │ │ ├── P.O.W. - Prisoners of War (US Version 1).mra │ │ ├── SAR - Search And Rescue (World).mra │ │ └── Street Smart (US Version 2).mra │ │ └── rtl │ │ ├── SNK68.sv │ │ ├── SNK68_MiST.sv │ │ ├── build_id.tcl │ │ ├── chip_select.v │ │ ├── defs.v │ │ ├── dual_port_ram.vhd │ │ ├── math.vhd │ │ ├── pll_mist.qip │ │ ├── pll_mist.v │ │ ├── sdram.sv │ │ └── video_timing.v ├── Sega Bank Panic Hardware │ ├── BankPanic.qpf │ ├── BankPanic.qsf │ ├── BankPanic.sdc │ ├── Readme.md │ ├── clean.bat │ ├── meta │ │ ├── BankPanic.mra │ │ └── Combat Hawk.mra │ └── rtl │ │ ├── BankPanic_MiST.sv │ │ ├── build_id.tcl │ │ ├── clk_en.v │ │ ├── core.v │ │ ├── dpram.v │ │ ├── pll_mist.qip │ │ ├── pll_mist.v │ │ ├── sdram.sv │ │ ├── sn76489_audio.vhd │ │ ├── x74138.v │ │ └── x74139.v ├── Sega System 1 Hardware │ ├── README.txt │ ├── Segasys1.qpf │ ├── Segasys1.qsf │ ├── Segasys1.sdc │ ├── clean.bat │ ├── meta │ │ ├── 4D Warriors (315-5162).mra │ │ ├── Block Gal.mra │ │ ├── Brain.mra │ │ ├── Bull Fight (315-5056).mra │ │ ├── Choplifter (unprotected).mra │ │ ├── Flicky (128k Version, 315-5051).mra │ │ ├── Gardia (317-0006).mra │ │ ├── Heavy Metal (315-5135).mra │ │ ├── I'm Sorry (US, 315-5110).mra │ │ ├── Mister Viking (315-5041).mra │ │ ├── My Hero (US, not Encrypted).mra │ │ ├── Pitfall II.mra │ │ ├── Rafflesia (315-5162).mra │ │ ├── Regulus (315-5033, Rev A).mra │ │ ├── Sega Ninja (315-5102).mra │ │ ├── Spatter (315-5xxx).mra │ │ ├── Star Jacker (alt).mra │ │ ├── Star Jacker.mra │ │ ├── Swat (315-5048).mra │ │ ├── TeddyBoy Blues (315-5115, New Ver).mra │ │ ├── Toki no Senshi - Chrono Soldier (MC-8123, 317-0040).mra │ │ ├── Ufo Senshi Yohko Chan (MC-8123, 317-0064).mra │ │ ├── Up'n Down.mra │ │ ├── Water Match (315-5064).mra │ │ ├── Wonder Boy (Set 1, 315-5177).mra │ │ └── Wonder Boy in Monster Land (Japan New Ver., MC-8123, 317-0043).mra │ └── rtl │ │ ├── DPRAM1024_11B.v │ │ ├── HVGEN.v │ │ ├── MC8123.v │ │ ├── SEGASYS1.v │ │ ├── SEGASYS1_MAIN.v │ │ ├── SEGASYS1_PRGDEC.v │ │ ├── SEGASYS1_SOUND.v │ │ ├── SEGASYS1_SPRITE.v │ │ ├── SEGASYS1_VIDEO.v │ │ ├── Segasys1_MiST.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── parts.v │ │ ├── pll_mist.v │ │ ├── sdram.sv │ │ └── z80ip.v ├── Sega Zaxxon Hardware │ ├── Congo Bongo │ │ ├── CongoBongo.qpf │ │ ├── CongoBongo.qsf │ │ ├── CongoBongo.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ ├── meta │ │ │ ├── Congo Bongo.mra │ │ │ └── Tip Top (3 board stack).mra │ │ └── rtl │ │ │ ├── CongoBongo_MiST.sv │ │ │ ├── build_id.tcl │ │ │ ├── congo_bongo.vhd │ │ │ ├── congo_samples.bin │ │ │ ├── congo_samples.vhd │ │ │ ├── congo_sound_board.vhd │ │ │ ├── dpram.vhd │ │ │ ├── gen_ram.vhd │ │ │ ├── pll_mist.qip │ │ │ ├── pll_mist.v │ │ │ ├── samples_player.vhd │ │ │ └── sdram.sv │ └── Zaxxon │ │ ├── README.txt │ │ ├── Zaxxon.qpf │ │ ├── Zaxxon.qsf │ │ ├── Zaxxon.sdc │ │ ├── clean.bat │ │ ├── meta │ │ ├── Future Spy.mra │ │ ├── Super Zaxxon.mra │ │ └── Zaxxon.mra │ │ └── rtl │ │ ├── Sega_Crypt.v │ │ ├── Zaxxon_MiST.sv │ │ ├── build_id.tcl │ │ ├── dec_315_5013.vhd │ │ ├── dec_315_5061.vhd │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── pll_mist.qip │ │ ├── pll_mist.v │ │ ├── sdram.sv │ │ ├── zaxxon.vhd │ │ └── zaxxon_sound.vhd ├── Taito Arkanoid │ ├── Arkanoid.qpf │ ├── Arkanoid.qsf │ ├── Arkanoid.sdc │ ├── README.txt │ ├── clean.bat │ ├── meta │ │ ├── Arkanoid (JP).mra │ │ ├── Arkanoid (US).mra │ │ ├── Arkanoid (Unl. Lives, slower) [hb].mra │ │ ├── Arkanoid (Unl. lives) [hb].mra │ │ └── Arkanoid (W).mra │ └── rtl │ │ ├── Arkanoid.sv │ │ ├── Arkanoid_MiST.sv │ │ ├── arkanoid_lpf.v │ │ ├── audio_iir_filter.v │ │ ├── build_id.tcl │ │ ├── dpram_dc.vhd │ │ ├── jt49_dcrm2.v │ │ ├── jtframe_frac_cen.v │ │ ├── mb112s146.sv │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── rom_loader.sv │ │ ├── sdram.sv │ │ └── spram.vhd ├── Taito Crazy Balloon │ ├── CrazyBalloon.qpf │ ├── CrazyBalloon.qsf │ ├── CrazyBalloon.sdc │ ├── README.txt │ ├── clean.bat │ └── rtl │ │ ├── CrazyBalloon.vhd │ │ ├── CrazyBalloon_audio.vhd │ │ ├── CrazyBalloon_mist.sv │ │ ├── CrazyBalloon_video.vhd │ │ ├── bram.vhd │ │ ├── build_id.tcl │ │ ├── ne555v.vhd │ │ ├── pll.v │ │ └── rom │ │ ├── gfx1.vhd │ │ ├── gfx2.vhd │ │ ├── prog.vhd │ │ └── sfx3.vhd ├── Tehkan Bombjack │ ├── BombJack.qpf │ ├── BombJack.qsf │ ├── BombJack.sdc │ ├── clean.bat │ └── rtl │ │ ├── bombjack_mist.sv │ │ ├── bombjack_top.vhd │ │ ├── build_id.tcl │ │ ├── gen_ram.vhd │ │ ├── p10_psgs.vhd │ │ ├── p2_switches.vhd │ │ ├── p3_timing.vhd │ │ ├── p4_sprites.vhd │ │ ├── p5_overlay.vhd │ │ ├── p6_char_gen.vhd │ │ ├── p7_bgnd_tiles.vhd │ │ ├── p8_palette.vhd │ │ ├── p9_audio_cpu.vhd │ │ ├── pll.v │ │ ├── rom │ │ ├── ROM_1J.vhd │ │ ├── ROM_1L.vhd │ │ ├── ROM_1M.vhd │ │ ├── ROM_1N.vhd │ │ ├── ROM_1R.vhd │ │ ├── ROM_3H.vhd │ │ ├── ROM_4P.vhd │ │ ├── ROM_7J.vhd │ │ ├── ROM_7L.vhd │ │ ├── ROM_7M.vhd │ │ ├── ROM_8E.vhd │ │ ├── ROM_8H.vhd │ │ ├── ROM_8K.vhd │ │ ├── ROM_8L.vhd │ │ ├── ROM_8N.vhd │ │ └── ROM_8R.vhd │ │ ├── sdram.sv │ │ └── sprite_buff.vhd ├── Toaplan v1 Hardware │ ├── .gitignore │ ├── LICENSE │ ├── README_DemonsWorld.md │ ├── README_RallyBike.md │ ├── README_Vimana.md │ ├── README_Zerowing.md │ ├── ToaplanV1.qpf │ ├── ToaplanV1.qsf │ ├── clean.bat │ ├── meta │ │ ├── Demons World - Horror Story (Set 3).mra │ │ ├── Out Zone.mra │ │ ├── Rally Bike - Dash Yarou.mra │ │ ├── Same! Same! Same! (2P Set).mra │ │ ├── Truxton - Tatsujin.mra │ │ ├── Vimana (Japan).mra │ │ ├── Zero Wing (2P Set).mra │ │ └── _alternatives │ │ │ ├── Hellfire (2P Set).mra │ │ │ ├── _Demon's World │ │ │ ├── Demon's World - Horror Story (Set 1).mra │ │ │ ├── Demons World - Horror Story (Set 2).mra │ │ │ ├── Demons World - Horror Story (Set 4).mra │ │ │ └── Demons World - Horror Story (Set 5).mra │ │ │ ├── _Fire Shark │ │ │ ├── Fire Shark (Earlier).mra │ │ │ ├── Fire Shark (Korea, Set 1, Easier).mra │ │ │ ├── Fire Shark (Korea, Set 2, Harder).mra │ │ │ ├── Fire Shark.mra │ │ │ ├── Jiao! Jiao! Jiao! (Hong Kong, 2P set).mra │ │ │ ├── Same! Same! Same! (1P Set).mra │ │ │ └── Same! Same! Same! (1P Set, New Ver.).mra │ │ │ ├── _Hellfire │ │ │ ├── Hellfire (1P Set).mra │ │ │ ├── Hellfire (1P Set, Older).mra │ │ │ └── Hellfire (2P Set, Older).mra │ │ │ ├── _Out Zone │ │ │ ├── Out Zone (Harder).mra │ │ │ ├── Out Zone (Old Set).mra │ │ │ ├── Out Zone (Older Set).mra │ │ │ └── Out Zone (Zero Wing TP-015 PCB Conversion).mra │ │ │ ├── _Vimana │ │ │ ├── Vimana (World, Set 1).mra │ │ │ └── Vimana (World, Set 2).mra │ │ │ └── _Zero Wing │ │ │ ├── Zero Wing (1P Set).mra │ │ │ ├── Zero Wing (2P Set, No Flash).mra │ │ │ └── Zero Wing (2P Set, Williams License).mra │ └── rtl │ │ ├── TMS320C1X │ │ ├── ROM.sv │ │ ├── TMS320C1X opcodes.xlsx │ │ ├── TMS320C1X.qip │ │ ├── TMS320C1X.qpf │ │ ├── TMS320C1X.qsf │ │ ├── TMS320C1X.sv │ │ ├── TMS320C1X_mem.sv │ │ ├── TMS320C1X_pkg.sv │ │ ├── TMS320C1X_tb.sv │ │ ├── bsmt2000.mif │ │ ├── bsmt2000.txt │ │ ├── btc0-s.txt │ │ ├── vsim.wlf │ │ └── wave.do │ │ ├── ToaplanV1.sv │ │ ├── ToaplanV1_Top.sv │ │ ├── build_id.tcl │ │ ├── cache.v │ │ ├── chip_select.v │ │ ├── common.qip │ │ ├── download_buffer.vhd │ │ ├── dual_port_ram.vhd │ │ ├── jtframe_fir_mono.v │ │ ├── jtframe_mixer.v │ │ ├── math.vhd │ │ ├── pll_mist.v │ │ ├── rom_controller.v │ │ ├── rtl_demonsworld │ │ ├── DemonsWorld_Top.sv │ │ ├── chip_select.v │ │ └── rtl_demonsworld.rar │ │ ├── rtl_rallybike │ │ ├── RallyBike_Top.sv │ │ └── chip_select.v │ │ ├── rtl_vimana │ │ ├── Vimana_Top.sv │ │ └── chip_select.v │ │ ├── rtl_zerowing │ │ ├── Zerowing_Top.sv │ │ └── chip_select.v │ │ ├── sdram.sv │ │ ├── segment.vhd │ │ ├── single_port_ram.vhd │ │ ├── single_port_rom.vhd │ │ ├── tile_cache.v │ │ ├── true_dual_port_ram.vhd │ │ └── video_timing.v ├── UPL Nova2001_Hardware │ ├── NinjaKun.qpf │ ├── NinjaKun.qsf │ ├── NinjaKun.sdc │ ├── README.txt │ ├── clean.bat │ ├── meta │ │ ├── Ninjakun.mra │ │ ├── Nova 2001.mra │ │ ├── Penguin-Kun Wars (US).mra │ │ └── Raiders5.mra │ └── rtl │ │ ├── NinjaKun_MiST.sv │ │ ├── build_id.tcl │ │ ├── defs.v │ │ ├── dpram.vhd │ │ ├── hvgen.v │ │ ├── ninjakun_adec.v │ │ ├── ninjakun_cpumux.v │ │ ├── ninjakun_input.v │ │ ├── ninjakun_io_video.v │ │ ├── ninjakun_irqgen.v │ │ ├── ninjakun_main.v │ │ ├── ninjakun_psg.v │ │ ├── ninjakun_sadec.v │ │ ├── ninjakun_sprite.v │ │ ├── ninjakun_top.v │ │ ├── ninjakun_video.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── sdram.sv │ │ ├── spram.vhd │ │ └── z80ip.v ├── Universal Cosmic Hardware │ ├── Cosmic.qpf │ ├── Cosmic.qsf │ ├── Cosmic.sdc │ ├── README.md │ ├── clean.bat │ ├── meta │ │ ├── Cosmic Alien.mra │ │ ├── Devil Zone.mra │ │ ├── Magical Spot.mra │ │ ├── No Mans Land.mra │ │ └── Space Panic.mra │ └── rtl │ │ ├── Cosmic.vhd │ │ ├── Cosmic_MiST.sv │ │ ├── Cosmic_video.vhd │ │ ├── bram.vhd │ │ ├── build_id.tcl │ │ ├── pll_mist.qip │ │ ├── pll_mist.vhd │ │ ├── samples.vhd │ │ └── sdram.sv ├── Universal Ladybug Hardware │ ├── CosmicAvenger_MiST │ │ ├── CosmicAvenger.qpf │ │ ├── CosmicAvenger.qsf │ │ ├── CosmicAvenger.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── CosmicAvenger.sv │ │ │ ├── ROM │ │ │ ├── prom_10_1.vhd │ │ │ ├── prom_10_2.vhd │ │ │ ├── prom_10_3.vhd │ │ │ ├── prom_decrypt.vhd │ │ │ ├── rom_char_l.vhd │ │ │ ├── rom_char_u.vhd │ │ │ ├── rom_cpu1.vhd │ │ │ ├── rom_cpu2.vhd │ │ │ ├── rom_cpu3.vhd │ │ │ ├── rom_sprite_l.vhd │ │ │ └── rom_sprite_u.vhd │ │ │ ├── build_id.tcl │ │ │ ├── dpram.vhd │ │ │ ├── ladybug.vhd │ │ │ ├── ladybug_addr_dec.vhd │ │ │ ├── ladybug_char.vhd │ │ │ ├── ladybug_chute.vhd │ │ │ ├── ladybug_chutes.vhd │ │ │ ├── ladybug_clk.vhd │ │ │ ├── ladybug_counter.vhd │ │ │ ├── ladybug_cpu_unit.vhd │ │ │ ├── ladybug_dip_pack.vhd │ │ │ ├── ladybug_gpio.vhd │ │ │ ├── ladybug_machine.vhd │ │ │ ├── ladybug_rams.vhd │ │ │ ├── ladybug_res.vhd │ │ │ ├── ladybug_rgb.vhd │ │ │ ├── ladybug_sound_unit.vhd │ │ │ ├── ladybug_sprite.vhd │ │ │ ├── ladybug_sprite_ctrl.vhd │ │ │ ├── ladybug_video_timing.vhd │ │ │ ├── ladybug_video_unit.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── spram.vhd │ │ │ ├── ttl_175.vhd │ │ │ └── ttl_393.vhd │ ├── Dorodon_MiST │ │ ├── Dorodon.qpf │ │ ├── Dorodon.qsf │ │ ├── Dorodon.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── Dorodon.sv │ │ │ ├── ROM │ │ │ ├── prom_10_1.vhd │ │ │ ├── prom_10_2.vhd │ │ │ ├── prom_10_3.vhd │ │ │ ├── prom_decrypt.vhd │ │ │ ├── rom_char_l.vhd │ │ │ ├── rom_char_u.vhd │ │ │ ├── rom_cpu1.vhd │ │ │ ├── rom_cpu2.vhd │ │ │ ├── rom_cpu3.vhd │ │ │ ├── rom_sprite_l.vhd │ │ │ └── rom_sprite_u.vhd │ │ │ ├── build_id.tcl │ │ │ ├── dpram.vhd │ │ │ ├── ladybug.vhd │ │ │ ├── ladybug_addr_dec.vhd │ │ │ ├── ladybug_char.vhd │ │ │ ├── ladybug_chute.vhd │ │ │ ├── ladybug_chutes.vhd │ │ │ ├── ladybug_clk.vhd │ │ │ ├── ladybug_counter.vhd │ │ │ ├── ladybug_cpu_unit.vhd │ │ │ ├── ladybug_dip_pack.vhd │ │ │ ├── ladybug_gpio.vhd │ │ │ ├── ladybug_machine.vhd │ │ │ ├── ladybug_rams.vhd │ │ │ ├── ladybug_res.vhd │ │ │ ├── ladybug_rgb.vhd │ │ │ ├── ladybug_sound_unit.vhd │ │ │ ├── ladybug_sprite.vhd │ │ │ ├── ladybug_sprite_ctrl.vhd │ │ │ ├── ladybug_video_timing.vhd │ │ │ ├── ladybug_video_unit.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── spram.vhd │ │ │ ├── ttl_175.vhd │ │ │ └── ttl_393.vhd │ ├── LadyBug_MiST │ │ ├── LadyBug.qpf │ │ ├── LadyBug.qsf │ │ ├── LadyBug.sdc │ │ ├── README.txt │ │ ├── clean.bat │ │ └── rtl │ │ │ ├── LadyBug.sv │ │ │ ├── ROM │ │ │ ├── prom_10_1.vhd │ │ │ ├── prom_10_2.vhd │ │ │ ├── prom_10_3.vhd │ │ │ ├── prom_decrypt.vhd │ │ │ ├── rom_char_l.vhd │ │ │ ├── rom_char_u.vhd │ │ │ ├── rom_cpu1.vhd │ │ │ ├── rom_cpu2.vhd │ │ │ ├── rom_cpu3.vhd │ │ │ ├── rom_sprite_l.vhd │ │ │ └── rom_sprite_u.vhd │ │ │ ├── build_id.tcl │ │ │ ├── dpram.vhd │ │ │ ├── ladybug.vhd │ │ │ ├── ladybug_addr_dec.vhd │ │ │ ├── ladybug_char.vhd │ │ │ ├── ladybug_chute.vhd │ │ │ ├── ladybug_chutes.vhd │ │ │ ├── ladybug_clk.vhd │ │ │ ├── ladybug_counter.vhd │ │ │ ├── ladybug_cpu_unit.vhd │ │ │ ├── ladybug_dip_pack.vhd │ │ │ ├── ladybug_gpio.vhd │ │ │ ├── ladybug_machine.vhd │ │ │ ├── ladybug_rams.vhd │ │ │ ├── ladybug_res.vhd │ │ │ ├── ladybug_rgb.vhd │ │ │ ├── ladybug_sound_unit.vhd │ │ │ ├── ladybug_sprite.vhd │ │ │ ├── ladybug_sprite_ctrl.vhd │ │ │ ├── ladybug_video_timing.vhd │ │ │ ├── ladybug_video_unit.vhd │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ ├── spram.vhd │ │ │ ├── ttl_175.vhd │ │ │ └── ttl_393.vhd │ └── Snapjack_MiST │ │ ├── README.txt │ │ ├── Snapjack.qpf │ │ ├── Snapjack.qsf │ │ ├── Snapjack.sdc │ │ ├── clean.bat │ │ └── rtl │ │ ├── ROM │ │ ├── prom_10_1.vhd │ │ ├── prom_10_2.vhd │ │ ├── prom_10_3.vhd │ │ ├── prom_decrypt.vhd │ │ ├── rom_char_l.vhd │ │ ├── rom_char_u.vhd │ │ ├── rom_cpu1.vhd │ │ ├── rom_cpu2.vhd │ │ ├── rom_cpu3.vhd │ │ ├── rom_sprite_l.vhd │ │ └── rom_sprite_u.vhd │ │ ├── Snapjack.sv │ │ ├── build_id.tcl │ │ ├── dpram.vhd │ │ ├── ladybug.vhd │ │ ├── ladybug_addr_dec.vhd │ │ ├── ladybug_char.vhd │ │ ├── ladybug_chute.vhd │ │ ├── ladybug_chutes.vhd │ │ ├── ladybug_clk.vhd │ │ ├── ladybug_counter.vhd │ │ ├── ladybug_cpu_unit.vhd │ │ ├── ladybug_dip_pack.vhd │ │ ├── ladybug_gpio.vhd │ │ ├── ladybug_machine.vhd │ │ ├── ladybug_rams.vhd │ │ ├── ladybug_res.vhd │ │ ├── ladybug_rgb.vhd │ │ ├── ladybug_sound_unit.vhd │ │ ├── ladybug_sprite.vhd │ │ ├── ladybug_sprite_ctrl.vhd │ │ ├── ladybug_video_timing.vhd │ │ ├── ladybug_video_unit.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── spram.vhd │ │ ├── ttl_175.vhd │ │ └── ttl_393.vhd ├── Universal MrDo │ ├── MrDo.qpf │ ├── MrDo.qsf │ ├── MrDo.sdc │ ├── clean.bat │ ├── meta │ │ ├── Mr.Do.Fixed.mra │ │ └── Mr.Do.mra │ └── rtl │ │ ├── MrDo_mist.sv │ │ ├── MrDo_top.sv │ │ ├── build_id.tcl │ │ ├── cpu_ram.v │ │ ├── dpram.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ram_dp_1k.v │ │ ├── sdram.sv │ │ ├── secret_pal.v │ │ └── video_timing.v ├── Williams 6809 rev.1 Hardware │ ├── Defender Hardware │ │ ├── DefenderHardware.qpf │ │ ├── DefenderHardware.qsf │ │ ├── DefenderHardware.sdc │ │ ├── ReadMe.txt │ │ ├── clean.bat │ │ ├── meta │ │ │ ├── Colony7.mra │ │ │ ├── Defender.mra │ │ │ ├── Jin.mra │ │ │ └── Mayday.mra │ │ └── rtl │ │ │ ├── Defender_MiST.sv │ │ │ ├── build_id.tcl │ │ │ ├── defender.vhd │ │ │ ├── defender_cmos_ram.vhd │ │ │ ├── defender_sound_board.vhd │ │ │ ├── dpram.vhd │ │ │ ├── gen_ram.vhd │ │ │ ├── pll_aud.qip │ │ │ ├── pll_aud.vhd │ │ │ ├── pll_mist.qip │ │ │ ├── pll_mist.vhd │ │ │ └── sdram.sv │ └── Robotron Hardware │ │ ├── README.md │ │ ├── RobotronFPGA.qpf │ │ ├── RobotronFPGA.qsf │ │ ├── RobotronFPGA.sdc │ │ ├── clean.bat │ │ ├── meta │ │ ├── Alien Arena.mra │ │ ├── Bubbles.mra │ │ ├── Joust.mra │ │ ├── LottoFun.mra │ │ ├── Playball.mra │ │ ├── Robotron 2084.mra │ │ ├── Sinistar.mra │ │ ├── SpeedBall.mra │ │ ├── Splat.mra │ │ └── Stargate.mra │ │ └── rtl │ │ ├── RobotronFPGA_MiST.sv │ │ ├── build_id.tcl │ │ ├── defender_sound_board.vhd │ │ ├── dpram.vhd │ │ ├── gen_ram.vhd │ │ ├── hc55564.vhd │ │ ├── pll_aud.qip │ │ ├── pll_aud.vhd │ │ ├── pll_mist.qip │ │ ├── pll_mist.vhd │ │ ├── robotron_cpu │ │ ├── decoder_4.vhd │ │ ├── decoder_6.vhd │ │ ├── robotron_cpu.vhd │ │ ├── robotron_cpu_test.vhd │ │ ├── sc1.vhd │ │ └── sc1_tb.vhd │ │ ├── robotron_soc.vhd │ │ └── sdram.sv └── Williams 6809 rev.2 Hardware │ ├── README │ ├── README.md │ ├── Williams2.qpf │ ├── Williams2.qsf │ ├── Williams2.sdc │ ├── clean.bat │ ├── meta │ ├── Inferno.mra │ ├── Joust 2 - Survival of the Fittest (revision 2).mra │ ├── Mystic Marathon.mra │ └── Turkey Shoot.mra │ └── rtl │ ├── Williams2_MiST.sv │ ├── build_id.tcl │ ├── dpram.vhd │ ├── gen_ram.vhd │ ├── gray_code.vhd │ ├── hc55564.vhd │ ├── pll_mist.qip │ ├── pll_mist.vhd │ ├── roms │ └── williams2_decoder.vhd │ ├── sdram.sv │ ├── tshoot_sound_board.vhd │ ├── williams2.vhd │ ├── williams2_colormix.vhd │ └── williams_cvsd_board.vhd ├── Computer_MiST ├── Acorn - Electron_MiST │ ├── AtomElectron_Mist.qpf │ ├── AtomElectron_Mist.qsf │ ├── clean.bat │ └── rtl │ │ ├── AtomElectron_Mist.sv │ │ ├── ElectronFpga_MiST.vhd │ │ ├── ElectronFpga_core.vhd │ │ ├── ElectronULA.vhd │ │ ├── RAM_32K_DualPort.vhd │ │ ├── RomBasic2.vhd │ │ ├── RomOS100.vhd │ │ ├── RomSmelk3006.vhd │ │ ├── T65 │ │ ├── T65.vhd │ │ ├── T65_ALU.vhd │ │ ├── T65_MCode.vhd │ │ └── T65_Pack.vhd │ │ ├── build_id.tcl │ │ ├── hq2x.sv │ │ ├── keyboard.vhd │ │ ├── m6522.vhd │ │ ├── mist_io.v │ │ ├── osd.v │ │ ├── pll.vhd │ │ ├── pll_inst.vhd │ │ ├── ps2_intf.vhd │ │ ├── roms │ │ ├── basic2.hex │ │ └── os100.hex │ │ ├── scandoubler.v │ │ └── video_mixer.sv ├── Acorn - System1 │ ├── Acorn_System1.qpf │ ├── Acorn_System1.qsf │ ├── Acorn_System1.srf │ ├── README.txt │ ├── clean.bat │ └── rtl │ │ ├── ALU.v │ │ ├── System1.v │ │ ├── System1_MiST.sv │ │ ├── build_id.tcl │ │ ├── cpu.v │ │ ├── debounce.vhd │ │ ├── gen_ram.vhd │ │ ├── m6522.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ps2_mouse.v │ │ ├── ps2_mouse.vhd │ │ ├── ps2_transceiver.vhd │ │ ├── roms │ │ ├── ONE.mif │ │ ├── acrnsys1.bin │ │ ├── acrnsys1.vhd │ │ └── monitor.mif │ │ └── vga.v ├── Apple - 2_MiST │ ├── AppleII_MiST.qpf │ ├── AppleII_MiST.qsf │ ├── README.txt │ ├── clean.bat │ └── rtl │ │ ├── AppleII_MiST.sv │ │ ├── CLK28MPLL.qip │ │ ├── CLK28MPLL.vhd │ │ ├── PS2_Ctrl.vhd │ │ ├── apple2.vhd │ │ ├── build_id.tcl │ │ ├── character_rom.vhd │ │ ├── cpu6502.vhd │ │ ├── dac.vhd │ │ ├── disk_ii.vhd │ │ ├── disk_ii_rom.vhd │ │ ├── hq2x.sv │ │ ├── keyboard.vhd │ │ ├── main_roms.vhd │ │ ├── mist_io.v │ │ ├── osd.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ram.qip │ │ ├── ram.v │ │ ├── roms │ │ ├── apple_II.rom │ │ ├── bios.a65 │ │ ├── bios.rom │ │ └── slot6.rom │ │ ├── scan_converter.vhd │ │ ├── scandoubler.v │ │ ├── spi_controller.vhd │ │ ├── timing_generator.vhd │ │ ├── vga_controller.vhd │ │ ├── video_generator.vhd │ │ └── video_mixer.sv ├── Bashkiria2M_MiST │ ├── Bashkiria2m_Mist.qpf │ ├── Bashkiria2m_Mist.qsf │ ├── Bashkiria2m_Mist.srf │ ├── Snapshot │ │ └── boot.rom │ ├── clean.bat │ └── rtl │ │ ├── BIOS4.HEX │ │ ├── SDRAM_Controller.v │ │ ├── b2m_kbd.v │ │ ├── b2m_mist.sv │ │ ├── b2m_top.v │ │ ├── b2m_video.v │ │ ├── bios.qip │ │ ├── bios.v │ │ ├── build_id.tcl │ │ ├── clk20mhz.qip │ │ ├── clk20mhz.v │ │ ├── dac.vhd │ │ ├── hq2x.sv │ │ ├── k580wi53.v │ │ ├── k580wm80a.v │ │ ├── k580wn59.v │ │ ├── k580ww55.v │ │ ├── mist_io.v │ │ ├── osd.v │ │ ├── pll.qip │ │ ├── pll.vhd │ │ ├── scandoubler.v │ │ ├── sd_card.v │ │ ├── unused │ │ ├── I2C_AV_Config.v │ │ └── I2C_Controller.v │ │ └── video_mixer.sv ├── Galaksija_MiST │ ├── Doc │ │ ├── 1800px-Galaksija_schematic.png │ │ ├── Galaksija.pdf │ │ ├── GalaksijaList5.png │ │ ├── GalaksijaPlus2.png │ │ ├── GalaksijaPlus3.png │ │ ├── Plus_Upgrade.png │ │ ├── galaxy.cpp │ │ ├── galaxy.h │ │ └── memory_map.png │ ├── Galaksija_Mist.qpf │ ├── Galaksija_Mist.qsf │ ├── Galaksija_Mist.srf │ ├── README.txt │ ├── clean.bat │ └── rtl │ │ ├── AY8912.sv │ │ ├── Galaksija_MiST.sv │ │ ├── T80 │ │ ├── T80.vhd │ │ ├── T80_ALU.vhd │ │ ├── T80_MCode.vhd │ │ ├── T80_Pack.vhd │ │ ├── T80_Reg.vhd │ │ ├── T80a.vhd │ │ ├── T80s.vhd │ │ └── T80se.vhd │ │ ├── ay8912.vhd │ │ ├── build_id.tcl │ │ ├── dac.vhd │ │ ├── galaksija_keyboard.sv │ │ ├── galaksija_top.sv │ │ ├── galaksija_video.sv │ │ ├── pll.v │ │ ├── roms │ │ ├── CHRGEN.hex │ │ ├── ROM1.hex │ │ ├── ROM2.hex │ │ └── galplus.hex │ │ ├── spram.vhd │ │ └── sprom.vhd ├── ITCI - Cobra_MiST │ ├── Cobra_MiST.qpf │ ├── Cobra_MiST.qsf │ ├── README.txt │ ├── clean.bat │ └── rtl │ │ ├── Cobra_MiST.sv │ │ ├── build_id.tcl │ │ ├── cobra_kbd.vhd │ │ ├── cobra_top.vhd │ │ ├── dac.vhd │ │ ├── dpram.vhd │ │ ├── hq2x.sv │ │ ├── inst_cg_rom.qip │ │ ├── inst_cg_rom.vhd │ │ ├── inst_ram.qip │ │ ├── inst_ram.vhd │ │ ├── inst_videoram.qip │ │ ├── inst_videoram.vhd │ │ ├── mist_io.v │ │ ├── multi74123.vhd │ │ ├── osd.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ps2_keyboard.vhd │ │ ├── roms │ │ ├── 1986es.rom │ │ ├── 48e.rom │ │ ├── 48turbo.rom │ │ ├── BOOT.BIN │ │ ├── BOOT_DISK.BIN │ │ ├── OPUS.BIN │ │ ├── OPUS.hex │ │ ├── UNKN.BIN │ │ ├── boot64k_v1.bin │ │ ├── boot64k_v2.bin │ │ ├── bsrom118.rom │ │ ├── bsrom140.rom │ │ ├── charrom.vhd │ │ ├── cobra.bin │ │ ├── cobra.hex │ │ ├── cobra_char │ │ ├── cobra_char.hex │ │ ├── cobra_rom.coe │ │ ├── deutsch.hex │ │ ├── deutsch.rom │ │ ├── groot.hex │ │ ├── groot.rom │ │ ├── gw03.rom │ │ ├── hdt-iso.rom │ │ ├── imc.rom │ │ ├── iso8bm.rom │ │ ├── isomoje.rom │ │ ├── jgh.rom │ │ ├── plus4.rom │ │ ├── psycho.rom │ │ ├── sc01.rom │ │ ├── spectrum.hex │ │ ├── spectrum.rom │ │ ├── turbo2_3.rom │ │ └── turbo4_4.rom │ │ ├── scandoubler.v │ │ ├── spram.vhd │ │ ├── sprom.vhd │ │ ├── t80 │ │ ├── T80.vhd │ │ ├── T80_ALU.vhd │ │ ├── T80_MCode.vhd │ │ ├── T80_Pack.vhd │ │ ├── T80_Reg.vhd │ │ ├── T80a.vhd │ │ └── T80sed.vhd │ │ ├── video_generator.vhd │ │ └── video_mixer.sv ├── Interact_MiST │ ├── Interact_MiST.qpf │ ├── Interact_MiST.qsf │ ├── LICENSE │ ├── Neuer Ordner │ │ ├── .gitattributes │ │ ├── .gitignore │ │ ├── Interact.qpf │ │ ├── Interact.qsf │ │ ├── Interact.sdc │ │ ├── Interact.sv │ │ ├── clean.bat │ │ ├── files.qip │ │ ├── rtl.rar │ │ ├── rtl │ │ │ ├── pll.qip │ │ │ ├── pll.v │ │ │ └── pll │ │ │ │ ├── pll_0002.qip │ │ │ │ └── pll_0002.v │ │ └── sys │ │ │ ├── alsa.sv │ │ │ ├── arcade_video.v │ │ │ ├── ascal.vhd │ │ │ ├── audio_out.v │ │ │ ├── build_id.tcl │ │ │ ├── ddr_svc.sv │ │ │ ├── f2sdram_safe_terminator.sv │ │ │ ├── gamma_corr.sv │ │ │ ├── hdmi_config.sv │ │ │ ├── hps_io.sv │ │ │ ├── hq2x.sv │ │ │ ├── i2c.v │ │ │ ├── i2s.v │ │ │ ├── iir_filter.v │ │ │ ├── ltc2308.sv │ │ │ ├── math.sv │ │ │ ├── mcp23009.sv │ │ │ ├── mt32pi.sv │ │ │ ├── osd.v │ │ │ ├── pll.13.qip │ │ │ ├── pll_audio.13.qip │ │ │ ├── pll_audio.qip │ │ │ ├── pll_audio.v │ │ │ ├── pll_audio │ │ │ ├── pll_audio_0002.qip │ │ │ └── pll_audio_0002.v │ │ │ ├── pll_cfg.qip │ │ │ ├── pll_cfg.v │ │ │ ├── pll_cfg │ │ │ ├── altera_pll_reconfig_core.v │ │ │ └── altera_pll_reconfig_top.v │ │ │ ├── pll_hdmi.13.qip │ │ │ ├── pll_hdmi.qip │ │ │ ├── pll_hdmi.v │ │ │ ├── pll_hdmi │ │ │ ├── pll_hdmi_0002.qip │ │ │ └── pll_hdmi_0002.v │ │ │ ├── pll_hdmi_adj.vhd │ │ │ ├── pll_q13.qip │ │ │ ├── pll_q17.qip │ │ │ ├── scandoubler.v │ │ │ ├── scanlines.v │ │ │ ├── sd_card.sv │ │ │ ├── sigma_delta_dac.v │ │ │ ├── spdif.v │ │ │ ├── sys.qip │ │ │ ├── sys.tcl │ │ │ ├── sys_analog.tcl │ │ │ ├── sys_dual_sdram.tcl │ │ │ ├── sys_top.sdc │ │ │ ├── sys_top.v │ │ │ ├── sysmem.sv │ │ │ ├── vga_out.sv │ │ │ ├── video_cleaner.sv │ │ │ ├── video_freak.sv │ │ │ ├── video_freezer.sv │ │ │ └── video_mixer.sv │ ├── README.md │ ├── clean.bat │ └── rtl │ │ ├── Interact_MiST.sv │ │ ├── Interact_top.sv │ │ ├── build_id.tcl │ │ ├── cassette.sv │ │ ├── dpram.v │ │ ├── keyboard.sv │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── rom │ │ ├── hector1.vhd │ │ └── interact.vhd │ │ ├── spram.v │ │ ├── sprom.vhd │ │ ├── ttl │ │ ├── SN74LS138.v │ │ ├── SN74LS195.v │ │ ├── SN74LS393.bdf │ │ ├── SN74LS393.v │ │ ├── SN74LS73.v │ │ ├── SN74LS74.v │ │ └── SN74LS92.v │ │ ├── video_timing.v │ │ └── vm80a.v ├── Jupiter Cantab - JupiterACE_MiST │ ├── Readme.txt │ ├── ace.qpf │ ├── ace.qsf │ ├── clean.bat │ └── rtl │ │ ├── T80 │ │ ├── T80.vhd │ │ ├── T80_ALU.vhd │ │ ├── T80_MCode.vhd │ │ ├── T80_Reg.vhd │ │ └── T80pa.vhd │ │ ├── ace_mist.sv │ │ ├── build_id.tcl │ │ ├── dpram.v │ │ ├── glue.v │ │ ├── hq2x.sv │ │ ├── io_write_to_rom.v │ │ ├── jupiter_ace.v │ │ ├── keyboard.v │ │ ├── mist_io.v │ │ ├── osd.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ps2_port.v │ │ ├── rom_ram.v │ │ ├── roms │ │ ├── ace.hex │ │ ├── ace.mif │ │ └── ace.rom │ │ ├── scandoubler.v │ │ ├── sigma_delta_dac.v │ │ ├── sprom.vhd │ │ ├── sram.v │ │ ├── video.sv │ │ └── video_mixer.sv ├── ORAO_MiST │ ├── Orao_MiST.qpf │ ├── Orao_MiST.qsf │ ├── clean.bat │ └── rtl │ │ ├── OraoGraphDisplay8K.vhd │ │ ├── ProgSRam.vhd │ │ ├── T65 │ │ ├── T65.vhd │ │ ├── T65_ALU.vhd │ │ ├── T65_MCode.vhd │ │ └── T65_Pack.vhd │ │ ├── bram_1port.vhd │ │ ├── bram_2port.vhd │ │ ├── bufferedUART.vhd │ │ ├── build_id.tcl │ │ ├── dac.vhd │ │ ├── hq2x.sv │ │ ├── keyboard.v │ │ ├── mist_io.v │ │ ├── orao.vhd │ │ ├── orao_keyboard_buttons.vhd │ │ ├── orao_mist.sv │ │ ├── osd.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── ps2_intf.vhd │ │ ├── rom │ │ ├── BAS102.ROM │ │ ├── BAS103.ROM │ │ ├── CRT102.ROM │ │ ├── CRT103.ROM │ │ ├── rom_bas102.vhd │ │ ├── rom_bas103.vhd │ │ ├── rom_crt102.vhd │ │ └── rom_crt103.vhd │ │ ├── scandoubler.v │ │ └── video_mixer.sv ├── Robotron - KC87_MiST │ ├── README.txt │ ├── clean.bat │ ├── kc87.qpf │ ├── kc87.qsf │ └── rtl │ │ ├── KC87_mist.sv │ │ ├── build_id.tcl │ │ ├── chargen.vhdl │ │ ├── ctc.vhd │ │ ├── ctc_channel.vhd │ │ ├── dualsram.vhd │ │ ├── hq2x.sv │ │ ├── intcontroller.vhd │ │ ├── kc87.vhd │ │ ├── mist_io.v │ │ ├── mram.qip │ │ ├── mram.vhd │ │ ├── osd.v │ │ ├── osrom.qip │ │ ├── osrom.vhd │ │ ├── periph │ │ ├── rs232tx.vhd │ │ ├── simpleIntcontroller.vhd │ │ ├── spi.vhd │ │ ├── sram.vhd │ │ ├── sysclock.vhd │ │ └── tape.vhd │ │ ├── pio.vhd │ │ ├── pio_port.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── pport.vhd │ │ ├── ps2if.vhd │ │ ├── ps2kc.vhd │ │ ├── roms │ │ ├── basic_c0.vhdl │ │ ├── bootloader.vhdl │ │ ├── bootloader_ftest.vhdl │ │ ├── bootloader_mon_0000.vhd │ │ ├── bootloader_ramtest8.vhdl │ │ ├── bootloader_sdcard.vhd │ │ ├── bootrom.hex │ │ ├── bootrom.vhdl │ │ ├── os13.hex │ │ ├── os____f0.hex │ │ └── roms │ │ │ ├── basic_c0.87b │ │ │ ├── bin2vhdl.tcl │ │ │ ├── bootrom.bin │ │ │ ├── chargen.851 │ │ │ ├── ftest13.com │ │ │ ├── ihx2vhdl.tcl │ │ │ ├── os13.bin │ │ │ ├── os____f0.851 │ │ │ ├── os____f0.87b │ │ │ ├── os_rb20.rom │ │ │ ├── os_rb21.rom │ │ │ ├── ramtest8.bin │ │ │ ├── rom.sh │ │ │ ├── tape │ │ │ ├── SCHLANG2_SSS.ihex │ │ │ ├── cave_sss.ihex │ │ │ ├── centip_sss.ihex │ │ │ ├── othello.ihex │ │ │ └── pong.ihex │ │ │ ├── unirom.bin │ │ │ └── zm30r.bin │ │ ├── scandoubler.v │ │ ├── t80 │ │ ├── T80.vhd │ │ ├── T80_ALU.vhd │ │ ├── T80_MCode.vhd │ │ ├── T80_Pack.vhd │ │ ├── T80_Reg.vhd │ │ └── T80se.vhd │ │ ├── uart.vhd │ │ ├── video.vhd │ │ └── video_mixer.sv ├── Robotron - Z1013_MiST │ ├── LICENSE │ ├── README.txt │ ├── Z1013_Mist.qpf │ ├── Z1013_Mist.qsf │ ├── Z1013_Mist.vhd │ ├── clean.bat │ ├── documentation │ │ ├── README.txt │ │ ├── chipplanner_mist_t80_marked.png │ │ └── mist_z1013_project_structure.pdf │ ├── rtl │ │ ├── PIO.vhd │ │ ├── ROM.vhd │ │ ├── ROMs │ │ │ ├── README.txt │ │ │ ├── bm100.bin │ │ │ └── monitor_2.02.bin │ │ ├── T80 │ │ │ ├── T80.vhd │ │ │ ├── T80_ALU.vhd │ │ │ ├── T80_MCode.vhd │ │ │ ├── T80_Pack.vhd │ │ │ ├── T80_Reg.vhd │ │ │ └── T80s.vhd │ │ ├── addr_decode.vhd │ │ ├── altpll0.qip │ │ ├── altpll0.vhd │ │ ├── auto_start.vhd │ │ ├── bm100_pkg.vhd │ │ ├── bm204_202_pkg.vhd │ │ ├── build_id.tcl │ │ ├── charrom.vhd │ │ ├── chars.vhd │ │ ├── clock_blink.vhd │ │ ├── data_io.vhd │ │ ├── headersave_decode.vhd │ │ ├── init_message_pkg.vhd │ │ ├── joystick_emu.vhd │ │ ├── keyboard_matrix.vhd │ │ ├── mist_components.vhd │ │ ├── online_help.vhd │ │ ├── osd.v │ │ ├── osd.vhd │ │ ├── ps2_scancode.vhd │ │ ├── redz0mb1e.vhd │ │ ├── redz0mb1e_pkg.vhd │ │ ├── scancode_ascii.vhd │ │ ├── scanline.vhd │ │ ├── sdram.v │ │ ├── text.vhd │ │ ├── top_mist.vhd │ │ ├── user_io.vhd │ │ ├── vga_controller_800_600.vhd │ │ ├── video.vhd │ │ ├── video_ram.vhd │ │ └── video_ram_pkg.vhd │ ├── tested_programs.txt │ └── vhdl_files.txt └── Sharp - MZ-80_MiST │ ├── clean.bat │ ├── mz80k_mist.qpf │ ├── mz80k_mist.qsf │ ├── mz80k_mist.srf │ ├── mz80k_mist_assignment_defaults.qdf │ └── rtl │ ├── CPLD_74LS245.vhd │ ├── Color_Card.sv │ ├── HighResCard.sv │ ├── TTL74LS245.sv │ ├── TTL74LS373.sv │ ├── build_id.tcl │ ├── cg_rom.v │ ├── fz80.v │ ├── fz80c.v │ ├── hq2x.sv │ ├── i8253.v │ ├── i8255.vhd │ ├── keymatrix.vhd │ ├── mist_io.v │ ├── monrom.v │ ├── mz80k_mist.sv │ ├── mz80k_top.v │ ├── osd.v │ ├── pll.v │ ├── ram2.v │ ├── roms │ ├── 80ktc.zip │ ├── CG.ROM │ ├── MZ80K2E Jap CG │ ├── MZ80K2E Jap CG.zip │ ├── MZ80K2E ROM │ ├── MZ80K2E ROM.zip │ ├── Mon.hex │ ├── cg.hex │ ├── cg_jp.HEX │ ├── cg_jp_hex.hex │ ├── cgrom.v │ ├── combined_keymap.mif │ ├── mon_jp.HEX │ ├── mon_rom_jp.hex.hex │ ├── mram.v │ ├── newMon.hex │ └── ram.v │ ├── scandoubler.v │ ├── sigma_delta_dac.v │ ├── sound.v │ ├── spram.vhd │ ├── sprom.vhd │ ├── tll │ └── ls245.v │ ├── vga.v │ └── video_mixer.sv ├── Console_MiST ├── APF_TV_Fun │ ├── APF_TV_Fun.qpf │ ├── APF_TV_Fun.qsf │ ├── APF_TV_Fun.srf │ ├── clean.bat │ ├── mister.txt │ └── rtl │ │ ├── APF_TV_Fun_MiST.sv │ │ ├── ay_3_8500NTSC.v │ │ ├── build_id.tcl │ │ ├── pll.qip │ │ └── pll.v ├── ChannelF_MiST │ ├── ChannelF.qpf │ ├── ChannelF.qsf │ ├── ReadMe.txt │ ├── clean.bat │ └── rtl │ │ ├── ChannelF_MiST.sv │ │ ├── base_pack.vhd │ │ ├── build_id.tcl │ │ ├── chf_core.vhd │ │ ├── f8_cpu.vhd │ │ ├── f8_pack.vhd │ │ ├── f8_psu.vhd │ │ ├── pll.qip │ │ ├── pll.v │ │ └── rom_pack.vhd ├── Emerson Arcadia 2001_MiST │ ├── Arcadia_MiST.qpf │ ├── Arcadia_MiST.qsf │ ├── Arcadia_MiST.sdc │ ├── Readme.md │ ├── clean.bat │ └── rtl │ │ ├── arcadia_core.vhd │ │ ├── arcadia_mist.sv │ │ ├── base_pack.vhd │ │ ├── build_id.tcl │ │ ├── pll.qip │ │ ├── pll.vhd │ │ ├── sgs2637.vhd │ │ ├── sgs2650.vhd │ │ └── sgs2650_pack.vhd ├── GCE - Vectrex_MiST │ ├── .gitignore │ ├── README.txt │ ├── clean.bat │ ├── rtl │ │ ├── build_id.tcl │ │ ├── gen_ram.vhd │ │ ├── m6522a.vhd │ │ ├── mc6809.v │ │ ├── pll.v │ │ ├── sdram.sv │ │ ├── sp0256.vhd │ │ ├── sp0256_al2_decoded.vhd │ │ ├── vectrex.vhd │ │ ├── vectrex_exec_prom.vhd │ │ ├── vectrex_mist.sv │ │ └── vectrex_speakjet.vhd │ ├── vectrex_MiST.out.sdc │ ├── vectrex_MiST.qpf │ └── vectrex_MiST.qsf ├── Gamate_MiST │ ├── Gamate_MiST.qpf │ ├── Gamate_MiST.qsf │ ├── Gamate_MiST.sdc │ ├── Readme.md │ ├── clean.bat │ └── rtl │ │ ├── Gamate_MiST.sv │ │ ├── bram.vhd │ │ ├── build_id.tcl │ │ ├── gamate_top.sv │ │ ├── lcd.sv │ │ ├── pll.qip │ │ ├── pll.vhd │ │ ├── rom │ │ ├── gamate_bios_bit.vhd │ │ └── gamate_bios_umc.vhd │ │ ├── sdram.sv │ │ └── ym2149.sv ├── Interton VC4000_MiST │ ├── Readme.md │ ├── VC4000_MiST.qpf │ ├── VC4000_MiST.qsf │ ├── VC4000_MiST.sdc │ ├── clean.bat │ └── rtl │ │ ├── base_pack.vhd │ │ ├── build_id.tcl │ │ ├── pll.qip │ │ ├── pll.vhd │ │ ├── sgs2636.vhd │ │ ├── sgs2650.vhd │ │ ├── sgs2650_pack.vhd │ │ ├── vc4000_core.vhd │ │ └── vc4000_mist.sv ├── MyVision │ ├── MyVision.qpf │ ├── MyVision.qsf │ ├── Readme.md │ ├── clean.bat │ └── rtl │ │ ├── MyVision.sv │ │ ├── MyVision_top.v │ │ ├── build_id.tcl │ │ ├── cpu_z80.v │ │ ├── pll.qip │ │ ├── pll.v │ │ ├── spram.vhd │ │ └── vdp18v │ │ ├── constants.vh │ │ ├── vdp18_addr_mux.sv │ │ ├── vdp18_clk_gen.sv │ │ ├── vdp18_col_mux.sv │ │ ├── vdp18_core.sv │ │ ├── vdp18_cpuio.sv │ │ ├── vdp18_ctrl.sv │ │ ├── vdp18_hor_vert.sv │ │ ├── vdp18_pattern.sv │ │ ├── vdp18_pkg.sv │ │ └── vdp18_sprite.sv └── Supervision_MiST │ ├── Readme.md │ ├── Supervision_MiST.qpf │ ├── Supervision_MiST.qsf │ ├── Supervision_MiST.sdc │ ├── clean.bat │ └── rtl │ ├── Supervision_MiST.sv │ ├── audio.sv │ ├── bram.vhd │ ├── build_id.tcl │ ├── dma.sv │ ├── lcd.sv │ ├── pll.qip │ ├── pll.vhd │ ├── sdram.sv │ └── sv_top.sv └── common ├── Amiga └── Amiga_Gary.sv ├── C128 └── pla_8721.sv ├── CPU ├── 6800 │ └── cpu68.vhd ├── 6803 │ ├── 6803.qip │ ├── MC6803_gen2.sv │ └── mc6801_core.sv ├── 8088 │ ├── 8088.qip │ ├── biu_max.v │ ├── biu_min.v │ ├── eu_rom.v │ ├── i8088.v │ ├── mcl86_eu_core.v │ └── microcode.mem ├── 68000 │ ├── FX68k │ │ ├── LICENSE │ │ ├── README.md │ │ ├── fx68k.qip │ │ ├── fx68k.sv │ │ ├── fx68k.txt │ │ ├── fx68k.vhd │ │ ├── fx68kAlu.sv │ │ ├── microrom.mem │ │ ├── nanorom.mem │ │ └── uaddrPla.sv │ ├── tg68 │ │ ├── TG68.vhd │ │ ├── TG68_fast.vhd │ │ ├── gpl.txt │ │ └── lgpl.txt │ ├── tg68k │ │ ├── TG68K.qip │ │ ├── TG68K.vhd │ │ ├── TG68K_ALU.vhd │ │ ├── TG68K_Pack.vhd │ │ └── TG68KdotC_Kernel.vhd │ ├── tg68last │ │ ├── README.md │ │ ├── TG68K.qip │ │ ├── TG68K.vhd │ │ ├── TG68K_ALU.vhd │ │ ├── TG68K_Pack.vhd │ │ └── TG68KdotC_Kernel.vhd │ └── wf_68k00_ip │ │ ├── 68K00_quirks-and-squirrels.txt │ │ ├── 68k00-release-notes.txt │ │ ├── pace_wf68k00ip_top_soc.vhd │ │ ├── wf68k00ip_address_registers.vhd │ │ ├── wf68k00ip_alu.vhd │ │ ├── wf68k00ip_bus_interface.vhd │ │ ├── wf68k00ip_control.vhd │ │ ├── wf68k00ip_data_registers.vhd │ │ ├── wf68k00ip_interrupt_controller.vhd │ │ ├── wf68k00ip_opcode_decoder.vhd │ │ ├── wf68k00ip_pkg.vhd │ │ ├── wf68k00ip_shifter.vhd │ │ ├── wf68k00ip_top.vhd │ │ └── wf68k00ip_top_soc.vhd ├── 6502_6510 │ ├── ALU.v │ ├── aholme_6502.v │ ├── arlet_6502.v │ ├── chip_6502.v │ ├── chip_6502_mux.v │ ├── cpu.v │ ├── cpu6502.vhd │ ├── cpu65xx_e.vhd │ └── cpu65xx_fast.vhd ├── 65C02 │ ├── core.vhd │ ├── fsm_execution_unit.vhd │ ├── fsm_intnmi.vhd │ ├── r65c02.qip │ ├── r65c02_tc.vhd │ ├── reg_pc.vhd │ ├── reg_sp.vhd │ └── regbank_axy.vhd ├── 68K10 │ ├── license │ │ ├── cern_ohl_v_1_2.odt │ │ ├── cern_ohl_v_1_2.pdf │ │ ├── cern_ohl_v_1_2.txt │ │ ├── cern_ohl_v_1_2_howto.odt │ │ └── cern_ohl_v_1_2_howto.pdf │ ├── wf68K10.sdc │ ├── wf68k10_address_registers.vhd │ ├── wf68k10_alu.vhd │ ├── wf68k10_bus_interface.vhd │ ├── wf68k10_control.vhd │ ├── wf68k10_data_registers.vhd │ ├── wf68k10_exception_handler.vhd │ ├── wf68k10_opcode_decoder.vhd │ ├── wf68k10_pkg.vhd │ └── wf68k10_top.vhd ├── 68K30L │ ├── license │ │ ├── cern_ohl_v_1_2.odt │ │ ├── cern_ohl_v_1_2.pdf │ │ ├── cern_ohl_v_1_2.txt │ │ ├── cern_ohl_v_1_2_howto.odt │ │ └── cern_ohl_v_1_2_howto.pdf │ ├── wf68K30L.sdc │ ├── wf68k30L_address_registers.vhd │ ├── wf68k30L_alu.vhd │ ├── wf68k30L_bus_interface.vhd │ ├── wf68k30L_control.vhd │ ├── wf68k30L_data_registers.vhd │ ├── wf68k30L_exception_handler.vhd │ ├── wf68k30L_opcode_decoder.vhd │ ├── wf68k30L_pkg.vhd │ └── wf68k30L_top.vhd ├── FZ80 │ ├── fz80.v │ └── fz80c.v ├── MC6809 │ ├── cpu09.vhd │ ├── cpu09l_128a.vhd │ ├── mc6809.qip │ ├── mc6809.v │ ├── mc6809e.v │ ├── mc6809i.v │ └── mc6809is.v ├── MC8051 │ ├── LGPL.TXT │ ├── addsub_core_.vhd │ ├── addsub_core_struc.vhd │ ├── addsub_core_struc_cfg.vhd │ ├── addsub_cy_.vhd │ ├── addsub_cy_rtl.vhd │ ├── addsub_cy_rtl_cfg.vhd │ ├── addsub_ovcy_.vhd │ ├── addsub_ovcy_rtl.vhd │ ├── addsub_ovcy_rtl_cfg.vhd │ ├── alucore_.vhd │ ├── alucore_rtl.vhd │ ├── alucore_rtl_cfg.vhd │ ├── alumux_.vhd │ ├── alumux_rtl.vhd │ ├── alumux_rtl_cfg.vhd │ ├── comb_divider_.vhd │ ├── comb_divider_rtl.vhd │ ├── comb_divider_rtl_cfg.vhd │ ├── comb_mltplr_.vhd │ ├── comb_mltplr_rtl.vhd │ ├── comb_mltplr_rtl_cfg.vhd │ ├── control_fsm_.vhd │ ├── control_fsm_rtl.vhd │ ├── control_fsm_rtl_cfg.vhd │ ├── control_mem_.vhd │ ├── control_mem_rtl.vhd │ ├── control_mem_rtl_cfg.vhd │ ├── dcml_adjust_.vhd │ ├── dcml_adjust_rtl.vhd │ ├── dcml_adjust_rtl_cfg.vhd │ ├── dummy_8051.v │ ├── mc8051.qip │ ├── mc8051_alu_.vhd │ ├── mc8051_alu_struc.vhd │ ├── mc8051_alu_struc_cfg.vhd │ ├── mc8051_control_.vhd │ ├── mc8051_control_struc.vhd │ ├── mc8051_control_struc_cfg.vhd │ ├── mc8051_core_.vhd │ ├── mc8051_core_struc.vhd │ ├── mc8051_core_struc_cfg.vhd │ ├── mc8051_p.vhd │ ├── mc8051_siu_.vhd │ ├── mc8051_siu_rtl.vhd │ ├── mc8051_siu_rtl_cfg.vhd │ ├── mc8051_tmrctr_.vhd │ ├── mc8051_tmrctr_rtl.vhd │ └── mc8051_tmrctr_rtl_cfg.vhd ├── NextZ80 │ ├── NextZ80.qip │ ├── NextZ80ALU.v │ ├── NextZ80CPU.v │ └── NextZ80Reg.v ├── T65 │ ├── README │ ├── T65.qip │ ├── T65.vhd │ ├── T65_ALU.vhd │ ├── T65_MCode.vhd │ └── T65_Pack.vhd ├── T80 │ ├── GBse.vhd │ ├── README │ ├── T16450.vhd │ ├── T80.qip │ ├── T80.vhd │ ├── T8080se.vhd │ ├── T80_ALU.vhd │ ├── T80_MCode.vhd │ ├── T80_Pack.vhd │ ├── T80_Reg.vhd │ ├── T80a.vhd │ ├── T80as.vhd │ ├── T80pa.vhd │ ├── T80s.vhd │ ├── T80se.vhd │ ├── T80sed.vhd │ └── Z80.vhd ├── bc6502 │ ├── BC6502.qip │ ├── addsub.v │ ├── bc6502.v │ └── license.html ├── cpu86 │ ├── a_table.vhd │ ├── alu_rtl.vhd │ ├── biu_struct.vhd │ ├── biufsm_fsm.vhd │ ├── cpu86.qip │ ├── cpu86_struct.vhd │ ├── cpu86instr.vhd │ ├── cpu86pack.vhd │ ├── d_table.vhd │ ├── datapath_struct.vhd │ ├── dataregfile_rtl.vhd │ ├── divider_rtl_ser.vhd │ ├── formatter_struct.vhd │ ├── ipregister_rtl.vhd │ ├── m_table.vhd │ ├── multiplier_rtl.vhd │ ├── n_table.vhd │ ├── proc_rtl.vhd │ ├── r_table.vhd │ ├── readme.txt │ ├── regshiftmux_regshift.vhd │ └── segregfile_rtl.vhd ├── mos8501.v ├── syntiac6502_6510 │ ├── cpu65xx.v │ ├── cpu65xx_e.vhd │ ├── cpu65xx_fast.vhd │ └── cpu_6510.vhd ├── t48 │ ├── COPYING │ ├── README │ ├── T48.qip │ ├── alu-c.vhd │ ├── alu.vhd │ ├── alu_pack-p.vhd │ ├── bus_mux-c.vhd │ ├── bus_mux.vhd │ ├── clock_ctrl-c.vhd │ ├── clock_ctrl.vhd │ ├── cond_branch-c.vhd │ ├── cond_branch.vhd │ ├── cond_branch_pack-p.vhd │ ├── db_bus-c.vhd │ ├── db_bus.vhd │ ├── decoder-c.vhd │ ├── decoder.vhd │ ├── decoder_pack-p.vhd │ ├── dmem_ctrl-c.vhd │ ├── dmem_ctrl.vhd │ ├── dmem_ctrl_pack-p.vhd │ ├── i8039.qip │ ├── int-c.vhd │ ├── int.vhd │ ├── p1-c.vhd │ ├── p1.vhd │ ├── p2-c.vhd │ ├── p2.vhd │ ├── pmem_ctrl-c.vhd │ ├── pmem_ctrl.vhd │ ├── pmem_ctrl_pack-p.vhd │ ├── psw-c.vhd │ ├── psw.vhd │ ├── system │ │ ├── generic_ram_ena-c.vhd │ │ ├── generic_ram_ena.vhd │ │ ├── lpm_ram_dq.vhd │ │ ├── lpm_rom.vhd │ │ ├── t48_rom-e.vhd │ │ ├── t48_rom-struct-a.vhd │ │ ├── t48_rom-struct-c.vhd │ │ ├── t48_system_comp_pack-p.vhd │ │ ├── t49_rom-e.vhd │ │ ├── t49_rom-struct-a.vhd │ │ ├── t49_rom-struct-c.vhd │ │ ├── t8039-c.vhd │ │ ├── t8039.vhd │ │ ├── t8039_notri-c.vhd │ │ ├── t8039_notri.vhd │ │ ├── t8048-c.vhd │ │ ├── t8048.vhd │ │ ├── t8048_notri-c.vhd │ │ ├── t8048_notri.vhd │ │ ├── t8050_wb-c.vhd │ │ ├── t8050_wb.vhd │ │ ├── wb_master-c.vhd │ │ └── wb_master.vhd │ ├── t48_comp_pack-p.vhd │ ├── t48_core-c.vhd │ ├── t48_core.vhd │ ├── t48_core_comp_pack-p.vhd │ ├── t48_pack-p.vhd │ ├── t48_tb_pack-p.vhd │ ├── t8243 │ │ ├── t8243-c.vhd │ │ ├── t8243.vhd │ │ ├── t8243_async_notri-c.vhd │ │ ├── t8243_async_notri.vhd │ │ ├── t8243_comp_pack-p.vhd │ │ ├── t8243_core-c.vhd │ │ ├── t8243_core.vhd │ │ ├── t8243_sync_notri-c.vhd │ │ └── t8243_sync_notri.vhd │ ├── timer-c.vhd │ └── timer.vhd ├── tms9900 │ ├── TMS9900.qip │ ├── multiplier.v │ ├── scratchpad.vhd │ └── tms9900.vhd ├── tv80 │ ├── TV80.qip │ ├── tv80_alu.v │ ├── tv80_core.v │ ├── tv80_mcode.v │ ├── tv80_reg.v │ ├── tv80e.v │ ├── tv80n.v │ └── tv80s.v ├── v30 │ ├── V30.qip │ ├── bus_savestates.vhd │ ├── cpu.vhd │ ├── divider.vhd │ ├── export.vhd │ ├── reg_savestates.vhd │ └── registerpackage.vhd ├── vm80a │ └── vm80a.v ├── x86 │ ├── CMakeLists.txt │ ├── CSIPSync.sv │ ├── Core.sv │ ├── Divider.sv │ ├── Fifo.sv │ ├── Flags.sv │ ├── FlagsEnum.sv │ ├── IP.sv │ ├── ImmediateReader.sv │ ├── InsnDecoder.sv │ ├── Instruction.sv │ ├── InstructionDefinitions.sv.templ │ ├── JumpTest.sv │ ├── LoadStore.sv │ ├── LoopCounter.sv │ ├── MemArbiter.sv │ ├── ModRMDecode.sv │ ├── PosedgeToPulse.sv │ ├── Prefetch.sv │ ├── RegisterEnum.sv │ ├── RegisterFile.sv │ ├── SegmentOverride.sv │ ├── SegmentRegisterFile.sv │ ├── TempReg.sv │ ├── alu │ │ ├── ALU.sv │ │ ├── aaa.sv │ │ ├── aas.sv │ │ ├── adc.sv │ │ ├── add.sv │ │ ├── and.sv │ │ ├── bound.sv │ │ ├── daa.sv │ │ ├── das.sv │ │ ├── enter.sv │ │ ├── extend.sv │ │ ├── flags.sv │ │ ├── mul.sv │ │ ├── not.sv │ │ ├── or.sv │ │ ├── rcl.sv │ │ ├── rcr.sv │ │ ├── rol.sv │ │ ├── ror.sv │ │ ├── sar.sv │ │ ├── shift_flags.sv │ │ ├── shl.sv │ │ ├── shr.sv │ │ ├── sub.sv │ │ └── xor.sv │ ├── cdc │ │ ├── BitSync.sv │ │ ├── MCP.sv │ │ └── SyncPulse.sv │ └── microcode │ │ ├── CMakeLists.txt │ │ ├── Microcode.sv.templ │ │ ├── MicrocodeTypes.h.templ │ │ ├── MicrocodeTypes.sv.templ │ │ ├── aaa.us │ │ ├── aad.us │ │ ├── aas.us │ │ ├── adc.us │ │ ├── add.us │ │ ├── and.us │ │ ├── arithmetic.us │ │ ├── bound.us │ │ ├── call.us │ │ ├── cmp.us │ │ ├── cmps.us │ │ ├── comparison.us │ │ ├── daa.us │ │ ├── das.us │ │ ├── debug.us │ │ ├── div.us │ │ ├── enter.us │ │ ├── esc.us │ │ ├── extend.us │ │ ├── flags.us │ │ ├── hlt.us │ │ ├── inc.us │ │ ├── int.us │ │ ├── io.us │ │ ├── jmp.us │ │ ├── lds.us │ │ ├── lea.us │ │ ├── leave.us │ │ ├── les.us │ │ ├── lods.us │ │ ├── loop.us │ │ ├── microcode.mif.templ │ │ ├── microcode.us │ │ ├── mov.us │ │ ├── movs.us │ │ ├── mul.us │ │ ├── neg.us │ │ ├── not.us │ │ ├── or.us │ │ ├── pop.us │ │ ├── push.us │ │ ├── rcl.us │ │ ├── rcr.us │ │ ├── ret.us │ │ ├── rol.us │ │ ├── ror.us │ │ ├── sar.us │ │ ├── sbb.us │ │ ├── scas.us │ │ ├── shift.us │ │ ├── shl.us │ │ ├── shr.us │ │ ├── stos.us │ │ ├── sub.us │ │ ├── test.us │ │ ├── wait.us │ │ ├── xchg.us │ │ ├── xlat.us │ │ └── xor.us └── z180 │ ├── alu_log.v │ ├── alu_math.v │ ├── alu_shft.v │ ├── aluamux.v │ ├── alubmux.v │ ├── aluout.v │ ├── control.v │ ├── datapath.v │ ├── defines.v │ ├── extint.v │ ├── hierarchy.v │ ├── machine.v │ ├── top_levl.v │ ├── version.v │ └── y80_top.v ├── Cores-master.zip ├── IO ├── A6532.vhd ├── PIA8255.v ├── R6532.vhd ├── Z80CTC │ ├── ctc_controler.vhd │ ├── ctc_counter.vhd │ ├── z80ctc.qip │ └── z80ctc_top.vhd ├── cia6526.vhd ├── i8035ip.v ├── i8253.v ├── i8253.vhd ├── i8255.vhd ├── i82c55.vhd ├── k580vi53.v ├── m6522.vhd ├── m6522a.vhd ├── mos6529.v ├── pia6520.v ├── pia6821.vhd ├── pia8255.vhd ├── pit8254.v └── via6522.v ├── Memory ├── dpram.vhd ├── spram.vhd └── sprom.vhd ├── Nibbler.exe ├── Sound ├── JT12 │ ├── LICENSE │ ├── README.md │ └── hdl │ │ ├── adpcm │ │ ├── gen_lingain.py │ │ ├── jt10_adpcm.v │ │ ├── jt10_adpcm_acc.v │ │ ├── jt10_adpcm_cnt.v │ │ ├── jt10_adpcm_comb.v │ │ ├── jt10_adpcm_dbrom.v │ │ ├── jt10_adpcm_div.v │ │ ├── jt10_adpcm_drvA.v │ │ ├── jt10_adpcm_drvB.v │ │ ├── jt10_adpcm_dt.v │ │ ├── jt10_adpcm_gain.v │ │ ├── jt10_adpcma_lut.v │ │ ├── jt10_adpcmb.v │ │ ├── jt10_adpcmb_cnt.v │ │ ├── jt10_adpcmb_gain.v │ │ ├── jt10_adpcmb_interpol.v │ │ └── jt10_cen_burst.v │ │ ├── alt │ │ ├── eg_cnt.v │ │ ├── eg_comb.v │ │ ├── eg_mux.v │ │ ├── eg_step.v │ │ └── eg_step_ram.v │ │ ├── dac │ │ ├── jt12_dac.v │ │ └── jt12_dac2.v │ │ ├── deprecated │ │ ├── jt12_amp.v │ │ ├── jt12_mod24.v │ │ ├── jt12_mod6.v │ │ └── jt12_opram.v │ │ ├── jt03.qip │ │ ├── jt03.v │ │ ├── jt03_acc.v │ │ ├── jt03_fm.qip │ │ ├── jt10.qip │ │ ├── jt10.v │ │ ├── jt10_acc.v │ │ ├── jt12.qip │ │ ├── jt12.v │ │ ├── jt12.vhd │ │ ├── jt12_acc.v │ │ ├── jt12_csr.v │ │ ├── jt12_div.v │ │ ├── jt12_dout.v │ │ ├── jt12_eg.v │ │ ├── jt12_eg_cnt.v │ │ ├── jt12_eg_comb.v │ │ ├── jt12_eg_ctrl.v │ │ ├── jt12_eg_final.v │ │ ├── jt12_eg_pure.v │ │ ├── jt12_eg_step.v │ │ ├── jt12_exprom.v │ │ ├── jt12_kon.v │ │ ├── jt12_lfo.v │ │ ├── jt12_logsin.v │ │ ├── jt12_mmr.v │ │ ├── jt12_mmr_sim.vh │ │ ├── jt12_mod.v │ │ ├── jt12_op.v │ │ ├── jt12_pcm.v │ │ ├── jt12_pcm_interpol.v │ │ ├── jt12_pg.v │ │ ├── jt12_pg_comb.v │ │ ├── jt12_pg_dt.v │ │ ├── jt12_pg_inc.v │ │ ├── jt12_pg_sum.v │ │ ├── jt12_pm.v │ │ ├── jt12_reg.v │ │ ├── jt12_rst.v │ │ ├── jt12_sh.v │ │ ├── jt12_sh24.v │ │ ├── jt12_sh_rst.v │ │ ├── jt12_single_acc.v │ │ ├── jt12_sumch.v │ │ ├── jt12_timers.v │ │ ├── jt12_top.v │ │ └── mixer │ │ ├── jt12_comb.v │ │ ├── jt12_decim.v │ │ ├── jt12_fm_uprate.v │ │ ├── jt12_genmix.v │ │ ├── jt12_interpol.v │ │ └── jt12_mixer.v ├── JT49 │ ├── LICENSE │ ├── README.md │ ├── filter │ │ ├── firjt49.hex │ │ ├── jt49_dcrm.v │ │ ├── jt49_dcrm2.v │ │ ├── jt49_dly.v │ │ ├── jt49_filters.qip │ │ ├── jt49_mave.v │ │ ├── jtframe_dcrm.v │ │ ├── jtframe_fir.v │ │ └── jtframe_jt49_filters.v │ ├── jt49.qip │ ├── jt49.v │ ├── jt49_bus.v │ ├── jt49_cen.v │ ├── jt49_div.v │ ├── jt49_eg.v │ ├── jt49_exp.v │ └── jt49_noise.v ├── Pokey │ ├── Pokey.qip │ ├── complete_address_decoder.vhdl │ ├── delay_line.vhdl │ ├── latch_delay_line.vhdl │ ├── pokey.vhdl │ ├── pokey_countdown_timer.vhdl │ ├── pokey_keyboard_scanner.vhdl │ ├── pokey_noise_filter.vhdl │ ├── pokey_poly_17_9.vhdl │ ├── pokey_poly_4.vhdl │ ├── pokey_poly_5.vhdl │ ├── synchronizer.vhdl │ └── syncreset_enable_divider.vhdl ├── Speech256-master.zip ├── diskimage_by_byte.vhd ├── jt51 │ ├── LICENSE │ ├── README.md │ ├── deprecated │ │ └── jt51_sh2.v │ ├── filter │ │ ├── jt51_dac2.v │ │ ├── jt51_fir.v │ │ ├── jt51_fir4.v │ │ ├── jt51_fir8.v │ │ ├── jt51_fir_ram.v │ │ ├── jt51_interpol.v │ │ └── jt51_sincf.v │ ├── jt51.qip │ ├── jt51.v │ ├── jt51_acc.v │ ├── jt51_csr_ch.v │ ├── jt51_csr_op.v │ ├── jt51_eg.v │ ├── jt51_exp2lin.v │ ├── jt51_exprom.v │ ├── jt51_kon.v │ ├── jt51_lfo.v │ ├── jt51_lfo_lfsr.v │ ├── jt51_lin2exp.v │ ├── jt51_mmr.v │ ├── jt51_mod.v │ ├── jt51_noise.v │ ├── jt51_noise_lfsr.v │ ├── jt51_op.v │ ├── jt51_pg.v │ ├── jt51_phinc_rom.v │ ├── jt51_phrom.v │ ├── jt51_pm.v │ ├── jt51_reg.v │ ├── jt51_sh.v │ └── jt51_timers.v ├── jt5205 │ ├── LICENSE │ ├── README.md │ ├── jt5205.qip │ ├── jt5205.v │ ├── jt5205_adpcm.v │ ├── jt5205_interpol2x.v │ └── jt5205_timing.v ├── jt7759 │ ├── LICENSE │ ├── README.md │ ├── jt7759.qip │ ├── jt7759.v │ ├── jt7759_adpcm.v │ ├── jt7759_ctrl.v │ ├── jt7759_data.v │ └── jt7759_div.v ├── jt89 │ ├── jt89.qip │ ├── jt89.v │ ├── jt89.vhd │ ├── jt89_mixer.v │ ├── jt89_noise.v │ ├── jt89_sms.qip │ ├── jt89_sms.v │ ├── jt89_sms.vhd │ ├── jt89_tone.v │ ├── jt89_verilog.qip │ ├── jt89_vol.v │ └── mixer │ │ ├── jt12_comb.v │ │ ├── jt12_dac2.v │ │ └── jt12_interpol.v ├── jtopl │ ├── LICENSE │ ├── README.md │ ├── common.qip │ ├── jt2413.qip │ ├── jt2413.v │ ├── jt26.qip │ ├── jtopl.v │ ├── jtopl2.f │ ├── jtopl2.qip │ ├── jtopl2.v │ ├── jtopl_acc.v │ ├── jtopl_csr.v │ ├── jtopl_div.v │ ├── jtopl_eg.v │ ├── jtopl_eg_cnt.v │ ├── jtopl_eg_comb.v │ ├── jtopl_eg_ctrl.v │ ├── jtopl_eg_final.v │ ├── jtopl_eg_pure.v │ ├── jtopl_eg_step.v │ ├── jtopl_exprom.v │ ├── jtopl_lfo.v │ ├── jtopl_logsin.v │ ├── jtopl_mmr.v │ ├── jtopl_noise.v │ ├── jtopl_op.v │ ├── jtopl_pg.v │ ├── jtopl_pg_comb.v │ ├── jtopl_pg_inc.v │ ├── jtopl_pg_rhy.v │ ├── jtopl_pg_sum.v │ ├── jtopl_pm.v │ ├── jtopl_reg.v │ ├── jtopl_reg_ch.v │ ├── jtopl_sh.v │ ├── jtopl_sh_rst.v │ ├── jtopl_single_acc.v │ ├── jtopl_slot_cnt.v │ ├── jtopl_timers.v │ ├── jtopll.qip │ ├── jtopll_mmr.v │ └── jtopll_reg.v ├── opl3 │ ├── NextZ80ALU.v │ ├── NextZ80CPU.v │ ├── NextZ80Reg.v │ ├── compressor.sv │ ├── exp_tab.mem │ ├── fw │ │ ├── OPL3.COM │ │ ├── OPL3.PRJ │ │ ├── TEST.H │ │ ├── YMF262.C │ │ ├── YMF262.H │ │ ├── bin2mem.c │ │ ├── bin2mem.exe │ │ └── clean.bat │ ├── opl3.qip │ ├── opl3.sv │ ├── opl3fm.sv │ ├── opl3prg.mem │ ├── opl3seq.sv │ └── sin_tab_full.mem ├── sn76489 │ ├── COPYING │ ├── README │ ├── sn76489.qip │ ├── sn76489_attenuator.vhd │ ├── sn76489_clock_div.vhd │ ├── sn76489_latch_ctrl.vhd │ ├── sn76489_noise.vhd │ ├── sn76489_tone.vhd │ └── sn76489_top.vhd ├── wave_sound.sv ├── ym2149.sv └── ym2149 │ ├── YM2149.vhd │ └── vol_table_array.vhd ├── TTL ├── 74138.v ├── 74669.v ├── CPLD_74LS245.vhd ├── TTL74LS138.vhd ├── TTL74LS139.vhd ├── TTL74LS245.sv ├── TTL74LS373.sv ├── ls00.v ├── ls00.vhd ├── ls02.v ├── ls04.vhd ├── ls08.v ├── ls08.vhd ├── ls10.v ├── ls139.v ├── ls139.vhd ├── ls139_tb.v ├── ls153.v ├── ls153_tb.v ├── ls155.vhd ├── ls157.v ├── ls157.vhd ├── ls158.v ├── ls161.vhd ├── ls17.vhd ├── ls174.v ├── ls174.vhd ├── ls175.v ├── ls20.vhd ├── ls244.vhd ├── ls245.v ├── ls245.vhd ├── ls257.v ├── ls257.vhd ├── ls27.vhd ├── ls273.v ├── ls273.vhd ├── ls283.vhd ├── ls32.v ├── ls32.vhd ├── ls367.vhd ├── ls373.vhd ├── ls374.v ├── ls374.vhd ├── ls393.vhd ├── ls42.v ├── ls669.vhd ├── ls74.v ├── ls74.vhd ├── ls85.v ├── ls86.vhd ├── multi74123.vhd ├── ttl_175.vhd ├── ttl_393.vhd ├── ttl_74LS138.vhd ├── v74161.vhd └── v74161_16bit.vhd ├── Video ├── CHAR_GEN.v ├── CHAR_GEN_ROM.v ├── Crtc6845_VHDL.zip ├── PIXEL_DISPLAY.v ├── PIXEL_GEN.v ├── SVGA_DEFINES.v ├── SVGA_TIMING_GENERATION.v ├── VIDEO_OUT.v ├── ay_3_8500NTSC.v ├── crtc6845s.v ├── cursor.vhd ├── i8244 │ ├── i8244_charset_rom-c.vhd │ ├── i8244_charset_rom.vhd │ ├── i8244_col_mux-c.vhd │ ├── i8244_col_mux.vhd │ ├── i8244_col_pack-p.vhd │ ├── i8244_comp_pack-p.vhd │ ├── i8244_core-c.vhd │ ├── i8244_core.vhd │ ├── i8244_core_comp_pack-p.vhd │ ├── i8244_cpuio-c.vhd │ ├── i8244_cpuio.vhd │ ├── i8244_grid-c.vhd │ ├── i8244_grid.vhd │ ├── i8244_grid_pack-p.vhd │ ├── i8244_major-c.vhd │ ├── i8244_major.vhd │ ├── i8244_major_obj-c.vhd │ ├── i8244_major_obj.vhd │ ├── i8244_major_pack-p.vhd │ ├── i8244_major_quad_obj-c.vhd │ ├── i8244_major_quad_obj.vhd │ ├── i8244_minor-c.vhd │ ├── i8244_minor.vhd │ ├── i8244_minor_pack-p.vhd │ ├── i8244_pack-p.vhd │ ├── i8244_sound-c.vhd │ ├── i8244_sound.vhd │ ├── i8244_sound_pack-p.vhd │ ├── i8244_sync_gen-c.vhd │ ├── i8244_sync_gen.vhd │ ├── i8244_top_sync-c.vhd │ └── i8244_top_sync.vhd ├── mc6847.vhd ├── mc6847_vga.v ├── mc6883.vhd ├── oc_crtc6845.vhd ├── saa505x.vhd └── vdp18 │ ├── README │ ├── vdp18_addr_mux.vhd │ ├── vdp18_clk_gen.vhd │ ├── vdp18_col_mux.vhd │ ├── vdp18_col_pack-p.vhd │ ├── vdp18_comp_pack-p.vhd │ ├── vdp18_core.vhd │ ├── vdp18_core_comp_pack-p.vhd │ ├── vdp18_cpuio.vhd │ ├── vdp18_ctrl.vhd │ ├── vdp18_hor_vert.vhd │ ├── vdp18_pack-p.vhd │ ├── vdp18_pattern.vhd │ └── vdp18_sprite.vhd ├── make_vhdl_prom.exe ├── mist ├── .gitignore ├── README.md ├── arcade_inputs.v ├── cdda_fifo.v ├── cofi.sv ├── dac.vhd ├── data_io.v ├── i2c_master.v ├── i2s.v ├── ide.v ├── ide_fifo.v ├── mist.qip ├── mist.vhd ├── mist_core.qip ├── mist_video.v ├── osd.v ├── rgb2ypbpr.v ├── scandoubler.v ├── sd_card.v ├── spdif.v ├── user_io.v └── video_cleaner.v ├── mra.exe └── swap.exe /.gitattributes: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/.gitattributes -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/.gitignore -------------------------------------------------------------------------------- /Arcade_MiST/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/.gitignore -------------------------------------------------------------------------------- /Arcade_MiST/ArcadeMenu_MIST/ReadMe.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/ArcadeMenu_MIST/ReadMe.md -------------------------------------------------------------------------------- /Arcade_MiST/ArcadeMenu_MIST/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/ArcadeMenu_MIST/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/ArcadeMenu_MIST/menu.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/ArcadeMenu_MIST/menu.qpf -------------------------------------------------------------------------------- /Arcade_MiST/ArcadeMenu_MIST/menu.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/ArcadeMenu_MIST/menu.qsf -------------------------------------------------------------------------------- /Arcade_MiST/ArcadeMenu_MIST/menu.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/ArcadeMenu_MIST/menu.sdc -------------------------------------------------------------------------------- /Arcade_MiST/ArcadeMenu_MIST/rtl/cos.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/ArcadeMenu_MIST/rtl/cos.sv -------------------------------------------------------------------------------- /Arcade_MiST/ArcadeMenu_MIST/rtl/lfsr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/ArcadeMenu_MIST/rtl/lfsr.v -------------------------------------------------------------------------------- /Arcade_MiST/ArcadeMenu_MIST/rtl/menu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/ArcadeMenu_MIST/rtl/menu.sv -------------------------------------------------------------------------------- /Arcade_MiST/ArcadeMenu_MIST/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/ArcadeMenu_MIST/rtl/pll.v -------------------------------------------------------------------------------- /Arcade_MiST/ArcadeMenu_MIST/rtl/sram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/ArcadeMenu_MIST/rtl/sram.sv -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/LICENSE -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/README.txt -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/Tetris.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/Tetris.qpf -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/Tetris.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/Tetris.qsf -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/Tetris.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/Tetris.sdc -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/rtl/dpram.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/rtl/dpram.vhd -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/rtl/hvgen.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/rtl/hvgen.v -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/rtl/nvinit.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/rtl/nvinit.hex -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/rtl/nvinit.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/rtl/nvinit.mif -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/rtl/sdram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/rtl/sdram.sv -------------------------------------------------------------------------------- /Arcade_MiST/Atari Tetris/rtl/spram.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Atari Tetris/rtl/spram.vhd -------------------------------------------------------------------------------- /Arcade_MiST/Atari Vector/Gravitar_MiST/gravitar.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Arcade_MiST/Atari Vector/LunarLander_MiST/README.txt: -------------------------------------------------------------------------------- 1 | Lunar Lander for MiST 2 | 3 | VGA only 4 | -------------------------------------------------------------------------------- /Arcade_MiST/Bagman Hardware/Bagman.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Bagman Hardware/Bagman.qpf -------------------------------------------------------------------------------- /Arcade_MiST/Bagman Hardware/Bagman.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Bagman Hardware/Bagman.qsf -------------------------------------------------------------------------------- /Arcade_MiST/Bagman Hardware/Bagman.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Bagman Hardware/Bagman.sdc -------------------------------------------------------------------------------- /Arcade_MiST/Bagman Hardware/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Bagman Hardware/README.txt -------------------------------------------------------------------------------- /Arcade_MiST/Bagman Hardware/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Bagman Hardware/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Bagman Hardware/rtl/pll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Bagman Hardware/rtl/pll.qip -------------------------------------------------------------------------------- /Arcade_MiST/Bagman Hardware/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Bagman Hardware/rtl/pll.v -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/README.txt -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/Sonson.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/Sonson.qpf -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/Sonson.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/Sonson.qsf -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/Sonson.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/Sonson.sdc -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/rtl/dpram.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/rtl/dpram.vhd -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/rtl/pace.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/rtl/pace.vhd -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/rtl/pll.v -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/rtl/sdram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/rtl/sdram.sv -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/rtl/spram.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/rtl/spram.vhd -------------------------------------------------------------------------------- /Arcade_MiST/Capcom SonSon/rtl/sprom.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Capcom SonSon/rtl/sprom.vhd -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/Qbert.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/Qbert.qpf -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/Qbert.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/Qbert.qsf -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/Qbert.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/Qbert.sdc -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/Readme.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/Readme.md -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/rtl/dpram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/rtl/dpram.v -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/rtl/m6532.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/rtl/m6532.sv -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/rtl/pll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/rtl/pll.qip -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/rtl/pll.v -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/rtl/ram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/rtl/ram.v -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/rtl/sc01.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/rtl/sc01.v -------------------------------------------------------------------------------- /Arcade_MiST/Gottlieb Qbert/rtl/sdram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Gottlieb Qbert/rtl/sdram.sv -------------------------------------------------------------------------------- /Arcade_MiST/IremM52 Hardware/ReadMe.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/IremM52 Hardware/ReadMe.txt -------------------------------------------------------------------------------- /Arcade_MiST/IremM62 Hardware/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/IremM62 Hardware/README.txt -------------------------------------------------------------------------------- /Arcade_MiST/IremM62 Hardware/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/IremM62 Hardware/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/IremM72 Hardware/Readme.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/IremM72 Hardware/Readme.md -------------------------------------------------------------------------------- /Arcade_MiST/IremM72 Hardware/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/IremM72 Hardware/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/IremM72 Hardware/rtl/m72.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/IremM72 Hardware/rtl/m72.sv -------------------------------------------------------------------------------- /Arcade_MiST/IremM72 Hardware/rtl/mcu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/IremM72 Hardware/rtl/mcu.sv -------------------------------------------------------------------------------- /Arcade_MiST/IremM72 Hardware/rtl/pal.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/IremM72 Hardware/rtl/pal.sv -------------------------------------------------------------------------------- /Arcade_MiST/IremM72 Hardware/rtl/rom.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/IremM72 Hardware/rtl/rom.sv -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/MrJong.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/MrJong.qpf -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/MrJong.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/MrJong.qsf -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/MrJong.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/MrJong.sdc -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/Readme.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/Readme.md -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/rtl/audio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/rtl/audio.v -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/rtl/core.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/rtl/core.v -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/rtl/dpram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/rtl/dpram.v -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/rtl/hvgen.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/rtl/hvgen.v -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/rtl/mcpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/rtl/mcpu.v -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/rtl/pll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/rtl/pll.qip -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/rtl/pll.v -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/rtl/ram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/rtl/ram.v -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/rtl/vdata.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/rtl/vdata.v -------------------------------------------------------------------------------- /Arcade_MiST/Kiwako MrJong/rtl/video.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Kiwako MrJong/rtl/video.v -------------------------------------------------------------------------------- /Arcade_MiST/Konami Gyruss/Gyruss.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Gyruss/Gyruss.qpf -------------------------------------------------------------------------------- /Arcade_MiST/Konami Gyruss/Gyruss.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Gyruss/Gyruss.qsf -------------------------------------------------------------------------------- /Arcade_MiST/Konami Gyruss/Gyruss.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Gyruss/Gyruss.sdc -------------------------------------------------------------------------------- /Arcade_MiST/Konami Gyruss/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Gyruss/README.md -------------------------------------------------------------------------------- /Arcade_MiST/Konami Gyruss/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Gyruss/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Konami Gyruss/rtl/pll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Gyruss/rtl/pll.qip -------------------------------------------------------------------------------- /Arcade_MiST/Konami Gyruss/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Gyruss/rtl/pll.v -------------------------------------------------------------------------------- /Arcade_MiST/Konami Jackal/Jackal.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Jackal/Jackal.qpf -------------------------------------------------------------------------------- /Arcade_MiST/Konami Jackal/Jackal.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Jackal/Jackal.qsf -------------------------------------------------------------------------------- /Arcade_MiST/Konami Jackal/Jackal.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Jackal/Jackal.sdc -------------------------------------------------------------------------------- /Arcade_MiST/Konami Jackal/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Jackal/README.md -------------------------------------------------------------------------------- /Arcade_MiST/Konami Jackal/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Jackal/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Konami Jackal/rtl/pause.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Jackal/rtl/pause.v -------------------------------------------------------------------------------- /Arcade_MiST/Konami Jackal/rtl/pll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Jackal/rtl/pll.qip -------------------------------------------------------------------------------- /Arcade_MiST/Konami Jackal/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Jackal/rtl/pll.v -------------------------------------------------------------------------------- /Arcade_MiST/Konami Pooyan/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Pooyan/README.txt -------------------------------------------------------------------------------- /Arcade_MiST/Konami Pooyan/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Pooyan/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Konami Pooyan/rtl/pll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Pooyan/rtl/pll.qip -------------------------------------------------------------------------------- /Arcade_MiST/Konami Pooyan/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Konami Pooyan/rtl/pll.v -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 1/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 1/README.txt -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 1/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 1/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 1/mcr1.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 1/mcr1.qpf -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 1/mcr1.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 1/mcr1.qsf -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 1/mcr1.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 1/mcr1.sdc -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 1/rtl/kick.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 1/rtl/kick.vhd -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 1/rtl/sdram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 1/rtl/sdram.sv -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 3/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 3/README.txt -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 3/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 3/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 3/mcr3.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 3/mcr3.qpf -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 3/mcr3.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 3/mcr3.qsf -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 3/mcr3.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 3/mcr3.sdc -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 3/rtl/mcr3.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 3/rtl/mcr3.vhd -------------------------------------------------------------------------------- /Arcade_MiST/Midway MCR 3/rtl/sdram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Midway MCR 3/rtl/sdram.sv -------------------------------------------------------------------------------- /Arcade_MiST/Namco Galaga Hardware/ReadMe.txt: -------------------------------------------------------------------------------- 1 | Games that should work on this Hardware 2 | 3 | Bosconian -------------------------------------------------------------------------------- /Arcade_MiST/Taito Arkanoid/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Taito Arkanoid/README.txt -------------------------------------------------------------------------------- /Arcade_MiST/Taito Arkanoid/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Taito Arkanoid/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Taito Arkanoid/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Taito Arkanoid/rtl/pll.v -------------------------------------------------------------------------------- /Arcade_MiST/Tehkan Bombjack/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Tehkan Bombjack/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Tehkan Bombjack/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Tehkan Bombjack/rtl/pll.v -------------------------------------------------------------------------------- /Arcade_MiST/Toaplan v1 Hardware/.gitignore: -------------------------------------------------------------------------------- 1 | /mister -------------------------------------------------------------------------------- /Arcade_MiST/Universal MrDo/MrDo.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Universal MrDo/MrDo.qpf -------------------------------------------------------------------------------- /Arcade_MiST/Universal MrDo/MrDo.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Universal MrDo/MrDo.qsf -------------------------------------------------------------------------------- /Arcade_MiST/Universal MrDo/MrDo.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Universal MrDo/MrDo.sdc -------------------------------------------------------------------------------- /Arcade_MiST/Universal MrDo/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Universal MrDo/clean.bat -------------------------------------------------------------------------------- /Arcade_MiST/Universal MrDo/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Arcade_MiST/Universal MrDo/rtl/pll.v -------------------------------------------------------------------------------- /Computer_MiST/Interact_MiST/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/Interact_MiST/LICENSE -------------------------------------------------------------------------------- /Computer_MiST/Interact_MiST/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/Interact_MiST/README.md -------------------------------------------------------------------------------- /Computer_MiST/Interact_MiST/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/Interact_MiST/clean.bat -------------------------------------------------------------------------------- /Computer_MiST/Interact_MiST/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/Interact_MiST/rtl/pll.v -------------------------------------------------------------------------------- /Computer_MiST/ORAO_MiST/Orao_MiST.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/ORAO_MiST/Orao_MiST.qpf -------------------------------------------------------------------------------- /Computer_MiST/ORAO_MiST/Orao_MiST.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/ORAO_MiST/Orao_MiST.qsf -------------------------------------------------------------------------------- /Computer_MiST/ORAO_MiST/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/ORAO_MiST/clean.bat -------------------------------------------------------------------------------- /Computer_MiST/ORAO_MiST/rtl/dac.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/ORAO_MiST/rtl/dac.vhd -------------------------------------------------------------------------------- /Computer_MiST/ORAO_MiST/rtl/hq2x.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/ORAO_MiST/rtl/hq2x.sv -------------------------------------------------------------------------------- /Computer_MiST/ORAO_MiST/rtl/mist_io.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/ORAO_MiST/rtl/mist_io.v -------------------------------------------------------------------------------- /Computer_MiST/ORAO_MiST/rtl/orao.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/ORAO_MiST/rtl/orao.vhd -------------------------------------------------------------------------------- /Computer_MiST/ORAO_MiST/rtl/osd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/ORAO_MiST/rtl/osd.v -------------------------------------------------------------------------------- /Computer_MiST/ORAO_MiST/rtl/pll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/ORAO_MiST/rtl/pll.qip -------------------------------------------------------------------------------- /Computer_MiST/ORAO_MiST/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Computer_MiST/ORAO_MiST/rtl/pll.v -------------------------------------------------------------------------------- /Console_MiST/APF_TV_Fun/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/APF_TV_Fun/clean.bat -------------------------------------------------------------------------------- /Console_MiST/APF_TV_Fun/mister.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/APF_TV_Fun/mister.txt -------------------------------------------------------------------------------- /Console_MiST/APF_TV_Fun/rtl/pll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/APF_TV_Fun/rtl/pll.qip -------------------------------------------------------------------------------- /Console_MiST/APF_TV_Fun/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/APF_TV_Fun/rtl/pll.v -------------------------------------------------------------------------------- /Console_MiST/ChannelF_MiST/ReadMe.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/ChannelF_MiST/ReadMe.txt -------------------------------------------------------------------------------- /Console_MiST/ChannelF_MiST/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/ChannelF_MiST/clean.bat -------------------------------------------------------------------------------- /Console_MiST/ChannelF_MiST/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/ChannelF_MiST/rtl/pll.v -------------------------------------------------------------------------------- /Console_MiST/Gamate_MiST/Readme.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/Gamate_MiST/Readme.md -------------------------------------------------------------------------------- /Console_MiST/Gamate_MiST/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/Gamate_MiST/clean.bat -------------------------------------------------------------------------------- /Console_MiST/Gamate_MiST/rtl/bram.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/Gamate_MiST/rtl/bram.vhd -------------------------------------------------------------------------------- /Console_MiST/Gamate_MiST/rtl/lcd.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/Gamate_MiST/rtl/lcd.sv -------------------------------------------------------------------------------- /Console_MiST/Gamate_MiST/rtl/pll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/Gamate_MiST/rtl/pll.qip -------------------------------------------------------------------------------- /Console_MiST/Gamate_MiST/rtl/pll.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/Gamate_MiST/rtl/pll.vhd -------------------------------------------------------------------------------- /Console_MiST/Gamate_MiST/rtl/sdram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/Gamate_MiST/rtl/sdram.sv -------------------------------------------------------------------------------- /Console_MiST/MyVision/MyVision.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/MyVision/MyVision.qpf -------------------------------------------------------------------------------- /Console_MiST/MyVision/MyVision.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/MyVision/MyVision.qsf -------------------------------------------------------------------------------- /Console_MiST/MyVision/Readme.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/MyVision/Readme.md -------------------------------------------------------------------------------- /Console_MiST/MyVision/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/MyVision/clean.bat -------------------------------------------------------------------------------- /Console_MiST/MyVision/rtl/MyVision.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/MyVision/rtl/MyVision.sv -------------------------------------------------------------------------------- /Console_MiST/MyVision/rtl/cpu_z80.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/MyVision/rtl/cpu_z80.v -------------------------------------------------------------------------------- /Console_MiST/MyVision/rtl/pll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/MyVision/rtl/pll.qip -------------------------------------------------------------------------------- /Console_MiST/MyVision/rtl/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/MyVision/rtl/pll.v -------------------------------------------------------------------------------- /Console_MiST/MyVision/rtl/spram.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/Console_MiST/MyVision/rtl/spram.vhd -------------------------------------------------------------------------------- /common/Amiga/Amiga_Gary.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Amiga/Amiga_Gary.sv -------------------------------------------------------------------------------- /common/C128/pla_8721.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/C128/pla_8721.sv -------------------------------------------------------------------------------- /common/CPU/6502_6510/ALU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6502_6510/ALU.v -------------------------------------------------------------------------------- /common/CPU/6502_6510/aholme_6502.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6502_6510/aholme_6502.v -------------------------------------------------------------------------------- /common/CPU/6502_6510/arlet_6502.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6502_6510/arlet_6502.v -------------------------------------------------------------------------------- /common/CPU/6502_6510/chip_6502.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6502_6510/chip_6502.v -------------------------------------------------------------------------------- /common/CPU/6502_6510/chip_6502_mux.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6502_6510/chip_6502_mux.v -------------------------------------------------------------------------------- /common/CPU/6502_6510/cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6502_6510/cpu.v -------------------------------------------------------------------------------- /common/CPU/6502_6510/cpu6502.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6502_6510/cpu6502.vhd -------------------------------------------------------------------------------- /common/CPU/6502_6510/cpu65xx_e.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6502_6510/cpu65xx_e.vhd -------------------------------------------------------------------------------- /common/CPU/6502_6510/cpu65xx_fast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6502_6510/cpu65xx_fast.vhd -------------------------------------------------------------------------------- /common/CPU/65C02/core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/65C02/core.vhd -------------------------------------------------------------------------------- /common/CPU/65C02/fsm_intnmi.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/65C02/fsm_intnmi.vhd -------------------------------------------------------------------------------- /common/CPU/65C02/r65c02.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/65C02/r65c02.qip -------------------------------------------------------------------------------- /common/CPU/65C02/r65c02_tc.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/65C02/r65c02_tc.vhd -------------------------------------------------------------------------------- /common/CPU/65C02/reg_pc.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/65C02/reg_pc.vhd -------------------------------------------------------------------------------- /common/CPU/65C02/reg_sp.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/65C02/reg_sp.vhd -------------------------------------------------------------------------------- /common/CPU/65C02/regbank_axy.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/65C02/regbank_axy.vhd -------------------------------------------------------------------------------- /common/CPU/6800/cpu68.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6800/cpu68.vhd -------------------------------------------------------------------------------- /common/CPU/68000/FX68k/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/FX68k/LICENSE -------------------------------------------------------------------------------- /common/CPU/68000/FX68k/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/FX68k/README.md -------------------------------------------------------------------------------- /common/CPU/68000/FX68k/fx68k.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/FX68k/fx68k.qip -------------------------------------------------------------------------------- /common/CPU/68000/FX68k/fx68k.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/FX68k/fx68k.sv -------------------------------------------------------------------------------- /common/CPU/68000/FX68k/fx68k.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/FX68k/fx68k.txt -------------------------------------------------------------------------------- /common/CPU/68000/FX68k/fx68k.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/FX68k/fx68k.vhd -------------------------------------------------------------------------------- /common/CPU/68000/FX68k/fx68kAlu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/FX68k/fx68kAlu.sv -------------------------------------------------------------------------------- /common/CPU/68000/FX68k/microrom.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/FX68k/microrom.mem -------------------------------------------------------------------------------- /common/CPU/68000/FX68k/nanorom.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/FX68k/nanorom.mem -------------------------------------------------------------------------------- /common/CPU/68000/FX68k/uaddrPla.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/FX68k/uaddrPla.sv -------------------------------------------------------------------------------- /common/CPU/68000/tg68/TG68.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/tg68/TG68.vhd -------------------------------------------------------------------------------- /common/CPU/68000/tg68/TG68_fast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/tg68/TG68_fast.vhd -------------------------------------------------------------------------------- /common/CPU/68000/tg68/gpl.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/tg68/gpl.txt -------------------------------------------------------------------------------- /common/CPU/68000/tg68/lgpl.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/tg68/lgpl.txt -------------------------------------------------------------------------------- /common/CPU/68000/tg68k/TG68K.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/tg68k/TG68K.qip -------------------------------------------------------------------------------- /common/CPU/68000/tg68k/TG68K.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/tg68k/TG68K.vhd -------------------------------------------------------------------------------- /common/CPU/68000/tg68k/TG68K_ALU.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/tg68k/TG68K_ALU.vhd -------------------------------------------------------------------------------- /common/CPU/68000/tg68k/TG68K_Pack.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/tg68k/TG68K_Pack.vhd -------------------------------------------------------------------------------- /common/CPU/68000/tg68last/README.md: -------------------------------------------------------------------------------- 1 | # TG68K.C 2 | switchable 68K CPU-Core 3 | -------------------------------------------------------------------------------- /common/CPU/68000/tg68last/TG68K.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/tg68last/TG68K.qip -------------------------------------------------------------------------------- /common/CPU/68000/tg68last/TG68K.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68000/tg68last/TG68K.vhd -------------------------------------------------------------------------------- /common/CPU/6803/6803.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6803/6803.qip -------------------------------------------------------------------------------- /common/CPU/6803/MC6803_gen2.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6803/MC6803_gen2.sv -------------------------------------------------------------------------------- /common/CPU/6803/mc6801_core.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/6803/mc6801_core.sv -------------------------------------------------------------------------------- /common/CPU/68K10/wf68K10.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68K10/wf68K10.sdc -------------------------------------------------------------------------------- /common/CPU/68K10/wf68k10_alu.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68K10/wf68k10_alu.vhd -------------------------------------------------------------------------------- /common/CPU/68K10/wf68k10_control.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68K10/wf68k10_control.vhd -------------------------------------------------------------------------------- /common/CPU/68K10/wf68k10_pkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68K10/wf68k10_pkg.vhd -------------------------------------------------------------------------------- /common/CPU/68K10/wf68k10_top.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68K10/wf68k10_top.vhd -------------------------------------------------------------------------------- /common/CPU/68K30L/wf68K30L.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68K30L/wf68K30L.sdc -------------------------------------------------------------------------------- /common/CPU/68K30L/wf68k30L_alu.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68K30L/wf68k30L_alu.vhd -------------------------------------------------------------------------------- /common/CPU/68K30L/wf68k30L_pkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68K30L/wf68k30L_pkg.vhd -------------------------------------------------------------------------------- /common/CPU/68K30L/wf68k30L_top.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/68K30L/wf68k30L_top.vhd -------------------------------------------------------------------------------- /common/CPU/8088/8088.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/8088/8088.qip -------------------------------------------------------------------------------- /common/CPU/8088/biu_max.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/8088/biu_max.v -------------------------------------------------------------------------------- /common/CPU/8088/biu_min.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/8088/biu_min.v -------------------------------------------------------------------------------- /common/CPU/8088/eu_rom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/8088/eu_rom.v -------------------------------------------------------------------------------- /common/CPU/8088/i8088.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/8088/i8088.v -------------------------------------------------------------------------------- /common/CPU/8088/mcl86_eu_core.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/8088/mcl86_eu_core.v -------------------------------------------------------------------------------- /common/CPU/8088/microcode.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/8088/microcode.mem -------------------------------------------------------------------------------- /common/CPU/FZ80/fz80.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/FZ80/fz80.v -------------------------------------------------------------------------------- /common/CPU/FZ80/fz80c.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/FZ80/fz80c.v -------------------------------------------------------------------------------- /common/CPU/MC6809/cpu09.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC6809/cpu09.vhd -------------------------------------------------------------------------------- /common/CPU/MC6809/cpu09l_128a.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC6809/cpu09l_128a.vhd -------------------------------------------------------------------------------- /common/CPU/MC6809/mc6809.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC6809/mc6809.qip -------------------------------------------------------------------------------- /common/CPU/MC6809/mc6809.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC6809/mc6809.v -------------------------------------------------------------------------------- /common/CPU/MC6809/mc6809e.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC6809/mc6809e.v -------------------------------------------------------------------------------- /common/CPU/MC6809/mc6809i.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC6809/mc6809i.v -------------------------------------------------------------------------------- /common/CPU/MC6809/mc6809is.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC6809/mc6809is.v -------------------------------------------------------------------------------- /common/CPU/MC8051/LGPL.TXT: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/LGPL.TXT -------------------------------------------------------------------------------- /common/CPU/MC8051/addsub_core_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/addsub_core_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/addsub_cy_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/addsub_cy_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/addsub_cy_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/addsub_cy_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/addsub_ovcy_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/addsub_ovcy_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/addsub_ovcy_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/addsub_ovcy_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/alucore_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/alucore_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/alucore_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/alucore_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/alucore_rtl_cfg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/alucore_rtl_cfg.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/alumux_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/alumux_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/alumux_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/alumux_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/alumux_rtl_cfg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/alumux_rtl_cfg.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/comb_divider_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/comb_divider_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/comb_mltplr_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/comb_mltplr_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/comb_mltplr_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/comb_mltplr_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/control_fsm_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/control_fsm_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/control_fsm_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/control_fsm_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/control_mem_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/control_mem_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/control_mem_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/control_mem_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/dcml_adjust_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/dcml_adjust_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/dcml_adjust_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/dcml_adjust_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/dummy_8051.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/dummy_8051.v -------------------------------------------------------------------------------- /common/CPU/MC8051/mc8051.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/mc8051.qip -------------------------------------------------------------------------------- /common/CPU/MC8051/mc8051_alu_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/mc8051_alu_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/mc8051_control_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/mc8051_control_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/mc8051_core_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/mc8051_core_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/mc8051_p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/mc8051_p.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/mc8051_siu_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/mc8051_siu_.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/mc8051_siu_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/mc8051_siu_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/MC8051/mc8051_tmrctr_.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/MC8051/mc8051_tmrctr_.vhd -------------------------------------------------------------------------------- /common/CPU/NextZ80/NextZ80.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/NextZ80/NextZ80.qip -------------------------------------------------------------------------------- /common/CPU/NextZ80/NextZ80ALU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/NextZ80/NextZ80ALU.v -------------------------------------------------------------------------------- /common/CPU/NextZ80/NextZ80CPU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/NextZ80/NextZ80CPU.v -------------------------------------------------------------------------------- /common/CPU/NextZ80/NextZ80Reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/NextZ80/NextZ80Reg.v -------------------------------------------------------------------------------- /common/CPU/T65/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T65/README -------------------------------------------------------------------------------- /common/CPU/T65/T65.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T65/T65.qip -------------------------------------------------------------------------------- /common/CPU/T65/T65.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T65/T65.vhd -------------------------------------------------------------------------------- /common/CPU/T65/T65_ALU.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T65/T65_ALU.vhd -------------------------------------------------------------------------------- /common/CPU/T65/T65_MCode.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T65/T65_MCode.vhd -------------------------------------------------------------------------------- /common/CPU/T65/T65_Pack.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T65/T65_Pack.vhd -------------------------------------------------------------------------------- /common/CPU/T80/GBse.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/GBse.vhd -------------------------------------------------------------------------------- /common/CPU/T80/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/README -------------------------------------------------------------------------------- /common/CPU/T80/T16450.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T16450.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80.qip -------------------------------------------------------------------------------- /common/CPU/T80/T80.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T8080se.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T8080se.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80_ALU.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80_ALU.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80_MCode.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80_MCode.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80_Pack.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80_Pack.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80_Reg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80_Reg.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80a.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80a.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80as.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80as.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80pa.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80pa.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80s.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80s.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80se.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80se.vhd -------------------------------------------------------------------------------- /common/CPU/T80/T80sed.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/T80sed.vhd -------------------------------------------------------------------------------- /common/CPU/T80/Z80.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/T80/Z80.vhd -------------------------------------------------------------------------------- /common/CPU/bc6502/BC6502.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/bc6502/BC6502.qip -------------------------------------------------------------------------------- /common/CPU/bc6502/addsub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/bc6502/addsub.v -------------------------------------------------------------------------------- /common/CPU/bc6502/bc6502.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/bc6502/bc6502.v -------------------------------------------------------------------------------- /common/CPU/bc6502/license.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/bc6502/license.html -------------------------------------------------------------------------------- /common/CPU/cpu86/a_table.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/a_table.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/alu_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/alu_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/biu_struct.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/biu_struct.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/biufsm_fsm.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/biufsm_fsm.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/cpu86.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/cpu86.qip -------------------------------------------------------------------------------- /common/CPU/cpu86/cpu86_struct.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/cpu86_struct.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/cpu86instr.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/cpu86instr.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/cpu86pack.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/cpu86pack.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/d_table.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/d_table.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/datapath_struct.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/datapath_struct.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/dataregfile_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/dataregfile_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/divider_rtl_ser.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/divider_rtl_ser.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/formatter_struct.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/formatter_struct.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/ipregister_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/ipregister_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/m_table.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/m_table.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/multiplier_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/multiplier_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/n_table.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/n_table.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/proc_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/proc_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/r_table.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/r_table.vhd -------------------------------------------------------------------------------- /common/CPU/cpu86/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/readme.txt -------------------------------------------------------------------------------- /common/CPU/cpu86/segregfile_rtl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/cpu86/segregfile_rtl.vhd -------------------------------------------------------------------------------- /common/CPU/mos8501.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/mos8501.v -------------------------------------------------------------------------------- /common/CPU/syntiac6502_6510/cpu65xx.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/syntiac6502_6510/cpu65xx.v -------------------------------------------------------------------------------- /common/CPU/t48/COPYING: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/COPYING -------------------------------------------------------------------------------- /common/CPU/t48/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/README -------------------------------------------------------------------------------- /common/CPU/t48/T48.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/T48.qip -------------------------------------------------------------------------------- /common/CPU/t48/alu-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/alu-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/alu.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/alu.vhd -------------------------------------------------------------------------------- /common/CPU/t48/alu_pack-p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/alu_pack-p.vhd -------------------------------------------------------------------------------- /common/CPU/t48/bus_mux-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/bus_mux-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/bus_mux.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/bus_mux.vhd -------------------------------------------------------------------------------- /common/CPU/t48/clock_ctrl-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/clock_ctrl-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/clock_ctrl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/clock_ctrl.vhd -------------------------------------------------------------------------------- /common/CPU/t48/cond_branch-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/cond_branch-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/cond_branch.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/cond_branch.vhd -------------------------------------------------------------------------------- /common/CPU/t48/cond_branch_pack-p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/cond_branch_pack-p.vhd -------------------------------------------------------------------------------- /common/CPU/t48/db_bus-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/db_bus-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/db_bus.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/db_bus.vhd -------------------------------------------------------------------------------- /common/CPU/t48/decoder-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/decoder-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/decoder.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/decoder.vhd -------------------------------------------------------------------------------- /common/CPU/t48/decoder_pack-p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/decoder_pack-p.vhd -------------------------------------------------------------------------------- /common/CPU/t48/dmem_ctrl-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/dmem_ctrl-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/dmem_ctrl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/dmem_ctrl.vhd -------------------------------------------------------------------------------- /common/CPU/t48/dmem_ctrl_pack-p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/dmem_ctrl_pack-p.vhd -------------------------------------------------------------------------------- /common/CPU/t48/i8039.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/i8039.qip -------------------------------------------------------------------------------- /common/CPU/t48/int-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/int-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/int.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/int.vhd -------------------------------------------------------------------------------- /common/CPU/t48/p1-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/p1-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/p1.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/p1.vhd -------------------------------------------------------------------------------- /common/CPU/t48/p2-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/p2-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/p2.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/p2.vhd -------------------------------------------------------------------------------- /common/CPU/t48/pmem_ctrl-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/pmem_ctrl-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/pmem_ctrl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/pmem_ctrl.vhd -------------------------------------------------------------------------------- /common/CPU/t48/pmem_ctrl_pack-p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/pmem_ctrl_pack-p.vhd -------------------------------------------------------------------------------- /common/CPU/t48/psw-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/psw-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/psw.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/psw.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/lpm_ram_dq.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/lpm_ram_dq.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/lpm_rom.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/lpm_rom.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/t48_rom-e.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/t48_rom-e.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/t49_rom-e.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/t49_rom-e.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/t8039-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/t8039-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/t8039.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/t8039.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/t8039_notri.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/t8039_notri.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/t8048-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/t8048-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/t8048.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/t8048.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/t8048_notri.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/t8048_notri.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/t8050_wb-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/t8050_wb-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/t8050_wb.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/t8050_wb.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/wb_master-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/wb_master-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/system/wb_master.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/system/wb_master.vhd -------------------------------------------------------------------------------- /common/CPU/t48/t48_comp_pack-p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/t48_comp_pack-p.vhd -------------------------------------------------------------------------------- /common/CPU/t48/t48_core-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/t48_core-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/t48_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/t48_core.vhd -------------------------------------------------------------------------------- /common/CPU/t48/t48_pack-p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/t48_pack-p.vhd -------------------------------------------------------------------------------- /common/CPU/t48/t48_tb_pack-p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/t48_tb_pack-p.vhd -------------------------------------------------------------------------------- /common/CPU/t48/t8243/t8243-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/t8243/t8243-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/t8243/t8243.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/t8243/t8243.vhd -------------------------------------------------------------------------------- /common/CPU/t48/t8243/t8243_core-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/t8243/t8243_core-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/t8243/t8243_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/t8243/t8243_core.vhd -------------------------------------------------------------------------------- /common/CPU/t48/timer-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/timer-c.vhd -------------------------------------------------------------------------------- /common/CPU/t48/timer.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/t48/timer.vhd -------------------------------------------------------------------------------- /common/CPU/tms9900/TMS9900.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tms9900/TMS9900.qip -------------------------------------------------------------------------------- /common/CPU/tms9900/multiplier.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tms9900/multiplier.v -------------------------------------------------------------------------------- /common/CPU/tms9900/scratchpad.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tms9900/scratchpad.vhd -------------------------------------------------------------------------------- /common/CPU/tms9900/tms9900.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tms9900/tms9900.vhd -------------------------------------------------------------------------------- /common/CPU/tv80/TV80.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tv80/TV80.qip -------------------------------------------------------------------------------- /common/CPU/tv80/tv80_alu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tv80/tv80_alu.v -------------------------------------------------------------------------------- /common/CPU/tv80/tv80_core.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tv80/tv80_core.v -------------------------------------------------------------------------------- /common/CPU/tv80/tv80_mcode.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tv80/tv80_mcode.v -------------------------------------------------------------------------------- /common/CPU/tv80/tv80_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tv80/tv80_reg.v -------------------------------------------------------------------------------- /common/CPU/tv80/tv80e.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tv80/tv80e.v -------------------------------------------------------------------------------- /common/CPU/tv80/tv80n.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tv80/tv80n.v -------------------------------------------------------------------------------- /common/CPU/tv80/tv80s.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/tv80/tv80s.v -------------------------------------------------------------------------------- /common/CPU/v30/V30.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/v30/V30.qip -------------------------------------------------------------------------------- /common/CPU/v30/bus_savestates.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/v30/bus_savestates.vhd -------------------------------------------------------------------------------- /common/CPU/v30/cpu.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/v30/cpu.vhd -------------------------------------------------------------------------------- /common/CPU/v30/divider.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/v30/divider.vhd -------------------------------------------------------------------------------- /common/CPU/v30/export.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/v30/export.vhd -------------------------------------------------------------------------------- /common/CPU/v30/reg_savestates.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/v30/reg_savestates.vhd -------------------------------------------------------------------------------- /common/CPU/v30/registerpackage.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/v30/registerpackage.vhd -------------------------------------------------------------------------------- /common/CPU/vm80a/vm80a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/vm80a/vm80a.v -------------------------------------------------------------------------------- /common/CPU/x86/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/CMakeLists.txt -------------------------------------------------------------------------------- /common/CPU/x86/CSIPSync.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/CSIPSync.sv -------------------------------------------------------------------------------- /common/CPU/x86/Core.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/Core.sv -------------------------------------------------------------------------------- /common/CPU/x86/Divider.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/Divider.sv -------------------------------------------------------------------------------- /common/CPU/x86/Fifo.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/Fifo.sv -------------------------------------------------------------------------------- /common/CPU/x86/Flags.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/Flags.sv -------------------------------------------------------------------------------- /common/CPU/x86/FlagsEnum.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/FlagsEnum.sv -------------------------------------------------------------------------------- /common/CPU/x86/IP.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/IP.sv -------------------------------------------------------------------------------- /common/CPU/x86/ImmediateReader.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/ImmediateReader.sv -------------------------------------------------------------------------------- /common/CPU/x86/InsnDecoder.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/InsnDecoder.sv -------------------------------------------------------------------------------- /common/CPU/x86/Instruction.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/Instruction.sv -------------------------------------------------------------------------------- /common/CPU/x86/JumpTest.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/JumpTest.sv -------------------------------------------------------------------------------- /common/CPU/x86/LoadStore.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/LoadStore.sv -------------------------------------------------------------------------------- /common/CPU/x86/LoopCounter.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/LoopCounter.sv -------------------------------------------------------------------------------- /common/CPU/x86/MemArbiter.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/MemArbiter.sv -------------------------------------------------------------------------------- /common/CPU/x86/ModRMDecode.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/ModRMDecode.sv -------------------------------------------------------------------------------- /common/CPU/x86/PosedgeToPulse.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/PosedgeToPulse.sv -------------------------------------------------------------------------------- /common/CPU/x86/Prefetch.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/Prefetch.sv -------------------------------------------------------------------------------- /common/CPU/x86/RegisterEnum.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/RegisterEnum.sv -------------------------------------------------------------------------------- /common/CPU/x86/RegisterFile.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/RegisterFile.sv -------------------------------------------------------------------------------- /common/CPU/x86/SegmentOverride.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/SegmentOverride.sv -------------------------------------------------------------------------------- /common/CPU/x86/SegmentRegisterFile.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/SegmentRegisterFile.sv -------------------------------------------------------------------------------- /common/CPU/x86/TempReg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/TempReg.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/ALU.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/ALU.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/aaa.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/aaa.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/aas.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/aas.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/adc.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/adc.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/add.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/add.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/and.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/and.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/bound.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/bound.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/daa.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/daa.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/das.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/das.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/enter.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/enter.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/extend.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/extend.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/flags.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/flags.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/mul.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/mul.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/not.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/not.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/or.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/or.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/rcl.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/rcl.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/rcr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/rcr.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/rol.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/rol.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/ror.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/ror.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/sar.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/sar.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/shift_flags.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/shift_flags.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/shl.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/shl.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/shr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/shr.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/sub.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/sub.sv -------------------------------------------------------------------------------- /common/CPU/x86/alu/xor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/alu/xor.sv -------------------------------------------------------------------------------- /common/CPU/x86/cdc/BitSync.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/cdc/BitSync.sv -------------------------------------------------------------------------------- /common/CPU/x86/cdc/MCP.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/cdc/MCP.sv -------------------------------------------------------------------------------- /common/CPU/x86/cdc/SyncPulse.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/cdc/SyncPulse.sv -------------------------------------------------------------------------------- /common/CPU/x86/microcode/aaa.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/aaa.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/aad.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/aad.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/aas.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/aas.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/adc.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/adc.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/add.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/add.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/and.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/and.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/bound.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/bound.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/call.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/call.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/cmp.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/cmp.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/cmps.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/cmps.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/daa.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/daa.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/das.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/das.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/debug.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/debug.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/div.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/div.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/enter.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/enter.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/esc.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/esc.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/extend.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/extend.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/flags.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/flags.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/hlt.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/hlt.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/inc.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/inc.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/int.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/int.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/io.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/io.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/jmp.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/jmp.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/lds.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/lds.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/lea.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/lea.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/leave.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/leave.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/les.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/les.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/lods.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/lods.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/loop.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/loop.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/microcode.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/microcode.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/mov.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/mov.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/movs.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/movs.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/mul.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/mul.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/neg.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/neg.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/not.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/not.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/or.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/or.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/pop.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/pop.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/push.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/push.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/rcl.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/rcl.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/rcr.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/rcr.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/ret.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/ret.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/rol.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/rol.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/ror.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/ror.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/sar.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/sar.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/sbb.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/sbb.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/scas.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/scas.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/shift.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/shift.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/shl.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/shl.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/shr.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/shr.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/stos.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/stos.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/sub.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/sub.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/test.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/test.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/wait.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/wait.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/xchg.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/xchg.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/xlat.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/xlat.us -------------------------------------------------------------------------------- /common/CPU/x86/microcode/xor.us: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/x86/microcode/xor.us -------------------------------------------------------------------------------- /common/CPU/z180/alu_log.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/alu_log.v -------------------------------------------------------------------------------- /common/CPU/z180/alu_math.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/alu_math.v -------------------------------------------------------------------------------- /common/CPU/z180/alu_shft.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/alu_shft.v -------------------------------------------------------------------------------- /common/CPU/z180/aluamux.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/aluamux.v -------------------------------------------------------------------------------- /common/CPU/z180/alubmux.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/alubmux.v -------------------------------------------------------------------------------- /common/CPU/z180/aluout.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/aluout.v -------------------------------------------------------------------------------- /common/CPU/z180/control.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/control.v -------------------------------------------------------------------------------- /common/CPU/z180/datapath.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/datapath.v -------------------------------------------------------------------------------- /common/CPU/z180/defines.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/defines.v -------------------------------------------------------------------------------- /common/CPU/z180/extint.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/extint.v -------------------------------------------------------------------------------- /common/CPU/z180/hierarchy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/hierarchy.v -------------------------------------------------------------------------------- /common/CPU/z180/machine.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/machine.v -------------------------------------------------------------------------------- /common/CPU/z180/top_levl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/top_levl.v -------------------------------------------------------------------------------- /common/CPU/z180/version.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/version.v -------------------------------------------------------------------------------- /common/CPU/z180/y80_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/CPU/z180/y80_top.v -------------------------------------------------------------------------------- /common/Cores-master.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Cores-master.zip -------------------------------------------------------------------------------- /common/IO/A6532.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/A6532.vhd -------------------------------------------------------------------------------- /common/IO/PIA8255.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/PIA8255.v -------------------------------------------------------------------------------- /common/IO/R6532.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/R6532.vhd -------------------------------------------------------------------------------- /common/IO/Z80CTC/ctc_controler.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/Z80CTC/ctc_controler.vhd -------------------------------------------------------------------------------- /common/IO/Z80CTC/ctc_counter.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/Z80CTC/ctc_counter.vhd -------------------------------------------------------------------------------- /common/IO/Z80CTC/z80ctc.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/Z80CTC/z80ctc.qip -------------------------------------------------------------------------------- /common/IO/Z80CTC/z80ctc_top.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/Z80CTC/z80ctc_top.vhd -------------------------------------------------------------------------------- /common/IO/cia6526.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/cia6526.vhd -------------------------------------------------------------------------------- /common/IO/i8035ip.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/i8035ip.v -------------------------------------------------------------------------------- /common/IO/i8253.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/i8253.v -------------------------------------------------------------------------------- /common/IO/i8253.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/i8253.vhd -------------------------------------------------------------------------------- /common/IO/i8255.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/i8255.vhd -------------------------------------------------------------------------------- /common/IO/i82c55.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/i82c55.vhd -------------------------------------------------------------------------------- /common/IO/k580vi53.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/k580vi53.v -------------------------------------------------------------------------------- /common/IO/m6522.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/m6522.vhd -------------------------------------------------------------------------------- /common/IO/m6522a.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/m6522a.vhd -------------------------------------------------------------------------------- /common/IO/mos6529.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/mos6529.v -------------------------------------------------------------------------------- /common/IO/pia6520.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/pia6520.v -------------------------------------------------------------------------------- /common/IO/pia6821.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/pia6821.vhd -------------------------------------------------------------------------------- /common/IO/pia8255.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/pia8255.vhd -------------------------------------------------------------------------------- /common/IO/pit8254.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/pit8254.v -------------------------------------------------------------------------------- /common/IO/via6522.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/IO/via6522.v -------------------------------------------------------------------------------- /common/Memory/dpram.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Memory/dpram.vhd -------------------------------------------------------------------------------- /common/Memory/spram.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Memory/spram.vhd -------------------------------------------------------------------------------- /common/Memory/sprom.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Memory/sprom.vhd -------------------------------------------------------------------------------- /common/Nibbler.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Nibbler.exe -------------------------------------------------------------------------------- /common/Sound/JT12/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/LICENSE -------------------------------------------------------------------------------- /common/Sound/JT12/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/README.md -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/alt/eg_cnt.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/alt/eg_cnt.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/alt/eg_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/alt/eg_comb.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/alt/eg_mux.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/alt/eg_mux.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/alt/eg_step.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/alt/eg_step.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/dac/jt12_dac.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/dac/jt12_dac.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/dac/jt12_dac2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/dac/jt12_dac2.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt03.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt03.qip -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt03.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt03.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt03_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt03_acc.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt03_fm.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt03_fm.qip -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt10.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt10.qip -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt10.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt10.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt10_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt10_acc.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12.qip -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12.vhd -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_acc.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_csr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_csr.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_div.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_div.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_dout.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_dout.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_eg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_eg.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_eg_cnt.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_eg_cnt.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_eg_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_eg_comb.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_eg_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_eg_ctrl.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_eg_final.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_eg_final.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_eg_pure.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_eg_pure.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_eg_step.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_eg_step.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_exprom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_exprom.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_kon.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_kon.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_lfo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_lfo.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_logsin.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_logsin.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_mmr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_mmr.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_mmr_sim.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_mmr_sim.vh -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_mod.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_mod.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_op.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_pcm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_pcm.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_pg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_pg.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_pg_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_pg_comb.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_pg_dt.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_pg_dt.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_pg_inc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_pg_inc.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_pg_sum.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_pg_sum.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_pm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_pm.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_reg.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_rst.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_rst.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_sh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_sh.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_sh24.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_sh24.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_sh_rst.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_sh_rst.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_sumch.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_sumch.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_timers.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_timers.v -------------------------------------------------------------------------------- /common/Sound/JT12/hdl/jt12_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT12/hdl/jt12_top.v -------------------------------------------------------------------------------- /common/Sound/JT49/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/LICENSE -------------------------------------------------------------------------------- /common/Sound/JT49/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/README.md -------------------------------------------------------------------------------- /common/Sound/JT49/filter/firjt49.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/filter/firjt49.hex -------------------------------------------------------------------------------- /common/Sound/JT49/filter/jt49_dcrm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/filter/jt49_dcrm.v -------------------------------------------------------------------------------- /common/Sound/JT49/filter/jt49_dcrm2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/filter/jt49_dcrm2.v -------------------------------------------------------------------------------- /common/Sound/JT49/filter/jt49_dly.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/filter/jt49_dly.v -------------------------------------------------------------------------------- /common/Sound/JT49/filter/jt49_mave.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/filter/jt49_mave.v -------------------------------------------------------------------------------- /common/Sound/JT49/jt49.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/jt49.qip -------------------------------------------------------------------------------- /common/Sound/JT49/jt49.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/jt49.v -------------------------------------------------------------------------------- /common/Sound/JT49/jt49_bus.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/jt49_bus.v -------------------------------------------------------------------------------- /common/Sound/JT49/jt49_cen.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/jt49_cen.v -------------------------------------------------------------------------------- /common/Sound/JT49/jt49_div.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/jt49_div.v -------------------------------------------------------------------------------- /common/Sound/JT49/jt49_eg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/jt49_eg.v -------------------------------------------------------------------------------- /common/Sound/JT49/jt49_exp.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/jt49_exp.v -------------------------------------------------------------------------------- /common/Sound/JT49/jt49_noise.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/JT49/jt49_noise.v -------------------------------------------------------------------------------- /common/Sound/Pokey/Pokey.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/Pokey/Pokey.qip -------------------------------------------------------------------------------- /common/Sound/Pokey/delay_line.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/Pokey/delay_line.vhdl -------------------------------------------------------------------------------- /common/Sound/Pokey/pokey.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/Pokey/pokey.vhdl -------------------------------------------------------------------------------- /common/Sound/Pokey/pokey_poly_4.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/Pokey/pokey_poly_4.vhdl -------------------------------------------------------------------------------- /common/Sound/Pokey/pokey_poly_5.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/Pokey/pokey_poly_5.vhdl -------------------------------------------------------------------------------- /common/Sound/Pokey/synchronizer.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/Pokey/synchronizer.vhdl -------------------------------------------------------------------------------- /common/Sound/Speech256-master.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/Speech256-master.zip -------------------------------------------------------------------------------- /common/Sound/diskimage_by_byte.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/diskimage_by_byte.vhd -------------------------------------------------------------------------------- /common/Sound/jt51/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/LICENSE -------------------------------------------------------------------------------- /common/Sound/jt51/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/README.md -------------------------------------------------------------------------------- /common/Sound/jt51/filter/jt51_dac2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/filter/jt51_dac2.v -------------------------------------------------------------------------------- /common/Sound/jt51/filter/jt51_fir.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/filter/jt51_fir.v -------------------------------------------------------------------------------- /common/Sound/jt51/filter/jt51_fir4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/filter/jt51_fir4.v -------------------------------------------------------------------------------- /common/Sound/jt51/filter/jt51_fir8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/filter/jt51_fir8.v -------------------------------------------------------------------------------- /common/Sound/jt51/filter/jt51_sincf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/filter/jt51_sincf.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51.qip -------------------------------------------------------------------------------- /common/Sound/jt51/jt51.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_acc.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_csr_ch.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_csr_ch.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_csr_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_csr_op.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_eg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_eg.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_exp2lin.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_exp2lin.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_exprom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_exprom.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_kon.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_kon.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_lfo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_lfo.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_lfo_lfsr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_lfo_lfsr.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_lin2exp.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_lin2exp.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_mmr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_mmr.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_mod.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_mod.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_noise.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_noise.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_noise_lfsr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_noise_lfsr.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_op.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_pg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_pg.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_phinc_rom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_phinc_rom.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_phrom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_phrom.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_pm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_pm.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_reg.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_sh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_sh.v -------------------------------------------------------------------------------- /common/Sound/jt51/jt51_timers.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt51/jt51_timers.v -------------------------------------------------------------------------------- /common/Sound/jt5205/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt5205/LICENSE -------------------------------------------------------------------------------- /common/Sound/jt5205/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt5205/README.md -------------------------------------------------------------------------------- /common/Sound/jt5205/jt5205.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt5205/jt5205.qip -------------------------------------------------------------------------------- /common/Sound/jt5205/jt5205.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt5205/jt5205.v -------------------------------------------------------------------------------- /common/Sound/jt5205/jt5205_adpcm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt5205/jt5205_adpcm.v -------------------------------------------------------------------------------- /common/Sound/jt5205/jt5205_timing.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt5205/jt5205_timing.v -------------------------------------------------------------------------------- /common/Sound/jt7759/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt7759/LICENSE -------------------------------------------------------------------------------- /common/Sound/jt7759/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt7759/README.md -------------------------------------------------------------------------------- /common/Sound/jt7759/jt7759.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt7759/jt7759.qip -------------------------------------------------------------------------------- /common/Sound/jt7759/jt7759.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt7759/jt7759.v -------------------------------------------------------------------------------- /common/Sound/jt7759/jt7759_adpcm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt7759/jt7759_adpcm.v -------------------------------------------------------------------------------- /common/Sound/jt7759/jt7759_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt7759/jt7759_ctrl.v -------------------------------------------------------------------------------- /common/Sound/jt7759/jt7759_data.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt7759/jt7759_data.v -------------------------------------------------------------------------------- /common/Sound/jt7759/jt7759_div.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt7759/jt7759_div.v -------------------------------------------------------------------------------- /common/Sound/jt89/jt89.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89.qip -------------------------------------------------------------------------------- /common/Sound/jt89/jt89.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89.v -------------------------------------------------------------------------------- /common/Sound/jt89/jt89.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89.vhd -------------------------------------------------------------------------------- /common/Sound/jt89/jt89_mixer.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89_mixer.v -------------------------------------------------------------------------------- /common/Sound/jt89/jt89_noise.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89_noise.v -------------------------------------------------------------------------------- /common/Sound/jt89/jt89_sms.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89_sms.qip -------------------------------------------------------------------------------- /common/Sound/jt89/jt89_sms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89_sms.v -------------------------------------------------------------------------------- /common/Sound/jt89/jt89_sms.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89_sms.vhd -------------------------------------------------------------------------------- /common/Sound/jt89/jt89_tone.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89_tone.v -------------------------------------------------------------------------------- /common/Sound/jt89/jt89_verilog.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89_verilog.qip -------------------------------------------------------------------------------- /common/Sound/jt89/jt89_vol.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/jt89_vol.v -------------------------------------------------------------------------------- /common/Sound/jt89/mixer/jt12_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/mixer/jt12_comb.v -------------------------------------------------------------------------------- /common/Sound/jt89/mixer/jt12_dac2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jt89/mixer/jt12_dac2.v -------------------------------------------------------------------------------- /common/Sound/jtopl/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/LICENSE -------------------------------------------------------------------------------- /common/Sound/jtopl/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/README.md -------------------------------------------------------------------------------- /common/Sound/jtopl/common.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/common.qip -------------------------------------------------------------------------------- /common/Sound/jtopl/jt2413.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jt2413.qip -------------------------------------------------------------------------------- /common/Sound/jtopl/jt2413.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jt2413.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jt26.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jt26.qip -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl2.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl2.f -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl2.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl2.qip -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl2.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_acc.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_csr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_csr.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_div.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_div.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_eg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_eg.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_eg_cnt.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_eg_cnt.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_eg_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_eg_comb.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_eg_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_eg_ctrl.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_eg_final.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_eg_final.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_eg_pure.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_eg_pure.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_eg_step.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_eg_step.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_exprom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_exprom.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_lfo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_lfo.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_logsin.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_logsin.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_mmr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_mmr.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_noise.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_noise.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_op.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_pg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_pg.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_pg_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_pg_comb.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_pg_inc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_pg_inc.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_pg_rhy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_pg_rhy.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_pg_sum.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_pg_sum.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_pm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_pm.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_reg.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_reg_ch.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_reg_ch.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_sh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_sh.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_sh_rst.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_sh_rst.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_single_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_single_acc.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_slot_cnt.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_slot_cnt.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopl_timers.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopl_timers.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopll.qip -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopll_mmr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopll_mmr.v -------------------------------------------------------------------------------- /common/Sound/jtopl/jtopll_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/jtopl/jtopll_reg.v -------------------------------------------------------------------------------- /common/Sound/opl3/NextZ80ALU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/NextZ80ALU.v -------------------------------------------------------------------------------- /common/Sound/opl3/NextZ80CPU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/NextZ80CPU.v -------------------------------------------------------------------------------- /common/Sound/opl3/NextZ80Reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/NextZ80Reg.v -------------------------------------------------------------------------------- /common/Sound/opl3/compressor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/compressor.sv -------------------------------------------------------------------------------- /common/Sound/opl3/exp_tab.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/exp_tab.mem -------------------------------------------------------------------------------- /common/Sound/opl3/fw/OPL3.COM: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/fw/OPL3.COM -------------------------------------------------------------------------------- /common/Sound/opl3/fw/OPL3.PRJ: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/fw/OPL3.PRJ -------------------------------------------------------------------------------- /common/Sound/opl3/fw/TEST.H: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/fw/TEST.H -------------------------------------------------------------------------------- /common/Sound/opl3/fw/YMF262.C: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/fw/YMF262.C -------------------------------------------------------------------------------- /common/Sound/opl3/fw/YMF262.H: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/fw/YMF262.H -------------------------------------------------------------------------------- /common/Sound/opl3/fw/bin2mem.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/fw/bin2mem.c -------------------------------------------------------------------------------- /common/Sound/opl3/fw/bin2mem.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/fw/bin2mem.exe -------------------------------------------------------------------------------- /common/Sound/opl3/fw/clean.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/fw/clean.bat -------------------------------------------------------------------------------- /common/Sound/opl3/opl3.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/opl3.qip -------------------------------------------------------------------------------- /common/Sound/opl3/opl3.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/opl3.sv -------------------------------------------------------------------------------- /common/Sound/opl3/opl3fm.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/opl3fm.sv -------------------------------------------------------------------------------- /common/Sound/opl3/opl3prg.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/opl3prg.mem -------------------------------------------------------------------------------- /common/Sound/opl3/opl3seq.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/opl3seq.sv -------------------------------------------------------------------------------- /common/Sound/opl3/sin_tab_full.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/opl3/sin_tab_full.mem -------------------------------------------------------------------------------- /common/Sound/sn76489/COPYING: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/sn76489/COPYING -------------------------------------------------------------------------------- /common/Sound/sn76489/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/sn76489/README -------------------------------------------------------------------------------- /common/Sound/sn76489/sn76489.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/sn76489/sn76489.qip -------------------------------------------------------------------------------- /common/Sound/sn76489/sn76489_tone.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/sn76489/sn76489_tone.vhd -------------------------------------------------------------------------------- /common/Sound/sn76489/sn76489_top.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/sn76489/sn76489_top.vhd -------------------------------------------------------------------------------- /common/Sound/wave_sound.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/wave_sound.sv -------------------------------------------------------------------------------- /common/Sound/ym2149.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/ym2149.sv -------------------------------------------------------------------------------- /common/Sound/ym2149/YM2149.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Sound/ym2149/YM2149.vhd -------------------------------------------------------------------------------- /common/TTL/74138.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/74138.v -------------------------------------------------------------------------------- /common/TTL/74669.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/74669.v -------------------------------------------------------------------------------- /common/TTL/CPLD_74LS245.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/CPLD_74LS245.vhd -------------------------------------------------------------------------------- /common/TTL/TTL74LS138.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/TTL74LS138.vhd -------------------------------------------------------------------------------- /common/TTL/TTL74LS139.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/TTL74LS139.vhd -------------------------------------------------------------------------------- /common/TTL/TTL74LS245.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/TTL74LS245.sv -------------------------------------------------------------------------------- /common/TTL/TTL74LS373.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/TTL74LS373.sv -------------------------------------------------------------------------------- /common/TTL/ls00.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls00.v -------------------------------------------------------------------------------- /common/TTL/ls00.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls00.vhd -------------------------------------------------------------------------------- /common/TTL/ls02.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls02.v -------------------------------------------------------------------------------- /common/TTL/ls04.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls04.vhd -------------------------------------------------------------------------------- /common/TTL/ls08.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls08.v -------------------------------------------------------------------------------- /common/TTL/ls08.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls08.vhd -------------------------------------------------------------------------------- /common/TTL/ls10.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls10.v -------------------------------------------------------------------------------- /common/TTL/ls139.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls139.v -------------------------------------------------------------------------------- /common/TTL/ls139.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls139.vhd -------------------------------------------------------------------------------- /common/TTL/ls139_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls139_tb.v -------------------------------------------------------------------------------- /common/TTL/ls153.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls153.v -------------------------------------------------------------------------------- /common/TTL/ls153_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls153_tb.v -------------------------------------------------------------------------------- /common/TTL/ls155.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls155.vhd -------------------------------------------------------------------------------- /common/TTL/ls157.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls157.v -------------------------------------------------------------------------------- /common/TTL/ls157.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls157.vhd -------------------------------------------------------------------------------- /common/TTL/ls158.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls158.v -------------------------------------------------------------------------------- /common/TTL/ls161.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls161.vhd -------------------------------------------------------------------------------- /common/TTL/ls17.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls17.vhd -------------------------------------------------------------------------------- /common/TTL/ls174.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls174.v -------------------------------------------------------------------------------- /common/TTL/ls174.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls174.vhd -------------------------------------------------------------------------------- /common/TTL/ls175.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls175.v -------------------------------------------------------------------------------- /common/TTL/ls20.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls20.vhd -------------------------------------------------------------------------------- /common/TTL/ls244.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls244.vhd -------------------------------------------------------------------------------- /common/TTL/ls245.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls245.v -------------------------------------------------------------------------------- /common/TTL/ls245.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls245.vhd -------------------------------------------------------------------------------- /common/TTL/ls257.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls257.v -------------------------------------------------------------------------------- /common/TTL/ls257.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls257.vhd -------------------------------------------------------------------------------- /common/TTL/ls27.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls27.vhd -------------------------------------------------------------------------------- /common/TTL/ls273.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls273.v -------------------------------------------------------------------------------- /common/TTL/ls273.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls273.vhd -------------------------------------------------------------------------------- /common/TTL/ls283.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls283.vhd -------------------------------------------------------------------------------- /common/TTL/ls32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls32.v -------------------------------------------------------------------------------- /common/TTL/ls32.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls32.vhd -------------------------------------------------------------------------------- /common/TTL/ls367.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls367.vhd -------------------------------------------------------------------------------- /common/TTL/ls373.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls373.vhd -------------------------------------------------------------------------------- /common/TTL/ls374.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls374.v -------------------------------------------------------------------------------- /common/TTL/ls374.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls374.vhd -------------------------------------------------------------------------------- /common/TTL/ls393.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls393.vhd -------------------------------------------------------------------------------- /common/TTL/ls42.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls42.v -------------------------------------------------------------------------------- /common/TTL/ls669.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls669.vhd -------------------------------------------------------------------------------- /common/TTL/ls74.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls74.v -------------------------------------------------------------------------------- /common/TTL/ls74.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls74.vhd -------------------------------------------------------------------------------- /common/TTL/ls85.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls85.v -------------------------------------------------------------------------------- /common/TTL/ls86.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ls86.vhd -------------------------------------------------------------------------------- /common/TTL/multi74123.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/multi74123.vhd -------------------------------------------------------------------------------- /common/TTL/ttl_175.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ttl_175.vhd -------------------------------------------------------------------------------- /common/TTL/ttl_393.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ttl_393.vhd -------------------------------------------------------------------------------- /common/TTL/ttl_74LS138.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/ttl_74LS138.vhd -------------------------------------------------------------------------------- /common/TTL/v74161.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/v74161.vhd -------------------------------------------------------------------------------- /common/TTL/v74161_16bit.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/TTL/v74161_16bit.vhd -------------------------------------------------------------------------------- /common/Video/CHAR_GEN.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/CHAR_GEN.v -------------------------------------------------------------------------------- /common/Video/CHAR_GEN_ROM.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/CHAR_GEN_ROM.v -------------------------------------------------------------------------------- /common/Video/Crtc6845_VHDL.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/Crtc6845_VHDL.zip -------------------------------------------------------------------------------- /common/Video/PIXEL_DISPLAY.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/PIXEL_DISPLAY.v -------------------------------------------------------------------------------- /common/Video/PIXEL_GEN.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/PIXEL_GEN.v -------------------------------------------------------------------------------- /common/Video/SVGA_DEFINES.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/SVGA_DEFINES.v -------------------------------------------------------------------------------- /common/Video/SVGA_TIMING_GENERATION.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/SVGA_TIMING_GENERATION.v -------------------------------------------------------------------------------- /common/Video/VIDEO_OUT.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/VIDEO_OUT.v -------------------------------------------------------------------------------- /common/Video/ay_3_8500NTSC.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/ay_3_8500NTSC.v -------------------------------------------------------------------------------- /common/Video/crtc6845s.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/crtc6845s.v -------------------------------------------------------------------------------- /common/Video/cursor.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/cursor.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_col_mux.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_col_mux.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_core-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_core-c.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_core.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_cpuio-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_cpuio-c.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_cpuio.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_cpuio.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_grid-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_grid-c.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_grid.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_grid.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_major-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_major-c.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_major.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_major.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_minor-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_minor-c.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_minor.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_minor.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_pack-p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_pack-p.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_sound-c.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_sound-c.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_sound.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_sound.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_sync_gen.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_sync_gen.vhd -------------------------------------------------------------------------------- /common/Video/i8244/i8244_top_sync.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/i8244/i8244_top_sync.vhd -------------------------------------------------------------------------------- /common/Video/mc6847.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/mc6847.vhd -------------------------------------------------------------------------------- /common/Video/mc6847_vga.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/mc6847_vga.v -------------------------------------------------------------------------------- /common/Video/mc6883.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/mc6883.vhd -------------------------------------------------------------------------------- /common/Video/oc_crtc6845.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/oc_crtc6845.vhd -------------------------------------------------------------------------------- /common/Video/saa505x.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/saa505x.vhd -------------------------------------------------------------------------------- /common/Video/vdp18/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/README -------------------------------------------------------------------------------- /common/Video/vdp18/vdp18_addr_mux.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/vdp18_addr_mux.vhd -------------------------------------------------------------------------------- /common/Video/vdp18/vdp18_clk_gen.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/vdp18_clk_gen.vhd -------------------------------------------------------------------------------- /common/Video/vdp18/vdp18_col_mux.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/vdp18_col_mux.vhd -------------------------------------------------------------------------------- /common/Video/vdp18/vdp18_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/vdp18_core.vhd -------------------------------------------------------------------------------- /common/Video/vdp18/vdp18_cpuio.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/vdp18_cpuio.vhd -------------------------------------------------------------------------------- /common/Video/vdp18/vdp18_ctrl.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/vdp18_ctrl.vhd -------------------------------------------------------------------------------- /common/Video/vdp18/vdp18_hor_vert.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/vdp18_hor_vert.vhd -------------------------------------------------------------------------------- /common/Video/vdp18/vdp18_pack-p.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/vdp18_pack-p.vhd -------------------------------------------------------------------------------- /common/Video/vdp18/vdp18_pattern.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/vdp18_pattern.vhd -------------------------------------------------------------------------------- /common/Video/vdp18/vdp18_sprite.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/Video/vdp18/vdp18_sprite.vhd -------------------------------------------------------------------------------- /common/make_vhdl_prom.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/make_vhdl_prom.exe -------------------------------------------------------------------------------- /common/mist/.gitignore: -------------------------------------------------------------------------------- 1 | *.bak -------------------------------------------------------------------------------- /common/mist/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/README.md -------------------------------------------------------------------------------- /common/mist/arcade_inputs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/arcade_inputs.v -------------------------------------------------------------------------------- /common/mist/cdda_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/cdda_fifo.v -------------------------------------------------------------------------------- /common/mist/cofi.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/cofi.sv -------------------------------------------------------------------------------- /common/mist/dac.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/dac.vhd -------------------------------------------------------------------------------- /common/mist/data_io.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/data_io.v -------------------------------------------------------------------------------- /common/mist/i2c_master.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/i2c_master.v -------------------------------------------------------------------------------- /common/mist/i2s.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/i2s.v -------------------------------------------------------------------------------- /common/mist/ide.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/ide.v -------------------------------------------------------------------------------- /common/mist/ide_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/ide_fifo.v -------------------------------------------------------------------------------- /common/mist/mist.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/mist.qip -------------------------------------------------------------------------------- /common/mist/mist.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/mist.vhd -------------------------------------------------------------------------------- /common/mist/mist_core.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/mist_core.qip -------------------------------------------------------------------------------- /common/mist/mist_video.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/mist_video.v -------------------------------------------------------------------------------- /common/mist/osd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/osd.v -------------------------------------------------------------------------------- /common/mist/rgb2ypbpr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/rgb2ypbpr.v -------------------------------------------------------------------------------- /common/mist/scandoubler.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/scandoubler.v -------------------------------------------------------------------------------- /common/mist/sd_card.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/sd_card.v -------------------------------------------------------------------------------- /common/mist/spdif.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/spdif.v -------------------------------------------------------------------------------- /common/mist/user_io.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/user_io.v -------------------------------------------------------------------------------- /common/mist/video_cleaner.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mist/video_cleaner.v -------------------------------------------------------------------------------- /common/mra.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/mra.exe -------------------------------------------------------------------------------- /common/swap.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/Gehstock/Mist_FPGA/HEAD/common/swap.exe --------------------------------------------------------------------------------