├── README.md
├── blink01.qpf
├── blink01.qsf
├── blink01.qws
├── blink01.vhd
├── blink01.vhd.bak
├── blink01_nativelink_simulation.rpt
├── db
├── blink01.(0).cnf.cdb
├── blink01.(0).cnf.hdb
├── blink01.ace_cmp.bpm
├── blink01.ace_cmp.cdb
├── blink01.ace_cmp.hdb
├── blink01.asm.qmsg
├── blink01.asm.rdb
├── blink01.asm_labs.ddb
├── blink01.cbx.xml
├── blink01.cmp.bpm
├── blink01.cmp.cdb
├── blink01.cmp.hdb
├── blink01.cmp.idb
├── blink01.cmp.kpt
├── blink01.cmp.logdb
├── blink01.cmp.rdb
├── blink01.cmp0.ddb
├── blink01.cmp1.ddb
├── blink01.cmp2.ddb
├── blink01.cmp_merge.kpt
├── blink01.db_info
├── blink01.eco.cdb
├── blink01.eda.qmsg
├── blink01.fit.qmsg
├── blink01.hier_info
├── blink01.hif
├── blink01.ipinfo
├── blink01.lpc.html
├── blink01.lpc.rdb
├── blink01.lpc.txt
├── blink01.map.ammdb
├── blink01.map.bpm
├── blink01.map.cdb
├── blink01.map.hdb
├── blink01.map.kpt
├── blink01.map.logdb
├── blink01.map.qmsg
├── blink01.map.rdb
├── blink01.map_bb.cdb
├── blink01.map_bb.hdb
├── blink01.map_bb.logdb
├── blink01.pplq.rdb
├── blink01.pre_map.hdb
├── blink01.pti_db_list.ddb
├── blink01.root_partition.map.reg_db.cdb
├── blink01.routing.rdb
├── blink01.rtlv.hdb
├── blink01.rtlv_sg.cdb
├── blink01.rtlv_sg_swap.cdb
├── blink01.sgdiff.cdb
├── blink01.sgdiff.hdb
├── blink01.sld_design_entry.sci
├── blink01.sld_design_entry_dsc.sci
├── blink01.smart_action.txt
├── blink01.sta.qmsg
├── blink01.sta.rdb
├── blink01.sta_cmp.8_slow.tdb
├── blink01.syn_hier_info
├── blink01.tis_db_list.ddb
├── blink01.tmw_info
├── blink01.vpr.ammdb
├── logic_util_heursitic.dat
└── prev_cmp_blink01.qmsg
├── incremental_db
├── README
└── compiled_partitions
│ ├── blink01.db_info
│ ├── blink01.root_partition.cmp.ammdb
│ ├── blink01.root_partition.cmp.cdb
│ ├── blink01.root_partition.cmp.dfp
│ ├── blink01.root_partition.cmp.hdb
│ ├── blink01.root_partition.cmp.kpt
│ ├── blink01.root_partition.cmp.logdb
│ ├── blink01.root_partition.cmp.rcfdb
│ ├── blink01.root_partition.map.cdb
│ ├── blink01.root_partition.map.dpi
│ ├── blink01.root_partition.map.hbdb.cdb
│ ├── blink01.root_partition.map.hbdb.hb_info
│ ├── blink01.root_partition.map.hbdb.hdb
│ ├── blink01.root_partition.map.hbdb.sig
│ ├── blink01.root_partition.map.hdb
│ └── blink01.root_partition.map.kpt
├── output_files
├── blink01.asm.rpt
├── blink01.cdf
├── blink01.done
├── blink01.eda.rpt
├── blink01.fit.rpt
├── blink01.fit.smsg
├── blink01.fit.summary
├── blink01.flow.rpt
├── blink01.jdi
├── blink01.map.rpt
├── blink01.map.summary
├── blink01.pin
├── blink01.pof
├── blink01.rbf
├── blink01.sof
├── blink01.sta.rpt
├── blink01.sta.summary
├── output_file-2020-07-21.jic
├── output_file-2020-07-21.map
├── output_file.jic
└── output_file.map
└── simulation
└── modelsim
├── blink01.sft
├── blink01.vho
├── blink01_fast.vho
├── blink01_modelsim.xrf
├── blink01_vhd.sdo
└── blink01_vhd_fast.sdo
/README.md:
--------------------------------------------------------------------------------
1 | # Blink for Altera Cyclone II EP2C5T144 Mini Development board
2 |
3 | This is a very tiny Quartus II project that shows the bare minimum configuration you need to be able to design FPGA logic programs, run them on the chip, and even store them in the on board EEPROM (If your board isn't the cheapest version that has no EPCS4 memory chip on the bottom of it).
4 |
5 | I'll write more here when I get a chance. Basically, you need a USB Blaster clone (I prefer the white cased one because it allows Device Discovery), and a little understanding of FPGAs and what exactly you're doing.
6 |
7 | There are some good tutorials around on the web but I'll throw what I can in here when I get the chance.
8 |
9 | ## Basic compile
10 |
11 | `ctrl-l` (that's an L)
12 |
13 | ## Basic pin assignment
14 |
15 | `Assignments -> Pin Planner` then change the `Location` field. Recompile after you change pin assignments
16 |
17 | ## Basic programming
18 |
19 | `Tools -> Programmer` then select you hardware, then press `Device Detect` OR (if device detect stalls for 30 seconds) just manually add the `EP2C5T144` device
20 |
21 | ## Programming directly to the config memory
22 |
23 | This is the pain in the butt. You need to convert the `.pom` output from your compile step to a `.jic` file first. Actually, all of this is described in: [https://www.altera.com/en_US/pdfs/literature/an/an370.pdf](https://www.altera.com/en_US/pdfs/literature/an/an370.pdf)
24 |
25 | 1. Open `File -> Convert Programming File`
26 | 2. Select `JTAG Indirect Configuration File (.jic)` from the `Programming file type:` select box
27 | 3. Select `EPCS4` in the `Configuration device:` select box
28 | 4. Provide a `File name:` for the output `.jic` file
29 | 5. In the `Input files to convert` list, highlight the `SOF Data` and select `Add File...`
30 | 6. Navigate to and select the `.sof` file generated when you compiled the design (eg. `blink01.sof`). Click `Open`
31 | 7. Again, in the `Input files to convert` list, highlight the `Flash Loader` and select `Add Device...`
32 | 8. Navigate through the list of devices to find your FPGA (eg. `Cyclone II` and `EP2C5`). Click `OK` to select that device and go back to the `Convert Programming File` window
33 | 9. Click `Generate` to convert the `.sof` input to a `.jic` file
34 |
35 |
36 | Now that you have a compiled `.jic` file, open the `Programmer` window, and select `View -> Show Device Tree` to show the details about what you're going to flash.
37 |
38 | 1. Click `Add File...` on th left hand side
39 | 2. Select your new `.jic` file
40 | 3. On the line listing your `.jic` file, check the `Program/Configure` box
41 | 4. Make sure only your new device configuration is listed.
42 | 5. Click `Start` to flash the `.jic` file to the `EPCS4` flash
43 | 6. Disconnect the USB-Blaster and power cycle the board. It should load your compiled design from the flash chip and start executing it.
44 |
--------------------------------------------------------------------------------
/blink01.qpf:
--------------------------------------------------------------------------------
1 | # -------------------------------------------------------------------------- #
2 | #
3 | # Copyright (C) 1991-2013 Altera Corporation
4 | # Your use of Altera Corporation's design tools, logic functions
5 | # and other software and tools, and its AMPP partner logic
6 | # functions, and any output files from any of the foregoing
7 | # (including device programming or simulation files), and any
8 | # associated documentation or information are expressly subject
9 | # to the terms and conditions of the Altera Program License
10 | # Subscription Agreement, Altera MegaCore Function License
11 | # Agreement, or other applicable license agreement, including,
12 | # without limitation, that your use is for the sole purpose of
13 | # programming logic devices manufactured by Altera and sold by
14 | # Altera or its authorized distributors. Please refer to the
15 | # applicable agreement for further details.
16 | #
17 | # -------------------------------------------------------------------------- #
18 | #
19 | # Quartus II 64-Bit
20 | # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21 | # Date created = 00:21:24 July 21, 2016
22 | #
23 | # -------------------------------------------------------------------------- #
24 |
25 | QUARTUS_VERSION = "13.0"
26 | DATE = "00:21:24 July 21, 2016"
27 |
28 | # Revisions
29 |
30 | PROJECT_REVISION = "blink01"
31 |
--------------------------------------------------------------------------------
/blink01.qsf:
--------------------------------------------------------------------------------
1 | # -------------------------------------------------------------------------- #
2 | #
3 | # Copyright (C) 1991-2013 Altera Corporation
4 | # Your use of Altera Corporation's design tools, logic functions
5 | # and other software and tools, and its AMPP partner logic
6 | # functions, and any output files from any of the foregoing
7 | # (including device programming or simulation files), and any
8 | # associated documentation or information are expressly subject
9 | # to the terms and conditions of the Altera Program License
10 | # Subscription Agreement, Altera MegaCore Function License
11 | # Agreement, or other applicable license agreement, including,
12 | # without limitation, that your use is for the sole purpose of
13 | # programming logic devices manufactured by Altera and sold by
14 | # Altera or its authorized distributors. Please refer to the
15 | # applicable agreement for further details.
16 | #
17 | # -------------------------------------------------------------------------- #
18 | #
19 | # Quartus II 64-Bit
20 | # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21 | # Date created = 00:21:24 July 21, 2016
22 | #
23 | # -------------------------------------------------------------------------- #
24 | #
25 | # Notes:
26 | #
27 | # 1) The default values for assignments are stored in the file:
28 | # blink01_assignment_defaults.qdf
29 | # If this file doesn't exist, see file:
30 | # assignment_defaults.qdf
31 | #
32 | # 2) Altera recommends that you do not modify this file. This
33 | # file is updated automatically by the Quartus II software
34 | # and any changes you make may be lost or overwritten.
35 | #
36 | # -------------------------------------------------------------------------- #
37 |
38 |
39 | set_global_assignment -name FAMILY "Cyclone II"
40 | set_global_assignment -name DEVICE EP2C5T144C8
41 | set_global_assignment -name TOP_LEVEL_ENTITY blink01
42 | set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
43 | set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:21:24 JULY 21, 2016"
44 | set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
45 | set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46 | set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47 | set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48 | set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
49 | set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
50 | set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
51 | set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
52 | set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
53 | set_global_assignment -name USE_CONFIGURATION_DEVICE ON
54 | set_global_assignment -name GENERATE_RBF_FILE ON
55 | set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
56 | set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS"
57 | set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
58 | set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
59 | set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
60 | set_global_assignment -name VHDL_FILE blink01.vhd
61 | set_location_assignment PIN_17 -to clk
62 | set_location_assignment PIN_3 -to led0
63 | set_location_assignment PIN_7 -to led1
64 | set_location_assignment PIN_9 -to led2
65 | set_location_assignment PIN_144 -to sw0
66 | set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sw0
67 | set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
68 | set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
--------------------------------------------------------------------------------
/blink01.qws:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/blink01.qws
--------------------------------------------------------------------------------
/blink01.vhd:
--------------------------------------------------------------------------------
1 | -- Start of the weird 'hello world' blink:
2 |
3 | library ieee;
4 | use ieee.std_logic_1164.all;
5 | use ieee.numeric_std.all;
6 |
7 | entity blink01 is
8 | port (
9 | clk : in std_logic; -- clock is on 17
10 | led0 : out std_logic; -- led on 3
11 | led1 : out std_logic; -- led on 7
12 | led2 : out std_logic; -- led on 9
13 | sw0 : in std_logic -- switch on 114
14 | );
15 | end blink01;
16 |
17 | architecture rtl of blink01 is
18 | constant CLK_FREQ : integer := 50000000;
19 | constant BLINK_FREQ : integer := 1;
20 | constant CNT_MAX : integer := CLK_FREQ/BLINK_FREQ/2-1;
21 |
22 | signal cnt : unsigned(24 downto 0);
23 | signal blink : std_logic;
24 |
25 | begin
26 |
27 | process(clk, sw0)
28 |
29 | variable speed : integer range 0 to 1000;
30 | begin
31 | if rising_edge(clk) then
32 |
33 | if sw0='0' then
34 | speed := 100;
35 | led1 <= '1';
36 | else
37 | speed := 0;
38 | led1 <= '0';
39 | end if;
40 |
41 | if cnt=CNT_MAX then
42 | cnt <= (others => '0');
43 | blink <= not blink;
44 | else
45 | cnt <= cnt + 1 + speed;
46 | end if;
47 | end if;
48 |
49 | end process;
50 |
51 |
52 | led0 <= blink;
53 | led2 <= not blink;
54 |
55 | end rtl;
56 |
57 |
58 |
59 |
60 |
61 |
62 |
63 |
64 |
65 |
66 |
67 |
68 |
69 |
70 |
71 |
--------------------------------------------------------------------------------
/blink01.vhd.bak:
--------------------------------------------------------------------------------
1 | -- these are comments
2 | --
3 |
4 | -- This is the start of an "ENTITY"
5 | library ieee; -- This is important. basically tells the compiler we're onboard with ieee
6 | use ieee.std_logic_1164.all;
7 | ENTITY compare8 IS PORT(
8 | x, y: IN std_logic_vector(7 DOWNTO 0) ; -- two, 8 bit inputs, lsb
9 | res: OUT std_logic ); -- one binary output
10 | END compare8;
11 |
12 | -- Now we must define the actual "ARCHITECTURE" for this "ENTITY"
13 | -- This is how the entity actually works:
14 | ARCHITECTURE struct OF compare8 IS
15 | BEGIN
16 | res <= '1' WHEN (x = y) ELSE '0';
17 | END struct;
18 | -- And that's it! Our very first, useless, 8bit compare function.
19 |
20 |
--------------------------------------------------------------------------------
/blink01_nativelink_simulation.rpt:
--------------------------------------------------------------------------------
1 | Info: Start Nativelink Simulation process
2 | Info: NativeLink has detected VHDL design -- VHDL simulation models will be used
3 |
4 | ========= EDA Simulation Settings =====================
5 |
6 | Sim Mode : RTL
7 | Family : cycloneii
8 | Quartus root : /media/jamis/8ac7fe17-57f8-471e-a11d-a93c793509c3/jamis/Desktop/my_root/Development/Circuits_MPUs/Altera_FPGA/13.0sp1/quartus/linux64/
9 | Quartus sim root : /media/jamis/8ac7fe17-57f8-471e-a11d-a93c793509c3/jamis/Desktop/my_root/Development/Circuits_MPUs/Altera_FPGA/13.0sp1/quartus/eda/sim_lib
10 | Simulation Tool : modelsim-altera
11 | Simulation Language : vhdl
12 | Version : 93
13 | Simulation Mode : GUI
14 | Sim Output File :
15 | Sim SDF file :
16 | Sim dir : simulation/modelsim
17 |
18 | =======================================================
19 |
20 | Info: Starting NativeLink simulation with ModelSim-Altera software
21 | Sourced NativeLink script /media/jamis/8ac7fe17-57f8-471e-a11d-a93c793509c3/jamis/Desktop/my_root/Development/Circuits_MPUs/Altera_FPGA/13.0sp1/quartus/common/tcl/internal/nativelink/modelsim.tcl
22 | Error: Can't launch ModelSim-Altera Simulation software -- make sure the software is properly installed and the environment variable LM_LICENSE_FILE or MGLS_LICENSE_FILE points to the correct license file.
23 | Error: NativeLink simulation flow was NOT successful
24 |
25 |
26 |
27 | ================The following additional information is provided to help identify the cause of error while running nativelink scripts=================
28 | Nativelink TCL script failed with errorCode: issued_nl_message
29 | Nativelink TCL script failed with errorInfo: Can't launch ModelSim-Altera Simulation software -- make sure the software is properly installed and the environment variable LM_LICENSE_FILE or MGLS_LICENSE_FILE points to the correct license file.
30 | while executing
31 | "error "$emsg" "" "issued_nl_message""
32 | invoked from within
33 | "if [ catch {exec $vsim_cmd -version} version_str] {
34 | set emsg "Can't launch $tool Simulation software -- make sure the software is properly installed..."
35 | (procedure "launch_sim" line 89)
36 | invoked from within
37 | "launch_sim launch_args_hash"
38 | ("eval" body line 1)
39 | invoked from within
40 | "eval launch_sim launch_args_hash"
41 | invoked from within
42 | "if [ info exists ::errorCode ] {
43 | set savedCode $::errorCode
44 | set savedInfo $::errorInfo
45 | error $result $savedInfo $savedCode
46 | } else {
47 | ..."
48 | invoked from within
49 | "if [catch {eval launch_sim launch_args_hash} result ] {
50 | set status 1
51 | if [ info exists ::errorCode ] {
52 | set savedCode $::errorCode
53 | set sav..."
54 | (procedure "run_sim" line 78)
55 | invoked from within
56 | "run_sim run_sim_args_hash"
57 | invoked from within
58 | "if [ info exists ::errorCode ] {
59 | set savedCode $::errorCode
60 | set savedInfo $::errorInfo
61 | error "$result" $savedInfo $savedCode
62 | } else {
63 | er..."
64 | (procedure "run_eda_simulation_tool" line 330)
65 | invoked from within
66 | "run_eda_simulation_tool eda_opts_hash"
67 |
--------------------------------------------------------------------------------
/db/blink01.(0).cnf.cdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.(0).cnf.cdb
--------------------------------------------------------------------------------
/db/blink01.(0).cnf.hdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.(0).cnf.hdb
--------------------------------------------------------------------------------
/db/blink01.ace_cmp.bpm:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.ace_cmp.bpm
--------------------------------------------------------------------------------
/db/blink01.ace_cmp.cdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.ace_cmp.cdb
--------------------------------------------------------------------------------
/db/blink01.ace_cmp.hdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.ace_cmp.hdb
--------------------------------------------------------------------------------
/db/blink01.asm.qmsg:
--------------------------------------------------------------------------------
1 | { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595326489562 ""}
2 | { "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595326489562 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jul 21 03:14:49 2020 " "Processing started: Tue Jul 21 03:14:49 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595326489562 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1595326489562 ""}
3 | { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off blink01 -c blink01 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off blink01 -c blink01" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1595326489562 ""}
4 | { "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1595326489861 ""}
5 | { "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1595326489874 ""}
6 | { "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4536 " "Peak virtual memory: 4536 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595326490092 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jul 21 03:14:50 2020 " "Processing ended: Tue Jul 21 03:14:50 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595326490092 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595326490092 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595326490092 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1595326490092 ""}
7 |
--------------------------------------------------------------------------------
/db/blink01.asm.rdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.asm.rdb
--------------------------------------------------------------------------------
/db/blink01.asm_labs.ddb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.asm_labs.ddb
--------------------------------------------------------------------------------
/db/blink01.cbx.xml:
--------------------------------------------------------------------------------
1 |
2 |
3 |
4 |
5 |
6 |
--------------------------------------------------------------------------------
/db/blink01.cmp.bpm:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.cmp.bpm
--------------------------------------------------------------------------------
/db/blink01.cmp.cdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.cmp.cdb
--------------------------------------------------------------------------------
/db/blink01.cmp.hdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.cmp.hdb
--------------------------------------------------------------------------------
/db/blink01.cmp.idb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.cmp.idb
--------------------------------------------------------------------------------
/db/blink01.cmp.kpt:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.cmp.kpt
--------------------------------------------------------------------------------
/db/blink01.cmp.logdb:
--------------------------------------------------------------------------------
1 | v1
2 |
--------------------------------------------------------------------------------
/db/blink01.cmp.rdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.cmp.rdb
--------------------------------------------------------------------------------
/db/blink01.cmp0.ddb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.cmp0.ddb
--------------------------------------------------------------------------------
/db/blink01.cmp1.ddb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.cmp1.ddb
--------------------------------------------------------------------------------
/db/blink01.cmp2.ddb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.cmp2.ddb
--------------------------------------------------------------------------------
/db/blink01.cmp_merge.kpt:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.cmp_merge.kpt
--------------------------------------------------------------------------------
/db/blink01.db_info:
--------------------------------------------------------------------------------
1 | Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
2 | Version_Index = 302049280
3 | Creation_Time = Tue Jul 21 02:33:22 2020
4 |
--------------------------------------------------------------------------------
/db/blink01.eco.cdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.eco.cdb
--------------------------------------------------------------------------------
/db/blink01.eda.qmsg:
--------------------------------------------------------------------------------
1 | { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595326492504 ""}
2 | { "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595326492504 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jul 21 03:14:52 2020 " "Processing started: Tue Jul 21 03:14:52 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595326492504 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1595326492504 ""}
3 | { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off blink01 -c blink01 " "Command: quartus_eda --read_settings_files=off --write_settings_files=off blink01 -c blink01" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1595326492504 ""}
4 | { "Info" "IWSC_DONE_HDL_DUAL_SDO_GENERATION" "blink01.vho\", \"blink01_fast.vho blink01_vhd.sdo blink01_vhd_fast.sdo D:/temp-development/alteraProjects/blink01/simulation/modelsim/ simulation " "Generated files \"blink01.vho\", \"blink01_fast.vho\", \"blink01_vhd.sdo\" and \"blink01_vhd_fast.sdo\" in directory \"D:/temp-development/alteraProjects/blink01/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204026 "Generated files \"%1!s!\", \"%2!s!\" and \"%3!s!\" in directory \"%4!s!\" for EDA %5!s! tool" 0 0 "Quartus II" 0 -1 1595326492770 ""}
5 | { "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4519 " "Peak virtual memory: 4519 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595326492840 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jul 21 03:14:52 2020 " "Processing ended: Tue Jul 21 03:14:52 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595326492840 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595326492840 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595326492840 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595326492840 ""}
6 |
--------------------------------------------------------------------------------
/db/blink01.fit.qmsg:
--------------------------------------------------------------------------------
1 | { "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1595326487131 ""}
2 | { "Info" "IMPP_MPP_USER_DEVICE" "blink01 EP2C5T144C8 " "Selected device EP2C5T144C8 for design \"blink01\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1595326487136 ""}
3 | { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1595326487155 ""}
4 | { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1595326487155 ""}
5 | { "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1595326487209 ""}
6 | { "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1595326487217 ""}
7 | { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C5T144I8 " "Device EP2C5T144I8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595326487355 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8T144C8 " "Device EP2C8T144C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595326487355 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C8T144I8 " "Device EP2C8T144I8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1595326487355 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1595326487355 ""}
8 | { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ 1 " "Pin ~ASDO~ is reserved at location 1" { } { { "d:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "d:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ASDO~ } } } { "d:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "D:/temp-development/alteraProjects/blink01/" { { 0 { 0 ""} 0 149 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1595326487356 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ 2 " "Pin ~nCSO~ is reserved at location 2" { } { { "d:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "d:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~nCSO~ } } } { "d:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "D:/temp-development/alteraProjects/blink01/" { { 0 { 0 ""} 0 150 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1595326487356 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS41p/nCEO~ 76 " "Pin ~LVDS41p/nCEO~ is reserved at location 76" { } { { "d:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "d:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~LVDS41p/nCEO~ } } } { "d:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~LVDS41p/nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "D:/temp-development/alteraProjects/blink01/" { { 0 { 0 ""} 0 151 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1595326487356 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1595326487356 ""}
9 | { "Critical Warning" "WSTA_SDC_NOT_FOUND" "blink01.sdc " "Synopsys Design Constraints File file not found: 'blink01.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1595326487445 ""}
10 | { "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1595326487445 ""}
11 | { "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1595326487447 ""}
12 | { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "clk (placed in PIN 17 (CLK0, LVDSCLK0p, Input)) " "Automatically promoted node clk (placed in PIN 17 (CLK0, LVDSCLK0p, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G2 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1595326487450 ""} } { { "d:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "d:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clk } } } { "d:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "d:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "clk" } } } } { "blink01.vhd" "" { Text "D:/temp-development/alteraProjects/blink01/blink01.vhd" 9 0 0 } } { "d:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "d:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "D:/temp-development/alteraProjects/blink01/" { { 0 { 0 ""} 0 4 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1595326487450 ""}
13 | { "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1595326487481 ""}
14 | { "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1595326487481 ""}
15 | { "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1595326487482 ""}
16 | { "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1595326487482 ""}
17 | { "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1595326487482 ""}
18 | { "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1595326487485 ""}
19 | { "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1595326487485 ""}
20 | { "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1595326487486 ""}
21 | { "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1595326487491 ""}
22 | { "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1595326487491 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1595326487491 ""}
23 | { "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595326487494 ""}
24 | { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1595326487752 ""}
25 | { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595326487783 ""}
26 | { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1595326487787 ""}
27 | { "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1595326487993 ""}
28 | { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595326487994 ""}
29 | { "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1595326488027 ""}
30 | { "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X13_Y14 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X13_Y14" { } { { "loc" "" { Generic "D:/temp-development/alteraProjects/blink01/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X13_Y14"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X13_Y14"} 0 0 14 15 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1595326488248 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1595326488248 ""}
31 | { "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595326488308 ""}
32 | { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1595326488310 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1595326488310 ""}
33 | { "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.11 " "Total time spent on timing analysis during the Fitter is 0.11 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1595326488313 ""}
34 | { "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1595326488314 ""}
35 | { "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "3 " "Found 3 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led0 0 " "Pin \"led0\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1595326488316 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led1 0 " "Pin \"led1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1595326488316 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led2 0 " "Pin \"led2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1595326488316 ""} } { } 0 306006 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "Fitter" 0 -1 1595326488316 ""}
36 | { "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1595326488352 ""}
37 | { "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1595326488358 ""}
38 | { "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1595326488390 ""}
39 | { "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1595326488466 ""}
40 | { "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/temp-development/alteraProjects/blink01/output_files/blink01.fit.smsg " "Generated suppressed messages file D:/temp-development/alteraProjects/blink01/output_files/blink01.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1595326488524 ""}
41 | { "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4789 " "Peak virtual memory: 4789 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595326488663 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jul 21 03:14:48 2020 " "Processing ended: Tue Jul 21 03:14:48 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595326488663 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595326488663 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595326488663 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1595326488663 ""}
42 |
--------------------------------------------------------------------------------
/db/blink01.hier_info:
--------------------------------------------------------------------------------
1 | |blink01
2 | clk => blink.CLK
3 | clk => cnt[0].CLK
4 | clk => cnt[1].CLK
5 | clk => cnt[2].CLK
6 | clk => cnt[3].CLK
7 | clk => cnt[4].CLK
8 | clk => cnt[5].CLK
9 | clk => cnt[6].CLK
10 | clk => cnt[7].CLK
11 | clk => cnt[8].CLK
12 | clk => cnt[9].CLK
13 | clk => cnt[10].CLK
14 | clk => cnt[11].CLK
15 | clk => cnt[12].CLK
16 | clk => cnt[13].CLK
17 | clk => cnt[14].CLK
18 | clk => cnt[15].CLK
19 | clk => cnt[16].CLK
20 | clk => cnt[17].CLK
21 | clk => cnt[18].CLK
22 | clk => cnt[19].CLK
23 | clk => cnt[20].CLK
24 | clk => cnt[21].CLK
25 | clk => cnt[22].CLK
26 | clk => cnt[23].CLK
27 | clk => cnt[24].CLK
28 | clk => led1~reg0.CLK
29 | led0 <= blink.DB_MAX_OUTPUT_PORT_TYPE
30 | led1 <= led1~reg0.DB_MAX_OUTPUT_PORT_TYPE
31 | led2 <= blink.DB_MAX_OUTPUT_PORT_TYPE
32 | sw0 => Add1.IN21
33 | sw0 => Add1.IN22
34 | sw0 => Add1.IN23
35 | sw0 => led1~reg0.DATAIN
36 |
37 |
38 |
--------------------------------------------------------------------------------
/db/blink01.hif:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.hif
--------------------------------------------------------------------------------
/db/blink01.ipinfo:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.ipinfo
--------------------------------------------------------------------------------
/db/blink01.lpc.html:
--------------------------------------------------------------------------------
1 |
2 |
3 | | Hierarchy |
4 | Input |
5 | Constant Input |
6 | Unused Input |
7 | Floating Input |
8 | Output |
9 | Constant Output |
10 | Unused Output |
11 | Floating Output |
12 | Bidir |
13 | Constant Bidir |
14 | Unused Bidir |
15 | Input only Bidir |
16 | Output only Bidir |
17 |
18 |
19 |
--------------------------------------------------------------------------------
/db/blink01.lpc.rdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.lpc.rdb
--------------------------------------------------------------------------------
/db/blink01.lpc.txt:
--------------------------------------------------------------------------------
1 | +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2 | ; Legal Partition Candidates ;
3 | +-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
4 | ; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
5 | +-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
6 |
--------------------------------------------------------------------------------
/db/blink01.map.ammdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.map.ammdb
--------------------------------------------------------------------------------
/db/blink01.map.bpm:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.map.bpm
--------------------------------------------------------------------------------
/db/blink01.map.cdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.map.cdb
--------------------------------------------------------------------------------
/db/blink01.map.hdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.map.hdb
--------------------------------------------------------------------------------
/db/blink01.map.kpt:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.map.kpt
--------------------------------------------------------------------------------
/db/blink01.map.logdb:
--------------------------------------------------------------------------------
1 | v1
2 |
--------------------------------------------------------------------------------
/db/blink01.map.qmsg:
--------------------------------------------------------------------------------
1 | { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595326484793 ""}
2 | { "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595326484793 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jul 21 03:14:44 2020 " "Processing started: Tue Jul 21 03:14:44 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595326484793 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1595326484793 ""}
3 | { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off blink01 -c blink01 " "Command: quartus_map --read_settings_files=on --write_settings_files=off blink01 -c blink01" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1595326484793 ""}
4 | { "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1595326485077 ""}
5 | { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "blink01.vhd 2 1 " "Found 2 design units, including 1 entities, in source file blink01.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 blink01-rtl " "Found design unit 1: blink01-rtl" { } { { "blink01.vhd" "" { Text "D:/temp-development/alteraProjects/blink01/blink01.vhd" 17 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1595326485435 ""} { "Info" "ISGN_ENTITY_NAME" "1 blink01 " "Found entity 1: blink01" { } { { "blink01.vhd" "" { Text "D:/temp-development/alteraProjects/blink01/blink01.vhd" 7 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1595326485435 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1595326485435 ""}
6 | { "Info" "ISGN_START_ELABORATION_TOP" "blink01 " "Elaborating entity \"blink01\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1595326485459 ""}
7 | { "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1595326485898 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1595326485898 ""}
8 | { "Info" "ICUT_CUT_TM_SUMMARY" "63 " "Implemented 63 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Implemented 2 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1595326485959 ""} { "Info" "ICUT_CUT_TM_OPINS" "3 " "Implemented 3 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1595326485959 ""} { "Info" "ICUT_CUT_TM_LCELLS" "58 " "Implemented 58 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1595326485959 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1595326485959 ""}
9 | { "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4622 " "Peak virtual memory: 4622 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595326485997 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jul 21 03:14:45 2020 " "Processing ended: Tue Jul 21 03:14:45 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595326485997 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595326485997 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595326485997 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595326485997 ""}
10 |
--------------------------------------------------------------------------------
/db/blink01.map.rdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.map.rdb
--------------------------------------------------------------------------------
/db/blink01.map_bb.cdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.map_bb.cdb
--------------------------------------------------------------------------------
/db/blink01.map_bb.hdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.map_bb.hdb
--------------------------------------------------------------------------------
/db/blink01.map_bb.logdb:
--------------------------------------------------------------------------------
1 | v1
2 |
--------------------------------------------------------------------------------
/db/blink01.pplq.rdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.pplq.rdb
--------------------------------------------------------------------------------
/db/blink01.pre_map.hdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.pre_map.hdb
--------------------------------------------------------------------------------
/db/blink01.pti_db_list.ddb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.pti_db_list.ddb
--------------------------------------------------------------------------------
/db/blink01.root_partition.map.reg_db.cdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.root_partition.map.reg_db.cdb
--------------------------------------------------------------------------------
/db/blink01.routing.rdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.routing.rdb
--------------------------------------------------------------------------------
/db/blink01.rtlv.hdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.rtlv.hdb
--------------------------------------------------------------------------------
/db/blink01.rtlv_sg.cdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.rtlv_sg.cdb
--------------------------------------------------------------------------------
/db/blink01.rtlv_sg_swap.cdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.rtlv_sg_swap.cdb
--------------------------------------------------------------------------------
/db/blink01.sgdiff.cdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.sgdiff.cdb
--------------------------------------------------------------------------------
/db/blink01.sgdiff.hdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.sgdiff.hdb
--------------------------------------------------------------------------------
/db/blink01.sld_design_entry.sci:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.sld_design_entry.sci
--------------------------------------------------------------------------------
/db/blink01.sld_design_entry_dsc.sci:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.sld_design_entry_dsc.sci
--------------------------------------------------------------------------------
/db/blink01.smart_action.txt:
--------------------------------------------------------------------------------
1 | DONE
2 |
--------------------------------------------------------------------------------
/db/blink01.sta.qmsg:
--------------------------------------------------------------------------------
1 | { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1595326491039 ""}
2 | { "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491039 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jul 21 03:14:50 2020 " "Processing started: Tue Jul 21 03:14:50 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1595326491039 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1595326491039 ""}
3 | { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta blink01 -c blink01 " "Command: quartus_sta blink01 -c blink01" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1595326491039 ""}
4 | { "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1595326491104 ""}
5 | { "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1595326491205 ""}
6 | { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1595326491226 ""}
7 | { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1595326491226 ""}
8 | { "Critical Warning" "WSTA_SDC_NOT_FOUND" "blink01.sdc " "Synopsys Design Constraints File file not found: 'blink01.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1595326491293 ""}
9 | { "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1595326491294 ""}
10 | { "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491294 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491294 ""}
11 | { "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1595326491295 ""}
12 | { "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1595326491302 ""}
13 | { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1595326491307 ""}
14 | { "Info" "ISTA_WORST_CASE_SLACK" "setup -5.342 " "Worst-case setup slack is -5.342" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491314 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491314 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.342 -105.573 clk " " -5.342 -105.573 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491314 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595326491314 ""}
15 | { "Info" "ISTA_WORST_CASE_SLACK" "hold 0.499 " "Worst-case hold slack is 0.499" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491323 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.499 0.000 clk " " 0.499 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491323 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595326491323 ""}
16 | { "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595326491327 ""}
17 | { "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595326491330 ""}
18 | { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.941 " "Worst-case minimum pulse width slack is -1.941" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491334 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491334 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.941 -42.009 clk " " -1.941 -42.009 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491334 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595326491334 ""}
19 | { "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1595326491368 ""}
20 | { "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1595326491369 ""}
21 | { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1595326491376 ""}
22 | { "Info" "ISTA_WORST_CASE_SLACK" "setup -1.202 " "Worst-case setup slack is -1.202" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491379 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491379 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.202 -18.260 clk " " -1.202 -18.260 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491379 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595326491379 ""}
23 | { "Info" "ISTA_WORST_CASE_SLACK" "hold 0.215 " "Worst-case hold slack is 0.215" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491385 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491385 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.215 0.000 clk " " 0.215 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491385 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595326491385 ""}
24 | { "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595326491390 ""}
25 | { "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1595326491394 ""}
26 | { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.380 " "Worst-case minimum pulse width slack is -1.380" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491397 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491397 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.380 -28.380 clk " " -1.380 -28.380 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1595326491397 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1595326491397 ""}
27 | { "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1595326491438 ""}
28 | { "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1595326491469 ""}
29 | { "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1595326491469 ""}
30 | { "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4529 " "Peak virtual memory: 4529 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1595326491557 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jul 21 03:14:51 2020 " "Processing ended: Tue Jul 21 03:14:51 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1595326491557 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1595326491557 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1595326491557 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1595326491557 ""}
31 |
--------------------------------------------------------------------------------
/db/blink01.sta.rdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.sta.rdb
--------------------------------------------------------------------------------
/db/blink01.sta_cmp.8_slow.tdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.sta_cmp.8_slow.tdb
--------------------------------------------------------------------------------
/db/blink01.syn_hier_info:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.syn_hier_info
--------------------------------------------------------------------------------
/db/blink01.tis_db_list.ddb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.tis_db_list.ddb
--------------------------------------------------------------------------------
/db/blink01.tmw_info:
--------------------------------------------------------------------------------
1 | start_full_compilation:s:00:00:09
2 | start_analysis_synthesis:s:00:00:02-start_full_compilation
3 | start_analysis_elaboration:s-start_full_compilation
4 | start_fitter:s:00:00:03-start_full_compilation
5 | start_assembler:s:00:00:01-start_full_compilation
6 | start_timing_analyzer:s:00:00:02-start_full_compilation
7 | start_eda_netlist_writer:s:00:00:01-start_full_compilation
8 |
--------------------------------------------------------------------------------
/db/blink01.vpr.ammdb:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/JamesHagerman/Altera-Cyclone-II-EP2C5T144-blink/2e37d632496923ff4e09cdcb14a170bf951fa636/db/blink01.vpr.ammdb
--------------------------------------------------------------------------------
/db/logic_util_heursitic.dat:
--------------------------------------------------------------------------------
1 |
2 |
! " # $ % &