├── .github └── workflows │ └── release.yml ├── Binaries ├── gottagofast_6MB_rev_a.jed ├── gottagofast_6MB_rev_b.jed ├── gottagofast_cdtv_rev_a.jed ├── gottagofast_cdtv_rev_b.jed ├── gottagofast_rev_a.jed └── gottagofast_rev_b.jed ├── CircuitMaker ├── PCB1.CMPcbDoc └── Sheet1.SchDoc ├── Gerber └── GottaGoFastRAM_Rev_B1_Gerbers.zip ├── Images ├── assembled.jpg ├── mounting_strip.jpg ├── pcb.png ├── read.svg ├── stacked1.jpg ├── stacked2.jpg ├── wavedrom-waveforms.txt └── write.svg ├── LICENSE.md ├── README.md ├── RTL ├── .gitignore ├── Makefile ├── gottagofast.ucf ├── gottagofast.v └── template.xst ├── Rev_A ├── CircuitMaker │ ├── PCB1.CMPcbDoc │ └── Sheet1.SchDoc ├── Gerber │ ├── Gerber │ │ ├── PCB1-macro.APR_LIB │ │ ├── PCB1.EXTREP │ │ ├── PCB1.GBL │ │ ├── PCB1.GBO │ │ ├── PCB1.GBP │ │ ├── PCB1.GBS │ │ ├── PCB1.GPB │ │ ├── PCB1.GPT │ │ ├── PCB1.GTL │ │ ├── PCB1.GTO │ │ ├── PCB1.GTP │ │ ├── PCB1.GTS │ │ ├── PCB1.Outline │ │ ├── PCB1.REP │ │ ├── PCB1.RUL │ │ └── PCB1.apr │ └── NC Drill │ │ ├── PCB1.DRR │ │ ├── PCB1.LDP │ │ └── PCB1.TXT ├── Images │ ├── pcb.png │ ├── read.svg │ └── write.svg ├── LICENSE.md ├── README.md └── schematic.pdf └── Schematic.pdf /.github/workflows/release.yml: -------------------------------------------------------------------------------- 1 | name: Generate release files 2 | 3 | permissions: 4 | contents: write 5 | on: 6 | push: 7 | tags: 8 | - "Release_**" 9 | - "Rev**" 10 | - "Proto**" 11 | - "proto**" 12 | workflow_dispatch: 13 | 14 | jobs: 15 | draft_release: 16 | runs-on: ubuntu-latest 17 | 18 | steps: 19 | - name: Checkout 20 | uses: actions/checkout@v3 21 | - name: release 22 | uses: softprops/action-gh-release@v1 23 | with: 24 | draft: true 25 | files: | 26 | Binaries/*.jed 27 | -------------------------------------------------------------------------------- /CircuitMaker/PCB1.CMPcbDoc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/CircuitMaker/PCB1.CMPcbDoc -------------------------------------------------------------------------------- /CircuitMaker/Sheet1.SchDoc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/CircuitMaker/Sheet1.SchDoc -------------------------------------------------------------------------------- /Gerber/GottaGoFastRAM_Rev_B1_Gerbers.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Gerber/GottaGoFastRAM_Rev_B1_Gerbers.zip -------------------------------------------------------------------------------- /Images/assembled.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Images/assembled.jpg -------------------------------------------------------------------------------- /Images/mounting_strip.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Images/mounting_strip.jpg -------------------------------------------------------------------------------- /Images/pcb.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Images/pcb.png -------------------------------------------------------------------------------- /Images/read.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | Read Cycle012345State012345670CLKADDRMatchASDSRWBUFFER_OEWRDATAMADDRRowColumnRASCAS -------------------------------------------------------------------------------- /Images/stacked1.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Images/stacked1.jpg -------------------------------------------------------------------------------- /Images/stacked2.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Images/stacked2.jpg -------------------------------------------------------------------------------- /Images/wavedrom-waveforms.txt: -------------------------------------------------------------------------------- 1 | # Used on wavedrom.com to generate timing diagrams 2 | 3 | {signal: [ 4 | {name: 'State', wave: 'xx=========x', period: 0.5, data: "0 1 2 3 4 5 6 7 0",}, 5 | {name: 'CLK', wave: 'P.....',}, 6 | {name: 'ADDR', wave: 'xxx=......xx', period: 0.5, data: "Match"}, 7 | {name: 'AS', wave: '1...0....1..', period:0.5}, 8 | {name: 'DS', wave: '1...0....1..', period:0.5}, 9 | {name: 'RW', wave: '0.1.........', period:0.5}, 10 | {name: 'BUFFER_OE', wave: '1....0...1..', period:0.5}, 11 | {name: 'WR', wave: '1...........', period:0.5}, 12 | {name: 'DATA', wave: 'x.......=.x.', period:0.5}, 13 | {name: 'MADDR', wave: 'x...=..=..xx',period:0.5, data: "Row Column"}, 14 | {name: 'RAS', wave: '1...010...1.',period:0.5}, 15 | {name: 'CAS', wave: '1..0.1..0.1.',period:0.5}, 16 | ], 17 | head:{text:'Read Cycle', tock: 0,}, 18 | config: {hscale: 2}} 19 | 20 | 21 | {signal: [ 22 | {name: 'State', wave: 'xx=========x', period: 0.5, data: "0 1 2 3 4 5 6 7 0",}, 23 | {name: 'CLK', wave: 'P.....',}, 24 | {name: 'ADDR', wave: 'xxx=......xx', period: 0.5, data: "Match"}, 25 | {name: 'AS', wave: '1...0....1..', period:0.5}, 26 | {name: 'DS', wave: '1.....0..1..', period:0.5}, 27 | {name: 'RW', wave: '1...0.....1.', period:0.5}, 28 | {name: 'BUFFER_OE', wave: '1.....0..1..', period:0.5}, 29 | {name: 'WR', wave: '1.....0..1..', period:0.5}, 30 | {name: 'DATA', wave: 'x....=....xx', period:0.5}, 31 | {name: 'MADDR', wave: 'x...=..=..xx',period:0.5, data: "Row Column"}, 32 | {name: 'RAS', wave: '1...010...1.',period:0.5}, 33 | {name: 'CAS', wave: '1..0.1..0.1.',period:0.5}, 34 | ], 35 | head:{text:'Write Cycle', tock: 0,}, 36 | config: {hscale: 2}} 37 | -------------------------------------------------------------------------------- /Images/write.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | Write Cycle012345State012345670CLKADDRMatchASDSRWBUFFER_OEWRDATAMADDRRowColumnRASCAS -------------------------------------------------------------------------------- /LICENSE.md: -------------------------------------------------------------------------------- 1 | CERN Open Hardware Licence v1.2 2 | 3 | Preamble 4 | 5 | Through this CERN Open Hardware Licence ("CERN OHL") version 1.2, CERN 6 | wishes to provide a tool to foster collaboration and sharing among 7 | hardware designers. The CERN OHL is copyright CERN. Anyone is welcome 8 | to use the CERN OHL, in unmodified form only, for the distribution of 9 | their own Open Hardware designs. Any other right is reserved. Release 10 | of hardware designs under the CERN OHL does not constitute an 11 | endorsement of the licensor or its designs nor does it imply any 12 | involvement by CERN in the development of such designs. 13 | 14 | 1. Definitions 15 | 16 | In this Licence, the following terms have the following meanings: 17 | 18 | “Licence” means this CERN OHL. 19 | 20 | “Documentation” means schematic diagrams, designs, circuit or circuit 21 | board layouts, mechanical drawings, flow charts and descriptive text, 22 | and other explanatory material that is explicitly stated as being made 23 | available under the conditions of this Licence. The Documentation may 24 | be in any medium, including but not limited to computer files and 25 | representations on paper, film, or any other media. 26 | 27 | “Documentation Location” means a location where the Licensor has 28 | placed Documentation, and which he believes will be publicly 29 | accessible for at least three years from the first communication to 30 | the public or distribution of Documentation. 31 | 32 | “Product” means either an entire, or any part of a, device built using 33 | the Documentation or the modified Documentation. 34 | 35 | “Licensee” means any natural or legal person exercising rights under 36 | this Licence. 37 | 38 | “Licensor” means any natural or legal person that creates or modifies 39 | Documentation and subsequently communicates to the public and/ or 40 | distributes the resulting Documentation under the terms and conditions 41 | of this Licence. 42 | 43 | A Licensee may at the same time be a Licensor, and vice versa. 44 | 45 | Use of the masculine gender includes the feminine and neuter genders 46 | and is employed solely to facilitate reading. 47 | 48 | 2. Applicability 49 | 50 | 2.1. This Licence governs the use, copying, modification, 51 | communication to the public and distribution of the Documentation, and 52 | the manufacture and distribution of Products. By exercising any right 53 | granted under this Licence, the Licensee irrevocably accepts these 54 | terms and conditions. 55 | 56 | 2.2. This Licence is granted by the Licensor directly to the Licensee, 57 | and shall apply worldwide and without limitation in time. The Licensee 58 | may assign his licence rights or grant sub-licences. 59 | 60 | 2.3. This Licence does not extend to software, firmware, or code 61 | loaded into programmable devices which may be used in conjunction with 62 | the Documentation, the modified Documentation or with Products, unless 63 | such software, firmware, or code is explicitly expressed to be subject 64 | to this Licence. The use of such software, firmware, or code is 65 | otherwise subject to the applicable licence terms and conditions. 66 | 67 | 3. Copying, modification, communication to the public and distribution 68 | of the Documentation 69 | 70 | 3.1. The Licensee shall keep intact all copyright and trademarks 71 | notices, all notices referring to Documentation Location, and all 72 | notices that refer to this Licence and to the disclaimer of warranties 73 | that are included in the Documentation. He shall include a copy 74 | thereof in every copy of the Documentation or, as the case may be, 75 | modified Documentation, that he communicates to the public or 76 | distributes. 77 | 78 | 3.2. The Licensee may copy, communicate to the public and distribute 79 | verbatim copies of the Documentation, in any medium, subject to the 80 | requirements specified in section 3.1. 81 | 82 | 3.3. The Licensee may modify the Documentation or any portion thereof 83 | provided that upon modification of the Documentation, the Licensee 84 | shall make the modified Documentation available from a Documentation 85 | Location such that it can be easily located by an original Licensor 86 | once the Licensee communicates to the public or distributes the 87 | modified Documentation under section 3.4, and, where required by 88 | section 4.1, by a recipient of a Product. However, the Licensor shall 89 | not assert his rights under the foregoing proviso unless or until a 90 | Product is distributed. 91 | 92 | 3.4. The Licensee may communicate to the public and distribute the 93 | modified Documentation (thereby in addition to being a Licensee also 94 | becoming a Licensor), always provided that he shall: 95 | 96 | a) comply with section 3.1; 97 | 98 | b) cause the modified Documentation to carry prominent notices stating 99 | that the Licensee has modified the Documentation, with the date and 100 | description of the modifications; 101 | 102 | c) cause the modified Documentation to carry a new Documentation 103 | Location notice if the original Documentation provided for one; 104 | 105 | d) make available the modified Documentation at the same level of 106 | abstraction as that of the Documentation, in the preferred format for 107 | making modifications to it (e.g. the native format of the CAD tool as 108 | applicable), and in the event that format is proprietary, in a format 109 | viewable with a tool licensed under an OSI-approved license if the 110 | proprietary tool can create it; and 111 | 112 | e) license the modified Documentation under the terms and conditions 113 | of this Licence or, where applicable, a later version of this Licence 114 | as may be issued by CERN. 115 | 116 | 3.5. The Licence includes a non-exclusive licence to those patents or 117 | registered designs that are held by, under the control of, or 118 | sub-licensable by the Licensor, to the extent necessary to make use of 119 | the rights granted under this Licence. The scope of this section 3.5 120 | shall be strictly limited to the parts of the Documentation or 121 | modified Documentation created by the Licensor. 122 | 123 | 4. Manufacture and distribution of Products 124 | 125 | 4.1. The Licensee may manufacture or distribute Products always 126 | provided that, where such manufacture or distribution requires a 127 | licence under this Licence the Licensee provides to each recipient of 128 | such Products an easy means of accessing a copy of the Documentation 129 | or modified Documentation, as applicable, as set out in section 3. 130 | 131 | 4.2. The Licensee is invited to inform any Licensor who has indicated 132 | his wish to receive this information about the type, quantity and 133 | dates of production of Products the Licensee has (had) manufactured 134 | 135 | 5. Warranty and liability 136 | 137 | 5.1. DISCLAIMER – The Documentation and any modified Documentation are 138 | provided "as is" and any express or implied warranties, including, but 139 | not limited to, implied warranties of merchantability, of satisfactory 140 | quality, non-infringement of third party rights, and fitness for a 141 | particular purpose or use are disclaimed in respect of the 142 | Documentation, the modified Documentation or any Product. The Licensor 143 | makes no representation that the Documentation, modified 144 | Documentation, or any Product, does or will not infringe any patent, 145 | copyright, trade secret or other proprietary right. The entire risk as 146 | to the use, quality, and performance of a Product shall be with the 147 | Licensee and not the Licensor. This disclaimer of warranty is an 148 | essential part of this Licence and a condition for the grant of any 149 | rights granted under this Licence. The Licensee warrants that it does 150 | not act in a consumer capacity. 151 | 152 | 5.2. LIMITATION OF LIABILITY – The Licensor shall have no liability 153 | for direct, indirect, special, incidental, consequential, exemplary, 154 | punitive or other damages of any character including, without 155 | limitation, procurement of substitute goods or services, loss of use, 156 | data or profits, or business interruption, however caused and on any 157 | theory of contract, warranty, tort (including negligence), product 158 | liability or otherwise, arising in any way in relation to the 159 | Documentation, modified Documentation and/or the use, manufacture or 160 | distribution of a Product, even if advised of the possibility of such 161 | damages, and the Licensee shall hold the Licensor(s) free and harmless 162 | from any liability, costs, damages, fees and expenses, including 163 | claims by third parties, in relation to such use. 164 | 165 | 6. General 166 | 167 | 6.1. Except for the rights explicitly granted hereunder, this Licence 168 | does not imply or represent any transfer or assignment of intellectual 169 | property rights to the Licensee. 170 | 171 | 6.2. The Licensee shall not use or make reference to any of the names 172 | (including acronyms and abbreviations), images, or logos under which 173 | the Licensor is known, save in so far as required to comply with 174 | section 3. Any such permitted use or reference shall be factual and 175 | shall in no event suggest any kind of endorsement by the Licensor or 176 | its personnel of the modified Documentation or any Product, or any 177 | kind of implication by the Licensor or its personnel in the 178 | preparation of the modified Documentation or Product. 179 | 180 | 6.3. CERN may publish updated versions of this Licence which retain 181 | the same general provisions as this version, but differ in detail so 182 | far this is required and reasonable. New versions will be published 183 | with a unique version number. 184 | 185 | 6.4. This Licence shall terminate with immediate effect, upon written 186 | notice and without involvement of a court if the Licensee fails to 187 | comply with any of its terms and conditions, or if the Licensee 188 | initiates legal action against Licensor in relation to this 189 | Licence. Section 5 shall continue to apply. -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | # GottaGoFastRAM 8MB Autoconfig Fast RAM 2 | 3 | This is an 8MB Autoconfig Fast RAM for Amiga 500/1000/2000/CDTV based on mkl's mem68k 4 | It's small size allows it to be stacked on top of an ide68k board 5 | Rather than use the original mem68k Abel code I have written a new memory controller in Verilog 6 | 7 | ![PCB](Images/pcb.png?raw=True) 8 | ![Assembled](Images/assembled.jpg?raw=True) 9 | ## Table of contents 10 | 1. [PCB Ordering](#ordering-pcbs) 11 | 2. [Mounting Options](#mounting-options) 12 | 3. [Cfgin/out](#cfginout) 13 | 4. [Jumpers](#jumpers) 14 | 5. [CDTV](#cdtv) 15 | 6. [Timing Diagrams](#timing-diagrams) 16 | 7. [Bill of materials](#bill-of-materials) 17 | 8. [Known Issues](#known-issues) 18 | 9. [Experimental firmware](#experimental-firmware) 19 | 20 | ## Ordering PCBs 21 | I recommend ordering the PCB with a 0.6 or 0.8mm thickness if you intend on stacking with an ide68k or mouting using a DIP socket/machined pin strips. 22 | If you are going to mount using the socket pins and not stacking with an ide68k I'd recommend going with the usual 1.6mm to reduce flexing. 23 | 24 | ## Mounting options 25 | 26 | 1. Can be soldered to the bottom of a machined pin socket/machined pin strips (will require some cutting of the dip socket to fit the caps/ferrites near the plastic) 27 | 28 | 2. Can be soldered to socket pins and stacked with an ide68k 29 | 30 | 31 | 32 | ## Cfgin/out 33 | These pins allow you to insert the memory module into your autoconfig chain. 34 | If there are no other autoconfig devices in your system you can just leave these unconnected otherwise you will need to either connect CFGOut to the CFGin of the first device in your existing autoconfig chain, or connect CFGIn to the CFGOut pin of the last device in your existing autoconfig chain. 35 | In the Amiga 2000 you can connect CFGIN to U606 Pin 8 which will add the device to the end of the chain but __NOTE: This takes up the config signal for the leftmost slot (CN601) so do NOT install a Zorro card there__ 36 | 37 | You can also connect cfgin and cfgout with a jumper to disable the device temporarily 38 | 39 | ## Jumpers 40 | J1: Cfgin pull up/down (You will probably want to leave this at default unless you know what you're doing) 41 | J2-3: Bridge these if you have not fitted the buffer U4 42 | 43 | ## CDTV 44 | The CDTV's DMAC takes up the first autoconfig slot, so if you intend to use this with a CDTV use the CDTV specific .JED file to program the CPLD 45 | 46 | If you have a Rev A or Rev B (not B1) board you will need to apply a [small mod for CDTV compatibility](https://github.com/LIV2/GottaGoFastRAM/issues/4/#issuecomment-868977723) 47 | 48 | ## Timing Diagrams 49 | ![Read Cycle](Images/read.svg?raw=True) 50 | ![Write Cycle](Images/write.svg?raw=True) 51 | 52 | ### Bill of materials 53 | |Component|Location|QTY|Link|Remarks| 54 | |---------|--------|---|------|--------| 55 | |4Mx16 3V DRAM TSSOP - K4E641612D or GM71VS65163 |U3|1|-|eBay/Aliexpress etc.| 56 | |XC9572XL-10VQ64C|U1|1|[Mouser](https://www.mouser.se/ProductDetail/217-C9572XL-10VQG64C)
[DigiKey](https://www.digikey.com/short/2f7qn38b)|| 57 | |74LVC2G17 TSSOP-6/SOT-363|U4|1|[Mouser](https://www.mouser.com/ProductDetail/771-74LVC2G17GVQ100H)
[DigiKey](https://www.digikey.com/short/95mn57tt) || 58 | |AP2210N-3.3 SOT-23|U5|1|[Mouser](https://www.mouser.com/ProductDetail/621-AP2210N-3.3TRG1)
[DigiKey](https://www.digikey.com/short/30rd0zn5)|Maybe can be substituted with MCP1703AT-3302E| 59 | |74LVC245N TSSOP|U6,U7|2|[Mouser](https://www.mouser.com/ProductDetail/595-SN74LVC245APWRG4)
[DigiKey](https://www.digikey.com/short/pnq3wff3)|Can be substituted with SN74LVTH245 or SN74LVCR2245| 60 | |Ferrite Bead, 1206 package|FB1, FB2|2|[Mouser](https://www.mouser.com/ProductDetail/875-MI1206K601R-10)
[DigiKey](https://www.digikey.com/short/n4p04ctv)|Can be substituted with 0 Ohm 1206 resistors| 61 | |10K Resistor, 0603|R1|1|[Mouser](https://www.mouser.com/ProductDetail/603-RT0603FRD0710KL)
[DigiKey](https://www.digikey.com/short/nvvrt5dw)|| 62 | |0.1uF Ceramic Capacitor, 0603|C1,4-13|11|[Mouser](https://www.mouser.com/ProductDetail/80-C603C104K5RAC3121)
[DigiKey](https://www.digikey.com/short/f7trtfwt)|| 63 | |4.7uF Ceramic Capacitor, 1206|C2|1|[Mouser](https://www.mouser.com/ProductDetail/187-CL31B475KAHNFNE)
[DigiKey](https://www.digikey.com/short/d7mbf5nn)|| 64 | |10uF Ceramic Capacitor, 1206|C3|1|[Mouser](https://www.mouser.com/ProductDetail/187-CL31A106MAHNNNE)
[DigiKey](https://www.digikey.com/short/rqt1br0q)|| 65 | |Socket pins|Pins|64|[Aliexpress](https://www.aliexpress.com/item/32791545218.html?spm=a2g0o.productlist.0.0.6e223b55CVrXSq&algo_pvid=8fda9f5a-6e07-4dc8-9ed4-bd809bf75756&algo_expid=8fda9f5a-6e07-4dc8-9ed4-bd809bf75756-0&btsid=2100bde316043149660504011ef819&ws_ab_test=searchweb0_0,searchweb201602_,searchweb201603_)|Use these if stacking with an ide68k module| 66 | |64-Pin DIP socket - machined pin|Pins|1|[Mouser](https://www.mouser.com/ProductDetail/575-193964)|If not stacking with ide68k - pcb can be soldered to bottom of this flush with the plastic| 67 | 68 | ## Known issues 69 | 1. Rev A & B - Incorrect voltage supplied to U4 causes incompatibility with CDTV. Fixed in Rev B1 but you can [rework Rev A or B boards by following the instructions here](https://github.com/LIV2/GottaGoFastRAM/issues/4/#issuecomment-868977723) 70 | 71 | ## Experimental Firmware 72 | * [AutoSnoop Firmware - Autoconfig after all other Z2 devices without any wires](https://github.com/LIV2/GottaGoFastRAM/tree/snoopy) 73 | -------------------------------------------------------------------------------- /RTL/.gitignore: -------------------------------------------------------------------------------- 1 | _xmsgs 2 | tmp/ 3 | *.log 4 | *.err 5 | *.jed 6 | local.mk 7 | *.prj 8 | -------------------------------------------------------------------------------- /RTL/Makefile: -------------------------------------------------------------------------------- 1 | PROJECT=gottagofast 2 | PART=XC9572XL-10-VQ64 3 | WORKDIR=tmp 4 | BINDIR=../Binaries 5 | CABLE=usb21 6 | SERIAL=$(shell git rev-parse --short=8 HEAD) 7 | 8 | CPLDFITFLAGS=-loc on -slew slow -init low -terminate keeper -nogclkopt -nogtsopt -nogsropt -power std -ignoretspec -optimize speed -keepio -inputs 54 -pterms 25 9 | REV_A_DEFINES=makedefines autoconfig rev_a SERIAL=32'h${SERIAL} 10 | REV_B_DEFINES=makedefines autoconfig rev_b SERIAL=32'h${SERIAL} 11 | 12 | .PHONY: all clean 13 | 14 | all: $(BINDIR)/gottagofast_rev_a.jed $(BINDIR)/gottagofast_rev_b.jed $(BINDIR)/gottagofast_cdtv_rev_a.jed $(BINDIR)/gottagofast_cdtv_rev_b.jed 15 | 16 | $(PROJECT).prj: 17 | @echo verilog work $(PROJECT).v > $@ 18 | 19 | $(WORKDIR)/$(PROJECT)_rev_a.ngc: $(PROJECT).v $(PROJECT).prj 20 | @mkdir $(WORKDIR) || true 21 | @sed -r "s#^(-top).*#\1 $(PROJECT)#g;s#^(-ofn).*#\1 ..\/$@#g;s#^(-ifn).*#\1 ../$(PROJECT).prj#g;s#^(-define).*#\1 {$(REV_A_DEFINES)}#g" template.xst > $@.xst 22 | cd $(WORKDIR) && xst -ifn ../$@.xst -ofn $(PROJECT)-xst.log 23 | 24 | $(WORKDIR)/$(PROJECT)_rev_b.ngc: $(PROJECT).v $(PROJECT).prj 25 | @mkdir $(WORKDIR) || true 26 | @sed -r "s#^(-top).*#\1 $(PROJECT)#g;s#^(-ofn).*#\1 ..\/$@#g;s#^(-ifn).*#\1 ../$(PROJECT).prj#g;s#^(-define).*#\1 {$(REV_B_DEFINES)}#g" template.xst > $@.xst 27 | cd $(WORKDIR) && xst -ifn ../$@.xst -ofn $(PROJECT)-xst.log 28 | 29 | $(WORKDIR)/$(PROJECT)_6MB_rev_a.ngc: $(PROJECT).v $(PROJECT).prj 30 | @mkdir $(WORKDIR) || true 31 | @sed -r "s#^(-top).*#\1 $(PROJECT)#g;s#^(-ofn).*#\1 ..\/$@#g;s#^(-ifn).*#\1 ../$(PROJECT).prj#g;s#^(-define).*#\1 {$(REV_A_DEFINES) Offer_6M}#g" template.xst > $@.xst 32 | cd $(WORKDIR) && xst -ifn ../$@.xst -ofn $(PROJECT)-xst.log 33 | 34 | $(WORKDIR)/$(PROJECT)_6MB_rev_b.ngc: $(PROJECT).v $(PROJECT).prj 35 | @mkdir $(WORKDIR) || true 36 | @sed -r "s#^(-top).*#\1 $(PROJECT)#g;s#^(-ofn).*#\1 ..\/$@#g;s#^(-ifn).*#\1 ../$(PROJECT).prj#g;s#^(-define).*#\1 {$(REV_B_DEFINES) Offer_6M}#g" template.xst > $@.xst 37 | cd $(WORKDIR) && xst -ifn ../$@.xst -ofn $(PROJECT)-xst.log 38 | 39 | $(WORKDIR)/$(PROJECT)_cdtv_rev_a.ngc: $(PROJECT).v $(PROJECT).prj 40 | @mkdir $(WORKDIR) || true 41 | @sed -r "s#^(-top).*#\1 $(PROJECT)#g;s#^(-ofn).*#\1 ..\/$@#g;s#^(-ifn).*#\1 ../$(PROJECT).prj#g;s#^(-define).*#\1 {$(REV_A_DEFINES) cdtv}#g" template.xst > $@.xst 42 | cd $(WORKDIR) && xst -ifn ../$@.xst -ofn $(PROJECT)-xst.log 43 | 44 | $(WORKDIR)/$(PROJECT)_cdtv_rev_b.ngc: $(PROJECT).v $(PROJECT).prj 45 | @mkdir $(WORKDIR) || true 46 | @sed -r "s#^(-top).*#\1 $(PROJECT)#g;s#^(-ofn).*#\1 ..\/$@#g;s#^(-ifn).*#\1 ../$(PROJECT).prj#g;s#^(-define).*#\1 {$(REV_B_DEFINES) cdtv}#g" template.xst > $@.xst 47 | cd $(WORKDIR) && xst -ifn ../$@.xst -ofn $(PROJECT)-xst.log 48 | 49 | 50 | $(WORKDIR)/%.ngd: $(WORKDIR)/%.ngc $(PROJECT).ucf 51 | cd $(WORKDIR) && ngdbuild -p $(PART) -uc ../$(PROJECT).ucf ../$< ../$@ 52 | 53 | $(WORKDIR)/%.vm6: $(WORKDIR)/%.ngd $(PROJECT).ucf 54 | cd $(WORKDIR) && cpldfit $(CPLDFITFLAGS) -p $(PART) ../$< 55 | 56 | %.jed: $(WORKDIR)/%.vm6 57 | hprep6 -i $< 58 | 59 | $(BINDIR)/%.jed: %.jed 60 | cp $< $(BINDIR) 61 | 62 | clean: 63 | - rm -rvf tmp 64 | - rm -fv *.jed 65 | - rm -fv *.prj 66 | 67 | cleanjeds: 68 | - @rm -fv $(BINDIR)/*.jed 69 | 70 | flash-reva: $(PROJECT)_rev_a.jed 71 | @echo "setMode -bs\n"\ 72 | "setCable -p $(CABLE)\n"\ 73 | "identify\n"\ 74 | "assignfile -p 1 -file $<\n"\ 75 | "erase -p 1\n"\ 76 | "program -p 1\n"\ 77 | "verify -p 1\n"\ 78 | "exit" > batch 79 | LD_PRELOAD=/opt/Xilinx/usb-driver/libusb-driver.so impact -batch batch 80 | 81 | flash-reva-cdtv: $(PROJECT)_cdtv_rev_a.jed 82 | @echo "setMode -bs\n"\ 83 | "setCable -p $(CABLE)\n"\ 84 | "identify\n"\ 85 | "assignfile -p 1 -file $<\n"\ 86 | "erase -p 1\n"\ 87 | "program -p 1\n"\ 88 | "verify -p 1\n"\ 89 | "exit" > batch 90 | LD_PRELOAD=/opt/Xilinx/usb-driver/libusb-driver.so impact -batch batch 91 | 92 | flash-revb: $(PROJECT)_rev_b.jed 93 | @echo "setMode -bs\n"\ 94 | "setCable -p $(CABLE)\n"\ 95 | "identify\n"\ 96 | "assignfile -p 1 -file $<\n"\ 97 | "erase -p 1\n"\ 98 | "program -p 1\n"\ 99 | "verify -p 1\n"\ 100 | "exit" > batch 101 | LD_PRELOAD=/opt/Xilinx/usb-driver/libusb-driver.so impact -batch batch 102 | 103 | flash-revb-cdtv: $(PROJECT)_cdtv_rev_b.jed 104 | @echo "setMode -bs\n"\ 105 | "setCable -p $(CABLE)\n"\ 106 | "identify\n"\ 107 | "assignfile -p 1 -file $<\n"\ 108 | "erase -p 1\n"\ 109 | "program -p 1\n"\ 110 | "verify -p 1\n"\ 111 | "exit" > batch 112 | LD_PRELOAD=/opt/Xilinx/usb-driver/libusb-driver.so impact -batch batch 113 | -------------------------------------------------------------------------------- /RTL/gottagofast.ucf: -------------------------------------------------------------------------------- 1 | #PACE: Start of Constraints generated by PACE 2 | 3 | #PACE: Start of PACE I/O Pin Assignments 4 | NET "ADDR<10>" LOC = "P32" ; 5 | NET "ADDR<11>" LOC = "P33" ; 6 | NET "ADDR<12>" LOC = "P34" ; 7 | NET "ADDR<13>" LOC = "P35" ; 8 | NET "ADDR<14>" LOC = "P36" ; 9 | NET "ADDR<15>" LOC = "P38" ; 10 | NET "ADDR<16>" LOC = "P39" ; 11 | NET "ADDR<17>" LOC = "P40" ; 12 | NET "ADDR<18>" LOC = "P42" ; 13 | NET "ADDR<19>" LOC = "P43" ; 14 | NET "ADDR<1>" LOC = "P17" ; 15 | NET "ADDR<20>" LOC = "P44" ; 16 | NET "ADDR<21>" LOC = "P45" ; 17 | NET "ADDR<22>" LOC = "P46" ; 18 | NET "ADDR<23>" LOC = "P47" ; 19 | NET "ADDR<2>" LOC = "P18" ; 20 | NET "ADDR<3>" LOC = "P19" ; 21 | NET "ADDR<4>" LOC = "P20" ; 22 | NET "ADDR<5>" LOC = "P23" ; 23 | NET "ADDR<6>" LOC = "P24" ; 24 | NET "ADDR<7>" LOC = "P25" ; 25 | NET "ADDR<8>" LOC = "P27" ; 26 | NET "ADDR<9>" LOC = "P31" ; 27 | NET "ASn" LOC = "P12" ; 28 | NET "CFGINn" LOC = "P11" ; 29 | NET "CFGOUTn" LOC = "P22" ; 30 | NET "CLK" LOC = "P16" ; 31 | NET "DBUS<12>" LOC = "P51" ; 32 | NET "DBUS<13>" LOC = "P50" ; 33 | NET "DBUS<14>" LOC = "P49" ; 34 | NET "DBUS<15>" LOC = "P48" ; 35 | NET "LCASn" LOC = "P63" ; 36 | NET "LDSn" LOC = "P15" ; 37 | NET "MADDR<0>" LOC = "P4" ; 38 | NET "MADDR<10>" LOC = "P59" ; 39 | NET "MADDR<11>" LOC = "P60" ; 40 | NET "MADDR<1>" LOC = "P5" ; 41 | NET "MADDR<2>" LOC = "P6" ; 42 | NET "MADDR<3>" LOC = "P7" ; 43 | NET "MADDR<4>" LOC = "P8" ; 44 | NET "MADDR<5>" LOC = "P9" ; 45 | NET "MADDR<6>" LOC = "P52" ; 46 | NET "MADDR<7>" LOC = "P56" ; 47 | NET "MADDR<8>" LOC = "P57" ; 48 | NET "MADDR<9>" LOC = "P58" ; 49 | NET "MEMWn" LOC = "P1" ; 50 | NET "OEn" LOC = "P61" ; 51 | NET "RASn" LOC = "P2" ; 52 | NET "RESETn" LOC = "P64" ; 53 | NET "RWn" LOC = "P10" ; 54 | NET "UCASn" LOC = "P62" ; 55 | NET "UDSn" LOC = "P13" ; 56 | 57 | #PACE: Start of PACE Area Constraints 58 | 59 | #PACE: Start of PACE Prohibit Constraints 60 | 61 | #PACE: End of Constraints generated by PACE 62 | -------------------------------------------------------------------------------- /RTL/gottagofast.v: -------------------------------------------------------------------------------- 1 | /* 2 | GottaGoFastRAM - 8MB Autoconfig FastRAM for Amiga 500(+)/1000/2000/CDTV 3 | Copyright 2020 Matthew Harlum 4 | 5 | This program is free software: you can redistribute it and/or modify 6 | it under the terms of the GNU General Public License as published by 7 | the Free Software Foundation, either version 3 of the License, or 8 | (at your option) any later version. 9 | 10 | This program is distributed in the hope that it will be useful, 11 | but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | GNU General Public License for more details. 14 | 15 | You should have received a copy of the GNU General Public License 16 | along with this program. If not, see . 17 | 18 | Inspired by mkl's mem68k 19 | */ 20 | 21 | `ifndef makedefines // The defines ignored if being built by Makefile 22 | `define SERIAL 32'd421 23 | `define PRODID 8'1 24 | 25 | `define autoconfig // If disabled RAM is always mapped to $200000-9FFFFF 26 | //`define cdtv // Uncomment to build CDTV compatible version 27 | //`define Offer_6M // If told to shutup when offering 8MB, offer up a 2MB and also 4MB block next (useful with an A590/2091) 28 | `define rev_b 29 | `endif 30 | 31 | module gottagofast( 32 | input CLK, 33 | input RESETn, 34 | input CFGINn, 35 | input UDSn, 36 | input LDSn, 37 | input ASn, 38 | input RWn, 39 | inout [15:12] DBUS, 40 | input [23:1] ADDR, 41 | output reg [11:0] MADDR, 42 | output reg CFGOUTn, 43 | output RASn, 44 | output UCASn, 45 | output LCASn, 46 | output OEn, 47 | output MEMWn 48 | ); 49 | 50 | reg reset_delayed1; 51 | reg reset; 52 | 53 | // Memory controller 54 | 55 | reg ram_cycle; 56 | reg access_ras; 57 | reg access_ucas; 58 | reg access_lcas; 59 | reg refresh_ras; 60 | reg refresh_cas; 61 | reg [7:0] addr_match; 62 | 63 | `ifdef autoconfig 64 | // Autoconfig 65 | localparam [15:0] mfg_id = 16'd5194; 66 | localparam [7:0] prod_id = 8'd1; 67 | localparam [31:0] serial = `SERIAL; 68 | 69 | wire autoconfig_cycle; 70 | reg shutup = 0; 71 | reg CFGINnr; 72 | reg configured; 73 | reg [2:0] autoconfig_state; 74 | reg [3:0] data_out; 75 | 76 | localparam Offer_8M = 3'b000, 77 | // If offering 2MB + 4MB blocks you need to offer the 2MB block first 78 | // This is because of a kickstart bug where the memory config overflows if there is already 2MB configured before another 4MB then 2MB is configured... 79 | `ifdef Offer_6M 80 | Offer_2M = 3'b001, 81 | Offer_4M = 3'b010, 82 | `else 83 | Offer_4M = 3'b001, 84 | Offer_2M = 3'b010, 85 | `endif 86 | Offer_1M = 3'b011, 87 | SHUTUP = 3'b100; 88 | 89 | assign DBUS[15:12] = (RESETn & autoconfig_cycle & RWn & !ASn & !UDSn) ? data_out[3:0] : 'bZ; 90 | 91 | `ifdef cdtv 92 | reg cdtv_configured; 93 | 94 | assign autoconfig_cycle = (ADDR[23:16] == 8'hE8) & !CFGINnr & !shutup & cdtv_configured; 95 | `else 96 | assign autoconfig_cycle = (ADDR[23:16] == 8'hE8) & !CFGINnr & !shutup; 97 | `endif 98 | 99 | `ifdef cdtv 100 | // CDTV DMAC is first in chain. 101 | // So we wait until it's configured before we talk 102 | always @(negedge UDSn or negedge reset) 103 | begin 104 | if (!reset) begin 105 | cdtv_configured <= 1'b0; 106 | end else begin 107 | if (ADDR[23:16] == 8'hE8 & ADDR[8:1] == 8'h24 & !ASn & !RWn) begin 108 | cdtv_configured <= 1'b1; 109 | end 110 | end 111 | end 112 | `endif 113 | 114 | // Register Config in/out at end of bus cycle 115 | always @(posedge ASn or negedge reset) 116 | begin 117 | if (!reset) begin 118 | CFGOUTn <= 1'b1; 119 | CFGINnr <= 1'b1; 120 | end else begin 121 | CFGOUTn <= !shutup; 122 | CFGINnr <= CFGINn; 123 | end 124 | end 125 | 126 | // Offers an 8MB block first, if there's no space offer 4MB, 2MB then 1MB before giving up 127 | always @(posedge CLK or negedge reset) 128 | begin 129 | if (!reset) begin 130 | data_out <= 'bZ; 131 | end else if (autoconfig_cycle & RWn) begin 132 | case (ADDR[8:1]) 133 | 8'h00: data_out <= 4'b1110; 134 | 8'h01: 135 | case (autoconfig_state) 136 | Offer_8M: data_out <= 4'b0000; 137 | Offer_4M: data_out <= 4'b0111; 138 | Offer_2M: data_out <= 4'b0110; 139 | Offer_1M: data_out <= 4'b0101; 140 | default: data_out <= 4'b0000; 141 | endcase 142 | 8'h02: data_out <= ~prod_id[7:4]; // Product number 143 | 8'h03: data_out <= ~prod_id[3:0]; // Product number 144 | 8'h04: data_out <= ~4'b1000; 145 | 8'h05: data_out <= ~4'b0000; 146 | 8'h08: data_out <= ~mfg_id[15:12]; // Manufacturer ID 147 | 8'h09: data_out <= ~mfg_id[11:8]; // Manufacturer ID 148 | 8'h0A: data_out <= ~mfg_id[7:4]; // Manufacturer ID 149 | 8'h0B: data_out <= ~mfg_id[3:0]; // Manufacturer ID 150 | 8'h0C: data_out <= ~serial[31:28]; // Serial number 151 | 8'h0D: data_out <= ~serial[27:24]; // Serial number 152 | 8'h0E: data_out <= ~serial[23:20]; // Serial number 153 | 8'h0F: data_out <= ~serial[19:16]; // Serial number 154 | 8'h10: data_out <= ~serial[15:12]; // Serial number 155 | 8'h11: data_out <= ~serial[11:8]; // Serial number 156 | 8'h12: data_out <= ~serial[7:4]; // Serial number 157 | 8'h13: data_out <= ~serial[3:0]; // Serial number 158 | 8'h20: data_out <= 4'b0; 159 | 8'h21: data_out <= 4'b0; 160 | default: data_out <= 4'hF; 161 | endcase 162 | end 163 | end 164 | 165 | always @(negedge UDSn or negedge reset) 166 | begin 167 | if (!reset) begin 168 | configured <= 1'b0; 169 | shutup <= 1'b0; 170 | addr_match <= 8'b00000000; 171 | autoconfig_state <= Offer_8M; 172 | end else if (autoconfig_cycle & !ASn & !RWn) begin 173 | if (ADDR[8:1] == 8'h26) begin 174 | // We've been told to shut up (not enough memory space) 175 | // Try offering a smaller block 176 | if (autoconfig_state >= SHUTUP-1) begin 177 | // All options exhausted - time to shut up! 178 | shutup <= 1; 179 | autoconfig_state <= SHUTUP; 180 | end else begin 181 | // Offer the next smallest block 182 | autoconfig_state <= autoconfig_state + 1; 183 | end 184 | end 185 | else if (ADDR[8:1] == 8'h24) begin 186 | case (autoconfig_state) 187 | Offer_8M: 188 | begin 189 | addr_match <= 8'hFF; 190 | shutup <= 1'b1; 191 | end 192 | Offer_4M: 193 | begin 194 | case(DBUS) 195 | 4'h2: addr_match <= (addr_match|8'b00001111); 196 | 4'h4: addr_match <= (addr_match|8'b00111100); 197 | 4'h6: addr_match <= (addr_match|8'b11110000); 198 | endcase 199 | shutup <= 1'b1; 200 | end 201 | Offer_2M: 202 | begin 203 | case(DBUS) 204 | 4'h2: addr_match <= (addr_match|8'b00000011); 205 | 4'h4: addr_match <= (addr_match|8'b00001100); 206 | 4'h6: addr_match <= (addr_match|8'b00110000); 207 | 4'h8: addr_match <= (addr_match|8'b11000000); 208 | endcase 209 | `ifdef Offer_6M 210 | autoconfig_state <= Offer_4M; 211 | `else 212 | shutup <= 1'b1; 213 | `endif 214 | end 215 | Offer_1M: 216 | begin 217 | case(DBUS) 218 | 4'h2: addr_match <= (addr_match|8'b00000001); 219 | 4'h3: addr_match <= (addr_match|8'b00000010); 220 | 4'h4: addr_match <= (addr_match|8'b00000100); 221 | 4'h5: addr_match <= (addr_match|8'b00001000); 222 | 4'h6: addr_match <= (addr_match|8'b00010000); 223 | 4'h7: addr_match <= (addr_match|8'b00100000); 224 | 4'h8: addr_match <= (addr_match|8'b01000000); 225 | 4'h9: addr_match <= (addr_match|8'b10000000); 226 | endcase 227 | shutup <= 1'b1; 228 | end 229 | default: addr_match <= 8'b0; 230 | endcase 231 | configured <= 1'b1; 232 | end 233 | end 234 | end 235 | `endif 236 | 237 | // Memory controller 238 | 239 | assign RASn = !(access_ras | (refresh_ras & refresh_cas)); 240 | assign UCASn = !((access_ucas) | refresh_cas); 241 | assign LCASn = !((access_lcas) | refresh_cas); 242 | `ifdef rev_b // On Rev B OEn drives the buffers 243 | assign OEn = !ram_cycle | ASn | !RESETn | (UDSn & LDSn); 244 | `else 245 | assign OEn = !(RWn & access_ras); 246 | `endif 247 | assign MEMWn = RWn | (UDSn & LDSn); 248 | 249 | // Filter reset line by registering it 250 | always @(posedge CLK) 251 | begin 252 | reset_delayed1 <= RESETn; 253 | reset <= reset_delayed1; 254 | end 255 | 256 | // CAS before RAS refresh 257 | // CAS Asserted in S1 & S2 258 | // RAS Asserted in S2 259 | always @(negedge CLK or negedge reset) 260 | begin 261 | if (!reset) begin 262 | refresh_cas <= 1'b0; 263 | end else begin 264 | refresh_cas <= (!refresh_cas & ASn & !access_ras); 265 | end 266 | end 267 | 268 | always @(posedge CLK or negedge reset) 269 | begin 270 | if (!reset) begin 271 | refresh_ras <= 1'b0; 272 | end else begin 273 | refresh_ras <= refresh_cas; 274 | end 275 | end 276 | 277 | // Memory access 278 | always @(negedge CLK or negedge reset) 279 | begin 280 | if (!reset) begin 281 | ram_cycle = 1'b0; 282 | end else begin 283 | `ifdef autoconfig 284 | ram_cycle = ( 285 | ((ADDR[23:20] == 4'h2) & addr_match[0]) | 286 | ((ADDR[23:20] == 4'h3) & addr_match[1]) | 287 | ((ADDR[23:20] == 4'h4) & addr_match[2]) | 288 | ((ADDR[23:20] == 4'h5) & addr_match[3]) | 289 | ((ADDR[23:20] == 4'h6) & addr_match[4]) | 290 | ((ADDR[23:20] == 4'h7) & addr_match[5]) | 291 | ((ADDR[23:20] == 4'h8) & addr_match[6]) | 292 | ((ADDR[23:20] == 4'h9) & addr_match[7]) 293 | ) & !ASn & configured; 294 | `else 295 | ram_cycle = ((ADDR[23:20] >= 4'h2) & (ADDR[23:20] <= 4'h9) & !ASn); 296 | `endif 297 | end 298 | end 299 | 300 | always @(posedge CLK or negedge reset) 301 | begin 302 | if (!reset) begin 303 | access_ras <= 1'b0; 304 | access_ucas <= 1'b0; 305 | access_lcas <= 1'b0; 306 | end else begin 307 | access_ras <= (ram_cycle & !access_ucas & !access_lcas); // Assert @ S4, Deassert @ S0 308 | access_ucas <= (access_ras & !access_ucas & !UDSn); // Assert @ S6, Deassert @ S0 309 | access_lcas <= (access_ras & !access_lcas & !LDSn); // Assert @ S6, Deassert @ S0 310 | end 311 | end 312 | 313 | // Row/Col mux 314 | // Switch to ROW address at falling edge of S0 315 | // Switch to column address at falling edge of S4 316 | always @(negedge CLK) 317 | begin 318 | if (!access_ras) begin 319 | MADDR[11:0] <= ADDR[22:11]; // Row Address 320 | end else begin 321 | MADDR[11:10] <= 2'b00; 322 | MADDR[9:0] <= ADDR[10:1]; // Column Address 323 | end 324 | end 325 | 326 | endmodule 327 | -------------------------------------------------------------------------------- /RTL/template.xst: -------------------------------------------------------------------------------- 1 | set -tmpdir "." 2 | set -xsthdpdir "." 3 | run 4 | -ifn IFN 5 | -ifmt mixed 6 | -ofn OFN 7 | -ofmt NGC 8 | -p xc9500xl 9 | -top TOP 10 | -opt_mode Speed 11 | -opt_level 1 12 | -iuc NO 13 | -keep_hierarchy Yes 14 | -netlist_hierarchy As_Optimized 15 | -rtlview Yes 16 | -hierarchy_separator / 17 | -bus_delimiter <> 18 | -case Maintain 19 | -verilog2001 YES 20 | -fsm_extract YES -fsm_encoding Auto 21 | -safe_implementation No 22 | -mux_extract Yes 23 | -resource_sharing YES 24 | -iobuf YES 25 | -pld_mp YES 26 | -pld_xp YES 27 | -pld_ce YES 28 | -wysiwyg NO 29 | -equivalent_register_removal YES 30 | -define {} -------------------------------------------------------------------------------- /Rev_A/CircuitMaker/PCB1.CMPcbDoc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Rev_A/CircuitMaker/PCB1.CMPcbDoc -------------------------------------------------------------------------------- /Rev_A/CircuitMaker/Sheet1.SchDoc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Rev_A/CircuitMaker/Sheet1.SchDoc -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1-macro.APR_LIB: -------------------------------------------------------------------------------- 1 | G04:AMPARAMS|DCode=14|XSize=43.3mil|YSize=49.2mil|CornerRadius=0mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=225.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=Rectangle|* 2 | %AMROTATEDRECTD14* 3 | 4,1,4,-0.0021,0.0327,0.0327,-0.0021,0.0021,-0.0327,-0.0327,0.0021,-0.0021,0.0327,0.0* 4 | % 5 | G04:AMPARAMS|DCode=20|XSize=55.1mil|YSize=70.9mil|CornerRadius=0mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=225.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=Rectangle|* 6 | %AMROTATEDRECTD20* 7 | 4,1,4,-0.0056,0.0445,0.0445,-0.0056,0.0056,-0.0445,-0.0445,0.0056,-0.0056,0.0445,0.0* 8 | % 9 | G04:AMPARAMS|DCode=27|XSize=78.7mil|YSize=78.7mil|CornerRadius=19.7mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=0.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=RoundedRectangle|* 10 | %AMROUNDEDRECTD27* 11 | 21,1,0.0787,0.0394,0,0,0.0* 12 | 21,1,0.0394,0.0787,0,0,0.0* 13 | 1,1,0.0394,0.0197,-0.0197* 14 | 1,1,0.0394,-0.0197,-0.0197* 15 | 1,1,0.0394,-0.0197,0.0197* 16 | 1,1,0.0394,0.0197,0.0197* 17 | % 18 | G04:AMPARAMS|DCode=44|XSize=51.3mil|YSize=57.2mil|CornerRadius=0mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=225.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=Rectangle|* 19 | %AMROTATEDRECTD44* 20 | 4,1,4,-0.0021,0.0384,0.0384,-0.0021,0.0021,-0.0384,-0.0384,0.0021,-0.0021,0.0384,0.0* 21 | % 22 | G04:AMPARAMS|DCode=50|XSize=63.1mil|YSize=78.9mil|CornerRadius=0mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=225.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=Rectangle|* 23 | %AMROTATEDRECTD50* 24 | 4,1,4,-0.0056,0.0502,0.0502,-0.0056,0.0056,-0.0502,-0.0502,0.0056,-0.0056,0.0502,0.0* 25 | % 26 | G04:AMPARAMS|DCode=54|XSize=86.7mil|YSize=86.7mil|CornerRadius=23.7mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=0.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=RoundedRectangle|* 27 | %AMROUNDEDRECTD54* 28 | 21,1,0.0867,0.0394,0,0,0.0* 29 | 21,1,0.0394,0.0867,0,0,0.0* 30 | 1,1,0.0474,0.0197,-0.0197* 31 | 1,1,0.0474,-0.0197,-0.0197* 32 | 1,1,0.0474,-0.0197,0.0197* 33 | 1,1,0.0474,0.0197,0.0197* 34 | % 35 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.EXTREP: -------------------------------------------------------------------------------- 1 | ------------------------------------------------------------------------------------------ 2 | Gerber File Extension Report For: PCB1.GBR 30/10/2020 5:04:24 PM 3 | ------------------------------------------------------------------------------------------ 4 | 5 | 6 | ------------------------------------------------------------------------------------------ 7 | Layer Extension Layer Description 8 | ------------------------------------------------------------------------------------------ 9 | .GTL Top Layer 10 | .GBL Bottom Layer 11 | .GPB Bottom Pad Master 12 | .GPT Top Pad Master 13 | .GTO Top Overlay 14 | .GTP Top Paste 15 | .GTS Top Solder 16 | .GBS Bottom Solder 17 | .GBP Bottom Paste 18 | .GBO Bottom Overlay 19 | .Outline Outline 20 | ------------------------------------------------------------------------------------------ 21 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.GBP: -------------------------------------------------------------------------------- 1 | G04 Layer_Color=16770453* 2 | %FSLAX24Y24*% 3 | %MOIN*% 4 | G70* 5 | G01* 6 | G75* 7 | M02* 8 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.GBS: -------------------------------------------------------------------------------- 1 | G04 Layer_Color=8150272* 2 | %FSLAX24Y24*% 3 | %MOIN*% 4 | G70* 5 | G01* 6 | G75* 7 | G04:AMPARAMS|DCode=54|XSize=86.7mil|YSize=86.7mil|CornerRadius=23.7mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=0.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=RoundedRectangle|* 8 | %AMROUNDEDRECTD54* 9 | 21,1,0.0867,0.0394,0,0,0.0* 10 | 21,1,0.0394,0.0867,0,0,0.0* 11 | 1,1,0.0474,0.0197,-0.0197* 12 | 1,1,0.0474,-0.0197,-0.0197* 13 | 1,1,0.0474,-0.0197,0.0197* 14 | 1,1,0.0474,0.0197,0.0197* 15 | % 16 | %ADD54ROUNDEDRECTD54*% 17 | %ADD55O,0.0671X0.1280*% 18 | %ADD56R,0.0671X0.0671*% 19 | %ADD57C,0.0671*% 20 | %ADD58R,0.0280X0.0480*% 21 | G36* 22 | X22590Y26060D02* 23 | X21960D01* 24 | Y26540D01* 25 | X22590D01* 26 | Y26060D01* 27 | D02* 28 | G37* 29 | G36* 30 | Y26710D02* 31 | X21960D01* 32 | Y27190D01* 33 | X22590D01* 34 | Y26710D01* 35 | D02* 36 | G37* 37 | D54* 38 | X29750Y16800D02* 39 | D03* 40 | Y17800D02* 41 | D03* 42 | Y18800D02* 43 | D03* 44 | Y19800D02* 45 | D03* 46 | Y20800D02* 47 | D03* 48 | Y21800D02* 49 | D03* 50 | Y22800D02* 51 | D03* 52 | Y23800D02* 53 | D03* 54 | Y24800D02* 55 | D03* 56 | Y25800D02* 57 | D03* 58 | Y26800D02* 59 | D03* 60 | Y27800D02* 61 | D03* 62 | Y28800D02* 63 | D03* 64 | Y29800D02* 65 | D03* 66 | Y30800D02* 67 | D03* 68 | Y31800D02* 69 | D03* 70 | Y32800D02* 71 | D03* 72 | Y33800D02* 73 | D03* 74 | Y34800D02* 75 | D03* 76 | Y35800D02* 77 | D03* 78 | Y36800D02* 79 | D03* 80 | Y37800D02* 81 | D03* 82 | Y38800D02* 83 | D03* 84 | Y39800D02* 85 | D03* 86 | Y40800D02* 87 | D03* 88 | Y41800D02* 89 | D03* 90 | Y42800D02* 91 | D03* 92 | Y43800D02* 93 | D03* 94 | Y44800D02* 95 | D03* 96 | Y45800D02* 97 | D03* 98 | Y46800D02* 99 | D03* 100 | Y47800D02* 101 | D03* 102 | X20750Y16800D02* 103 | D03* 104 | Y17800D02* 105 | D03* 106 | Y18800D02* 107 | D03* 108 | Y19800D02* 109 | D03* 110 | Y20800D02* 111 | D03* 112 | Y21800D02* 113 | D03* 114 | Y22800D02* 115 | D03* 116 | Y23800D02* 117 | D03* 118 | Y24800D02* 119 | D03* 120 | Y25800D02* 121 | D03* 122 | Y26800D02* 123 | D03* 124 | Y27800D02* 125 | D03* 126 | Y28800D02* 127 | D03* 128 | Y29800D02* 129 | D03* 130 | Y30800D02* 131 | D03* 132 | Y31800D02* 133 | D03* 134 | Y32800D02* 135 | D03* 136 | Y33800D02* 137 | D03* 138 | Y34800D02* 139 | D03* 140 | Y35800D02* 141 | D03* 142 | Y36800D02* 143 | D03* 144 | Y37800D02* 145 | D03* 146 | Y38800D02* 147 | D03* 148 | Y39800D02* 149 | D03* 150 | Y40800D02* 151 | D03* 152 | Y41800D02* 153 | D03* 154 | Y42800D02* 155 | D03* 156 | Y43800D02* 157 | D03* 158 | Y44800D02* 159 | D03* 160 | Y45800D02* 161 | D03* 162 | Y46800D02* 163 | D03* 164 | Y47800D02* 165 | D03* 166 | D55* 167 | X23450Y18550D02* 168 | D03* 169 | X24450D02* 170 | D03* 171 | X25450D02* 172 | D03* 173 | X26450D02* 174 | D03* 175 | D56* 176 | X27950Y18800D02* 177 | D03* 178 | D57* 179 | Y19800D02* 180 | D03* 181 | Y20800D02* 182 | D03* 183 | Y21800D02* 184 | D03* 185 | Y22800D02* 186 | D03* 187 | Y23800D02* 188 | D03* 189 | D58* 190 | X22450Y26300D02* 191 | D03* 192 | X22100D02* 193 | D03* 194 | X22450Y26950D02* 195 | D03* 196 | X22100D02* 197 | D03* 198 | M02* 199 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.GPB: -------------------------------------------------------------------------------- 1 | G04 Layer_Color=25308* 2 | %FSLAX24Y24*% 3 | %MOIN*% 4 | G70* 5 | G01* 6 | G75* 7 | G04:AMPARAMS|DCode=27|XSize=78.7mil|YSize=78.7mil|CornerRadius=19.7mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=0.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=RoundedRectangle|* 8 | %AMROUNDEDRECTD27* 9 | 21,1,0.0787,0.0394,0,0,0.0* 10 | 21,1,0.0394,0.0787,0,0,0.0* 11 | 1,1,0.0394,0.0197,-0.0197* 12 | 1,1,0.0394,-0.0197,-0.0197* 13 | 1,1,0.0394,-0.0197,0.0197* 14 | 1,1,0.0394,0.0197,0.0197* 15 | % 16 | %ADD27ROUNDEDRECTD27*% 17 | %ADD28O,0.0591X0.1200*% 18 | %ADD29R,0.0591X0.0591*% 19 | %ADD30C,0.0591*% 20 | %ADD32R,0.0200X0.0400*% 21 | D27* 22 | X29750Y16800D02* 23 | D03* 24 | Y17800D02* 25 | D03* 26 | Y18800D02* 27 | D03* 28 | Y19800D02* 29 | D03* 30 | Y20800D02* 31 | D03* 32 | Y21800D02* 33 | D03* 34 | Y22800D02* 35 | D03* 36 | Y23800D02* 37 | D03* 38 | Y24800D02* 39 | D03* 40 | Y25800D02* 41 | D03* 42 | Y26800D02* 43 | D03* 44 | Y27800D02* 45 | D03* 46 | Y28800D02* 47 | D03* 48 | Y29800D02* 49 | D03* 50 | Y30800D02* 51 | D03* 52 | Y31800D02* 53 | D03* 54 | Y32800D02* 55 | D03* 56 | Y33800D02* 57 | D03* 58 | Y34800D02* 59 | D03* 60 | Y35800D02* 61 | D03* 62 | Y36800D02* 63 | D03* 64 | Y37800D02* 65 | D03* 66 | Y38800D02* 67 | D03* 68 | Y39800D02* 69 | D03* 70 | Y40800D02* 71 | D03* 72 | Y41800D02* 73 | D03* 74 | Y42800D02* 75 | D03* 76 | Y43800D02* 77 | D03* 78 | Y44800D02* 79 | D03* 80 | Y45800D02* 81 | D03* 82 | Y46800D02* 83 | D03* 84 | Y47800D02* 85 | D03* 86 | X20750Y16800D02* 87 | D03* 88 | Y17800D02* 89 | D03* 90 | Y18800D02* 91 | D03* 92 | Y19800D02* 93 | D03* 94 | Y20800D02* 95 | D03* 96 | Y21800D02* 97 | D03* 98 | Y22800D02* 99 | D03* 100 | Y23800D02* 101 | D03* 102 | Y24800D02* 103 | D03* 104 | Y25800D02* 105 | D03* 106 | Y26800D02* 107 | D03* 108 | Y27800D02* 109 | D03* 110 | Y28800D02* 111 | D03* 112 | Y29800D02* 113 | D03* 114 | Y30800D02* 115 | D03* 116 | Y31800D02* 117 | D03* 118 | Y32800D02* 119 | D03* 120 | Y33800D02* 121 | D03* 122 | Y34800D02* 123 | D03* 124 | Y35800D02* 125 | D03* 126 | Y36800D02* 127 | D03* 128 | Y37800D02* 129 | D03* 130 | Y38800D02* 131 | D03* 132 | Y39800D02* 133 | D03* 134 | Y40800D02* 135 | D03* 136 | Y41800D02* 137 | D03* 138 | Y42800D02* 139 | D03* 140 | Y43800D02* 141 | D03* 142 | Y44800D02* 143 | D03* 144 | Y45800D02* 145 | D03* 146 | Y46800D02* 147 | D03* 148 | Y47800D02* 149 | D03* 150 | D28* 151 | X23450Y18550D02* 152 | D03* 153 | X24450D02* 154 | D03* 155 | X25450D02* 156 | D03* 157 | X26450D02* 158 | D03* 159 | D29* 160 | X27950Y18800D02* 161 | D03* 162 | D30* 163 | Y19800D02* 164 | D03* 165 | Y20800D02* 166 | D03* 167 | Y21800D02* 168 | D03* 169 | Y22800D02* 170 | D03* 171 | Y23800D02* 172 | D03* 173 | D32* 174 | X22450Y26300D02* 175 | D03* 176 | X22100D02* 177 | D03* 178 | X22450Y26950D02* 179 | D03* 180 | X22100D02* 181 | D03* 182 | M02* 183 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.GPT: -------------------------------------------------------------------------------- 1 | G04 Layer_Color=25308* 2 | %FSLAX24Y24*% 3 | %MOIN*% 4 | G70* 5 | G01* 6 | G75* 7 | %ADD10R,0.0512X0.0689*% 8 | %ADD11R,0.0492X0.0433*% 9 | %ADD12R,0.0551X0.0709*% 10 | %ADD13R,0.0433X0.0492*% 11 | G04:AMPARAMS|DCode=14|XSize=43.3mil|YSize=49.2mil|CornerRadius=0mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=225.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=Rectangle|* 12 | %AMROTATEDRECTD14* 13 | 4,1,4,-0.0021,0.0327,0.0327,-0.0021,0.0021,-0.0327,-0.0327,0.0021,-0.0021,0.0327,0.0* 14 | % 15 | %ADD14ROTATEDRECTD14*% 16 | 17 | %ADD15R,0.0400X0.0200*% 18 | %ADD16O,0.0098X0.0610*% 19 | %ADD17O,0.0610X0.0098*% 20 | %ADD18R,0.0374X0.0236*% 21 | %ADD19R,0.0236X0.0669*% 22 | G04:AMPARAMS|DCode=20|XSize=55.1mil|YSize=70.9mil|CornerRadius=0mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=225.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=Rectangle|* 23 | %AMROTATEDRECTD20* 24 | 4,1,4,-0.0056,0.0445,0.0445,-0.0056,0.0056,-0.0445,-0.0445,0.0056,-0.0056,0.0445,0.0* 25 | % 26 | %ADD20ROTATEDRECTD20*% 27 | 28 | %ADD21R,0.0650X0.0217*% 29 | %ADD22O,0.0650X0.0217*% 30 | %ADD23R,0.0354X0.0413*% 31 | G04:AMPARAMS|DCode=27|XSize=78.7mil|YSize=78.7mil|CornerRadius=19.7mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=0.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=RoundedRectangle|* 32 | %AMROUNDEDRECTD27* 33 | 21,1,0.0787,0.0394,0,0,0.0* 34 | 21,1,0.0394,0.0787,0,0,0.0* 35 | 1,1,0.0394,0.0197,-0.0197* 36 | 1,1,0.0394,-0.0197,-0.0197* 37 | 1,1,0.0394,-0.0197,0.0197* 38 | 1,1,0.0394,0.0197,0.0197* 39 | % 40 | %ADD27ROUNDEDRECTD27*% 41 | %ADD28O,0.0591X0.1200*% 42 | %ADD29R,0.0591X0.0591*% 43 | %ADD30C,0.0591*% 44 | D10* 45 | X21950Y36000D02* 46 | D03* 47 | X23151D02* 48 | D03* 49 | X28950Y32800D02* 50 | D03* 51 | X27749D02* 52 | D03* 53 | D11* 54 | X22950Y25470D02* 55 | D03* 56 | X22281D02* 57 | D03* 58 | D12* 59 | X27762Y34420D02* 60 | D03* 61 | X26738D02* 62 | D03* 63 | X27408Y37090D02* 64 | D03* 65 | X28432D02* 66 | D03* 67 | D13* 68 | X22450Y39250D02* 69 | D03* 70 | Y38581D02* 71 | D03* 72 | Y42000D02* 73 | D03* 74 | Y42669D02* 75 | D03* 76 | Y43900D02* 77 | D03* 78 | Y44569D02* 79 | D03* 80 | Y45500D02* 81 | D03* 82 | Y46169D02* 83 | D03* 84 | X25800Y33000D02* 85 | D03* 86 | Y32331D02* 87 | D03* 88 | X25450Y25450D02* 89 | D03* 90 | Y26119D02* 91 | D03* 92 | X23350Y32400D02* 93 | D03* 94 | Y31731D02* 95 | D03* 96 | D14* 97 | X28790Y27900D02* 98 | D03* 99 | X28317Y28373D02* 100 | D03* 101 | D15* 102 | X23100Y24750D02* 103 | D03* 104 | Y24400D02* 105 | D03* 106 | Y24050D02* 107 | D03* 108 | D16* 109 | X23814Y31475D02* 110 | D03* 111 | X24010D02* 112 | D03* 113 | X24207D02* 114 | D03* 115 | X24404D02* 116 | D03* 117 | X24601D02* 118 | D03* 119 | X24798D02* 120 | D03* 121 | X24995D02* 122 | D03* 123 | X25192D02* 124 | D03* 125 | X25388D02* 126 | D03* 127 | X25585D02* 128 | D03* 129 | X25782D02* 130 | D03* 131 | X25979D02* 132 | D03* 133 | X26176D02* 134 | D03* 135 | X26373D02* 136 | D03* 137 | X26570D02* 138 | D03* 139 | X26766D02* 140 | D03* 141 | Y27125D02* 142 | D03* 143 | X26570D02* 144 | D03* 145 | X26373D02* 146 | D03* 147 | X26176D02* 148 | D03* 149 | X25979D02* 150 | D03* 151 | X25782D02* 152 | D03* 153 | X25585D02* 154 | D03* 155 | X25388D02* 156 | D03* 157 | X25192D02* 158 | D03* 159 | X24995D02* 160 | D03* 161 | X24798D02* 162 | D03* 163 | X24601D02* 164 | D03* 165 | X24404D02* 166 | D03* 167 | X24207D02* 168 | D03* 169 | X24010D02* 170 | D03* 171 | X23814D02* 172 | D03* 173 | D17* 174 | X27465Y30776D02* 175 | D03* 176 | Y30580D02* 177 | D03* 178 | Y30383D02* 179 | D03* 180 | Y30186D02* 181 | D03* 182 | Y29989D02* 183 | D03* 184 | Y29792D02* 185 | D03* 186 | Y29595D02* 187 | D03* 188 | Y29398D02* 189 | D03* 190 | Y29202D02* 191 | D03* 192 | Y29005D02* 193 | D03* 194 | Y28808D02* 195 | D03* 196 | Y28611D02* 197 | D03* 198 | Y28414D02* 199 | D03* 200 | Y28217D02* 201 | D03* 202 | Y28020D02* 203 | D03* 204 | Y27824D02* 205 | D03* 206 | X23115D02* 207 | D03* 208 | Y28020D02* 209 | D03* 210 | Y28217D02* 211 | D03* 212 | Y28414D02* 213 | D03* 214 | Y28611D02* 215 | D03* 216 | Y28808D02* 217 | D03* 218 | Y29005D02* 219 | D03* 220 | Y29202D02* 221 | D03* 222 | Y29398D02* 223 | D03* 224 | Y29595D02* 225 | D03* 226 | Y29792D02* 227 | D03* 228 | Y29989D02* 229 | D03* 230 | Y30186D02* 231 | D03* 232 | Y30383D02* 233 | D03* 234 | Y30580D02* 235 | D03* 236 | Y30776D02* 237 | D03* 238 | D18* 239 | X21857Y26924D02* 240 | D03* 241 | Y26550D02* 242 | D03* 243 | Y26176D02* 244 | D03* 245 | X22743Y26924D02* 246 | D03* 247 | Y26550D02* 248 | D03* 249 | Y26176D02* 250 | D03* 251 | D19* 252 | X27770Y35416D02* 253 | D03* 254 | X27396Y36204D02* 255 | D03* 256 | X28144D02* 257 | D03* 258 | D20* 259 | X23112Y34962D02* 260 | D03* 261 | X22388Y34238D02* 262 | D03* 263 | D21* 264 | X23200Y46150D02* 265 | D03* 266 | D22* 267 | Y45835D02* 268 | D03* 269 | Y45520D02* 270 | D03* 271 | Y45205D02* 272 | D03* 273 | Y44890D02* 274 | D03* 275 | Y44575D02* 276 | D03* 277 | Y44260D02* 278 | D03* 279 | Y43945D02* 280 | D03* 281 | Y43630D02* 282 | D03* 283 | Y43315D02* 284 | D03* 285 | Y43000D02* 286 | D03* 287 | Y42685D02* 288 | D03* 289 | Y42370D02* 290 | D03* 291 | Y42056D02* 292 | D03* 293 | Y41741D02* 294 | D03* 295 | Y41426D02* 296 | D03* 297 | Y41111D02* 298 | D03* 299 | Y40796D02* 300 | D03* 301 | Y40481D02* 302 | D03* 303 | Y40166D02* 304 | D03* 305 | Y39851D02* 306 | D03* 307 | Y39536D02* 308 | D03* 309 | Y39221D02* 310 | D03* 311 | Y38906D02* 312 | D03* 313 | Y38591D02* 314 | D03* 315 | X27751Y46150D02* 316 | D03* 317 | Y45835D02* 318 | D03* 319 | Y45520D02* 320 | D03* 321 | Y45205D02* 322 | D03* 323 | Y44890D02* 324 | D03* 325 | Y44575D02* 326 | D03* 327 | Y44260D02* 328 | D03* 329 | Y43945D02* 330 | D03* 331 | Y43630D02* 332 | D03* 333 | Y43315D02* 334 | D03* 335 | Y43000D02* 336 | D03* 337 | Y42685D02* 338 | D03* 339 | Y42370D02* 340 | D03* 341 | Y42056D02* 342 | D03* 343 | Y41741D02* 344 | D03* 345 | Y41426D02* 346 | D03* 347 | Y41111D02* 348 | D03* 349 | Y40796D02* 350 | D03* 351 | Y40481D02* 352 | D03* 353 | Y40166D02* 354 | D03* 355 | Y39851D02* 356 | D03* 357 | Y39536D02* 358 | D03* 359 | Y39221D02* 360 | D03* 361 | Y38906D02* 362 | D03* 363 | Y38591D02* 364 | D03* 365 | D23* 366 | X22316Y24300D02* 367 | D03* 368 | X21784D02* 369 | D03* 370 | D27* 371 | X29750Y16800D02* 372 | D03* 373 | Y17800D02* 374 | D03* 375 | Y18800D02* 376 | D03* 377 | Y19800D02* 378 | D03* 379 | Y20800D02* 380 | D03* 381 | Y21800D02* 382 | D03* 383 | Y22800D02* 384 | D03* 385 | Y23800D02* 386 | D03* 387 | Y24800D02* 388 | D03* 389 | Y25800D02* 390 | D03* 391 | Y26800D02* 392 | D03* 393 | Y27800D02* 394 | D03* 395 | Y28800D02* 396 | D03* 397 | Y29800D02* 398 | D03* 399 | Y30800D02* 400 | D03* 401 | Y31800D02* 402 | D03* 403 | Y32800D02* 404 | D03* 405 | Y33800D02* 406 | D03* 407 | Y34800D02* 408 | D03* 409 | Y35800D02* 410 | D03* 411 | Y36800D02* 412 | D03* 413 | Y37800D02* 414 | D03* 415 | Y38800D02* 416 | D03* 417 | Y39800D02* 418 | D03* 419 | Y40800D02* 420 | D03* 421 | Y41800D02* 422 | D03* 423 | Y42800D02* 424 | D03* 425 | Y43800D02* 426 | D03* 427 | Y44800D02* 428 | D03* 429 | Y45800D02* 430 | D03* 431 | Y46800D02* 432 | D03* 433 | Y47800D02* 434 | D03* 435 | X20750Y16800D02* 436 | D03* 437 | Y17800D02* 438 | D03* 439 | Y18800D02* 440 | D03* 441 | Y19800D02* 442 | D03* 443 | Y20800D02* 444 | D03* 445 | Y21800D02* 446 | D03* 447 | Y22800D02* 448 | D03* 449 | Y23800D02* 450 | D03* 451 | Y24800D02* 452 | D03* 453 | Y25800D02* 454 | D03* 455 | Y26800D02* 456 | D03* 457 | Y27800D02* 458 | D03* 459 | Y28800D02* 460 | D03* 461 | Y29800D02* 462 | D03* 463 | Y30800D02* 464 | D03* 465 | Y31800D02* 466 | D03* 467 | Y32800D02* 468 | D03* 469 | Y33800D02* 470 | D03* 471 | Y34800D02* 472 | D03* 473 | Y35800D02* 474 | D03* 475 | Y36800D02* 476 | D03* 477 | Y37800D02* 478 | D03* 479 | Y38800D02* 480 | D03* 481 | Y39800D02* 482 | D03* 483 | Y40800D02* 484 | D03* 485 | Y41800D02* 486 | D03* 487 | Y42800D02* 488 | D03* 489 | Y43800D02* 490 | D03* 491 | Y44800D02* 492 | D03* 493 | Y45800D02* 494 | D03* 495 | Y46800D02* 496 | D03* 497 | Y47800D02* 498 | D03* 499 | D28* 500 | X23450Y18550D02* 501 | D03* 502 | X24450D02* 503 | D03* 504 | X25450D02* 505 | D03* 506 | X26450D02* 507 | D03* 508 | D29* 509 | X27950Y18800D02* 510 | D03* 511 | D30* 512 | Y19800D02* 513 | D03* 514 | Y20800D02* 515 | D03* 516 | Y21800D02* 517 | D03* 518 | Y22800D02* 519 | D03* 520 | Y23800D02* 521 | D03* 522 | M02* 523 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.GTO: -------------------------------------------------------------------------------- 1 | G04 Layer_Color=15132400* 2 | %FSLAX24Y24*% 3 | %MOIN*% 4 | G70* 5 | G01* 6 | G75* 7 | %ADD26C,0.0100*% 8 | %ADD33C,0.0039*% 9 | %ADD34C,0.0236*% 10 | %ADD35C,0.0098*% 11 | %ADD36C,0.0079*% 12 | %ADD37C,0.0050*% 13 | %ADD38C,0.0080*% 14 | %ADD39C,0.0060*% 15 | D26* 16 | X24650Y48100D02* 17 | G03* 18 | X25850Y48100I600J0D01* 19 | G01* 20 | X23200Y46500D02* 21 | G03* 22 | X23200Y46500I-50J0D01* 23 | G01* 24 | X25850Y48100D02* 25 | X29250D01* 26 | X21250D02* 27 | X24650D01* 28 | D33* 29 | X28215Y34066D02* 30 | G03* 31 | X28215Y34066I-20J0D01* 32 | G01* 33 | X26995Y37444D02* 34 | G03* 35 | X26995Y37444I-20J0D01* 36 | G01* 37 | X23688Y35018D02* 38 | G03* 39 | X23688Y35018I-20J0D01* 40 | G01* 41 | D34* 42 | X24089Y30619D02* 43 | G03* 44 | X24089Y30619I-118J0D01* 45 | G01* 46 | D35* 47 | X23164Y31042D02* 48 | G03* 49 | X23164Y31042I-49J0D01* 50 | G01* 51 | X21906Y27259D02* 52 | G03* 53 | X21906Y27259I-49J0D01* 54 | G01* 55 | X28528Y36204D02* 56 | G03* 57 | X28528Y36204I-49J0D01* 58 | G01* 59 | D36* 60 | X25397Y46307D02* 61 | G03* 62 | X25554Y46307I79J0D01* 63 | G01* 64 | X22354Y36305D02* 65 | X22747D01* 66 | X22354Y35695D02* 67 | X22747D01* 68 | X27171Y34774D02* 69 | X27329D01* 70 | X27171Y34066D02* 71 | X27329D01* 72 | X27841Y36736D02* 73 | X27999D01* 74 | X27841Y37444D02* 75 | X27999D01* 76 | X28153Y32495D02* 77 | X28546D01* 78 | X28153Y33105D02* 79 | X28546D01* 80 | X23577Y27587D02* 81 | X27003D01* 82 | X23577Y31013D02* 83 | X27003D01* 84 | Y27587D02* 85 | Y31013D01* 86 | X23577Y27587D02* 87 | Y31013D01* 88 | X22202Y25959D02* 89 | Y27141D01* 90 | X22398Y25959D02* 91 | Y27141D01* 92 | X22202D02* 93 | X22398D01* 94 | X22202Y25959D02* 95 | X22398D01* 96 | X27179Y35534D02* 97 | X27494D01* 98 | X27179D02* 99 | Y35731D01* 100 | X28046Y35534D02* 101 | X28361D01* 102 | Y35731D01* 103 | X22444Y34795D02* 104 | X22555Y34906D01* 105 | X22945Y34294D02* 106 | X23056Y34405D01* 107 | X23854Y46307D02* 108 | X25397D01* 109 | X25554D02* 110 | X27098D01* 111 | Y38433D02* 112 | Y46307D01* 113 | X23854Y38433D02* 114 | X27098D01* 115 | X23854D02* 116 | Y46307D01* 117 | D37* 118 | X27450Y18300D02* 119 | Y24300D01* 120 | X28450D01* 121 | Y18300D02* 122 | Y24300D01* 123 | X27450Y18300D02* 124 | X28450D01* 125 | D38* 126 | X23667Y25633D02* 127 | X23600Y25700D01* 128 | X23467D01* 129 | X23400Y25633D01* 130 | Y25367D01* 131 | X23467Y25300D01* 132 | X23600D01* 133 | X23667Y25367D01* 134 | X23800Y25300D02* 135 | X23933D01* 136 | X23867D01* 137 | Y25700D01* 138 | X23800Y25633D01* 139 | X27167Y33783D02* 140 | X27100Y33850D01* 141 | X26967D01* 142 | X26900Y33783D01* 143 | Y33517D01* 144 | X26967Y33450D01* 145 | X27100D01* 146 | X27167Y33517D01* 147 | X27566Y33450D02* 148 | X27300D01* 149 | X27566Y33717D01* 150 | Y33783D01* 151 | X27500Y33850D01* 152 | X27367D01* 153 | X27300Y33783D01* 154 | X27817Y37983D02* 155 | X27750Y38050D01* 156 | X27617D01* 157 | X27550Y37983D01* 158 | Y37717D01* 159 | X27617Y37650D01* 160 | X27750D01* 161 | X27817Y37717D01* 162 | X27950Y37983D02* 163 | X28017Y38050D01* 164 | X28150D01* 165 | X28216Y37983D01* 166 | Y37917D01* 167 | X28150Y37850D01* 168 | X28083D01* 169 | X28150D01* 170 | X28216Y37783D01* 171 | Y37717D01* 172 | X28150Y37650D01* 173 | X28017D01* 174 | X27950Y37717D01* 175 | X21717Y38917D02* 176 | X21650Y38850D01* 177 | Y38717D01* 178 | X21717Y38650D01* 179 | X21983D01* 180 | X22050Y38717D01* 181 | Y38850D01* 182 | X21983Y38917D01* 183 | X22050Y39250D02* 184 | X21650D01* 185 | X21850Y39050D01* 186 | Y39316D01* 187 | X21717Y42217D02* 188 | X21650Y42150D01* 189 | Y42017D01* 190 | X21717Y41950D01* 191 | X21983D01* 192 | X22050Y42017D01* 193 | Y42150D01* 194 | X21983Y42217D01* 195 | X21650Y42616D02* 196 | Y42350D01* 197 | X21850D01* 198 | X21783Y42483D01* 199 | Y42550D01* 200 | X21850Y42616D01* 201 | X21983D01* 202 | X22050Y42550D01* 203 | Y42417D01* 204 | X21983Y42350D01* 205 | X21717Y44017D02* 206 | X21650Y43950D01* 207 | Y43817D01* 208 | X21717Y43750D01* 209 | X21983D01* 210 | X22050Y43817D01* 211 | Y43950D01* 212 | X21983Y44017D01* 213 | X21650Y44416D02* 214 | X21717Y44283D01* 215 | X21850Y44150D01* 216 | X21983D01* 217 | X22050Y44217D01* 218 | Y44350D01* 219 | X21983Y44416D01* 220 | X21917D01* 221 | X21850Y44350D01* 222 | Y44150D01* 223 | X21717Y45717D02* 224 | X21650Y45650D01* 225 | Y45517D01* 226 | X21717Y45450D01* 227 | X21983D01* 228 | X22050Y45517D01* 229 | Y45650D01* 230 | X21983Y45717D01* 231 | X21650Y45850D02* 232 | Y46116D01* 233 | X21717D01* 234 | X21983Y45850D01* 235 | X22050D01* 236 | X26317Y32567D02* 237 | X26250Y32500D01* 238 | Y32367D01* 239 | X26317Y32300D01* 240 | X26583D01* 241 | X26650Y32367D01* 242 | Y32500D01* 243 | X26583Y32567D01* 244 | X26317Y32700D02* 245 | X26250Y32767D01* 246 | Y32900D01* 247 | X26317Y32966D01* 248 | X26383D01* 249 | X26450Y32900D01* 250 | X26517Y32966D01* 251 | X26583D01* 252 | X26650Y32900D01* 253 | Y32767D01* 254 | X26583Y32700D01* 255 | X26517D01* 256 | X26450Y32767D01* 257 | X26383Y32700D01* 258 | X26317D01* 259 | X26450Y32767D02* 260 | Y32900D01* 261 | X28374Y27597D02* 262 | Y27691D01* 263 | X28280Y27786D01* 264 | X28186D01* 265 | X27997Y27597D01* 266 | Y27503D01* 267 | X28091Y27409D01* 268 | X28186D01* 269 | X28280Y27314D02* 270 | Y27220D01* 271 | X28374Y27126D01* 272 | X28468D01* 273 | X28657Y27314D01* 274 | Y27409D01* 275 | X28563Y27503D01* 276 | X28468D01* 277 | X28421Y27456D01* 278 | Y27362D01* 279 | X28563Y27220D01* 280 | X25917Y25567D02* 281 | X25850Y25500D01* 282 | Y25367D01* 283 | X25917Y25300D01* 284 | X26183D01* 285 | X26250Y25367D01* 286 | Y25500D01* 287 | X26183Y25567D01* 288 | X26250Y25700D02* 289 | Y25833D01* 290 | Y25767D01* 291 | X25850D01* 292 | X25917Y25700D01* 293 | Y26033D02* 294 | X25850Y26100D01* 295 | Y26233D01* 296 | X25917Y26300D01* 297 | X26183D01* 298 | X26250Y26233D01* 299 | Y26100D01* 300 | X26183Y26033D01* 301 | X25917D01* 302 | X22517Y31917D02* 303 | X22450Y31850D01* 304 | Y31717D01* 305 | X22517Y31650D01* 306 | X22783D01* 307 | X22850Y31717D01* 308 | Y31850D01* 309 | X22783Y31917D01* 310 | X22850Y32050D02* 311 | Y32183D01* 312 | Y32117D01* 313 | X22450D01* 314 | X22517Y32050D01* 315 | X22850Y32383D02* 316 | Y32516D01* 317 | Y32450D01* 318 | X22450D01* 319 | X22517Y32383D01* 320 | X28167Y32250D02* 321 | X27900D01* 322 | Y32050D01* 323 | X28033D01* 324 | X27900D01* 325 | Y31850D01* 326 | X28300Y32250D02* 327 | Y31850D01* 328 | X28500D01* 329 | X28566Y31917D01* 330 | Y31983D01* 331 | X28500Y32050D01* 332 | X28300D01* 333 | X28500D01* 334 | X28566Y32117D01* 335 | Y32183D01* 336 | X28500Y32250D01* 337 | X28300D01* 338 | X28700Y31850D02* 339 | X28833D01* 340 | X28766D01* 341 | Y32250D01* 342 | X28700Y32183D01* 343 | X22267Y36950D02* 344 | X22000D01* 345 | Y36750D01* 346 | X22133D01* 347 | X22000D01* 348 | Y36550D01* 349 | X22400Y36950D02* 350 | Y36550D01* 351 | X22600D01* 352 | X22666Y36617D01* 353 | Y36683D01* 354 | X22600Y36750D01* 355 | X22400D01* 356 | X22600D01* 357 | X22666Y36817D01* 358 | Y36883D01* 359 | X22600Y36950D01* 360 | X22400D01* 361 | X23066Y36550D02* 362 | X22800D01* 363 | X23066Y36817D01* 364 | Y36883D01* 365 | X23000Y36950D01* 366 | X22866D01* 367 | X22800Y36883D01* 368 | X24517Y24650D02* 369 | X24383D01* 370 | X24450D01* 371 | Y24317D01* 372 | X24383Y24250D01* 373 | X24317D01* 374 | X24250Y24317D01* 375 | X24650Y24250D02* 376 | X24783D01* 377 | X24717D01* 378 | Y24650D01* 379 | X24650Y24583D01* 380 | X21800Y23550D02* 381 | Y23950D01* 382 | X22000D01* 383 | X22067Y23883D01* 384 | Y23750D01* 385 | X22000Y23683D01* 386 | X21800D01* 387 | X21933D02* 388 | X22067Y23550D01* 389 | X22200D02* 390 | X22333D01* 391 | X22267D01* 392 | Y23950D01* 393 | X22200Y23883D01* 394 | X23824Y32373D02* 395 | Y32040D01* 396 | X23891Y31973D01* 397 | X24024D01* 398 | X24091Y32040D01* 399 | Y32373D01* 400 | X24224Y31973D02* 401 | X24357D01* 402 | X24291D01* 403 | Y32373D01* 404 | X24224Y32306D01* 405 | X21857Y27957D02* 406 | Y27624D01* 407 | X21924Y27557D01* 408 | X22057D01* 409 | X22124Y27624D01* 410 | Y27957D01* 411 | X22457Y27557D02* 412 | Y27957D01* 413 | X22257Y27757D01* 414 | X22524D01* 415 | X26600Y35700D02* 416 | X26933D01* 417 | X27000Y35767D01* 418 | Y35900D01* 419 | X26933Y35967D01* 420 | X26600D01* 421 | Y36366D02* 422 | Y36100D01* 423 | X26800D01* 424 | X26733Y36233D01* 425 | Y36300D01* 426 | X26800Y36366D01* 427 | X26933D01* 428 | X27000Y36300D01* 429 | Y36167D01* 430 | X26933Y36100D01* 431 | X23003Y33974D02* 432 | X22909D01* 433 | X22814Y33880D01* 434 | Y33786D01* 435 | X23003Y33597D01* 436 | X23097D01* 437 | X23191Y33691D01* 438 | Y33786D01* 439 | X23333Y33833D02* 440 | X23427Y33927D01* 441 | X23380Y33880D01* 442 | X23097Y34163D01* 443 | Y34068D01* 444 | X23757Y34257D02* 445 | X23568Y34068D01* 446 | Y34445D01* 447 | X23521Y34493D01* 448 | X23427D01* 449 | X23333Y34398D01* 450 | Y34304D01* 451 | X24267Y19667D02* 452 | X24200Y19600D01* 453 | Y19467D01* 454 | X24267Y19400D01* 455 | X24533D01* 456 | X24600Y19467D01* 457 | Y19600D01* 458 | X24533Y19667D01* 459 | X24200Y20066D02* 460 | Y19800D01* 461 | X24400D01* 462 | Y19933D01* 463 | Y19800D01* 464 | X24600D01* 465 | X24267Y20466D02* 466 | X24200Y20400D01* 467 | Y20266D01* 468 | X24267Y20200D01* 469 | X24533D01* 470 | X24600Y20266D01* 471 | Y20400D01* 472 | X24533Y20466D01* 473 | X24400D01* 474 | Y20333D01* 475 | X24200Y20600D02* 476 | Y20733D01* 477 | Y20666D01* 478 | X24600D01* 479 | Y20600D01* 480 | Y20733D01* 481 | Y20933D02* 482 | X24200D01* 483 | X24600Y21199D01* 484 | X24200D01* 485 | X25267Y19667D02* 486 | X25200Y19600D01* 487 | Y19467D01* 488 | X25267Y19400D01* 489 | X25533D01* 490 | X25600Y19467D01* 491 | Y19600D01* 492 | X25533Y19667D01* 493 | X25200Y20066D02* 494 | Y19800D01* 495 | X25400D01* 496 | Y19933D01* 497 | Y19800D01* 498 | X25600D01* 499 | X25267Y20466D02* 500 | X25200Y20400D01* 501 | Y20266D01* 502 | X25267Y20200D01* 503 | X25533D01* 504 | X25600Y20266D01* 505 | Y20400D01* 506 | X25533Y20466D01* 507 | X25400D01* 508 | Y20333D01* 509 | X25200Y20800D02* 510 | Y20666D01* 511 | X25267Y20600D01* 512 | X25533D01* 513 | X25600Y20666D01* 514 | Y20800D01* 515 | X25533Y20866D01* 516 | X25267D01* 517 | X25200Y20800D01* 518 | Y20999D02* 519 | X25533D01* 520 | X25600Y21066D01* 521 | Y21199D01* 522 | X25533Y21266D01* 523 | X25200D01* 524 | Y21399D02* 525 | Y21666D01* 526 | Y21533D01* 527 | X25600D01* 528 | X26317Y19667D02* 529 | X26250Y19600D01* 530 | Y19467D01* 531 | X26317Y19400D01* 532 | X26583D01* 533 | X26650Y19467D01* 534 | Y19600D01* 535 | X26583Y19667D01* 536 | X26450D01* 537 | Y19533D01* 538 | X26650Y19800D02* 539 | X26250D01* 540 | X26650Y20066D01* 541 | X26250D01* 542 | Y20200D02* 543 | X26650D01* 544 | Y20400D01* 545 | X26583Y20466D01* 546 | X26317D01* 547 | X26250Y20400D01* 548 | Y20200D01* 549 | X23317Y19667D02* 550 | X23250Y19600D01* 551 | Y19467D01* 552 | X23317Y19400D01* 553 | X23583D01* 554 | X23650Y19467D01* 555 | Y19600D01* 556 | X23583Y19667D01* 557 | X23450D01* 558 | Y19533D01* 559 | X23650Y19800D02* 560 | X23250D01* 561 | X23650Y20066D01* 562 | X23250D01* 563 | Y20200D02* 564 | X23650D01* 565 | Y20400D01* 566 | X23583Y20466D01* 567 | X23317D01* 568 | X23250Y20400D01* 569 | Y20200D01* 570 | D39* 571 | X28790Y23400D02* 572 | Y23613D01* 573 | X28683Y23507D02* 574 | X28897D01* 575 | X28683Y23720D02* 576 | X28630Y23773D01* 577 | Y23880D01* 578 | X28683Y23933D01* 579 | X28737D01* 580 | X28790Y23880D01* 581 | Y23827D01* 582 | Y23880D01* 583 | X28843Y23933D01* 584 | X28897D01* 585 | X28950Y23880D01* 586 | Y23773D01* 587 | X28897Y23720D01* 588 | X28630Y24040D02* 589 | X28843D01* 590 | X28950Y24146D01* 591 | X28843Y24253D01* 592 | X28630D01* 593 | X28683Y22613D02* 594 | X28630Y22560D01* 595 | Y22453D01* 596 | X28683Y22400D01* 597 | X28897D01* 598 | X28950Y22453D01* 599 | Y22560D01* 600 | X28897Y22613D01* 601 | X28790D01* 602 | Y22507D01* 603 | X28950Y22720D02* 604 | X28630D01* 605 | X28950Y22933D01* 606 | X28630D01* 607 | Y23040D02* 608 | X28950D01* 609 | Y23200D01* 610 | X28897Y23253D01* 611 | X28683D01* 612 | X28630Y23200D01* 613 | Y23040D01* 614 | Y21400D02* 615 | Y21613D01* 616 | Y21507D01* 617 | X28950D01* 618 | X28683Y21933D02* 619 | X28630Y21880D01* 620 | Y21773D01* 621 | X28683Y21720D01* 622 | X28897D01* 623 | X28950Y21773D01* 624 | Y21880D01* 625 | X28897Y21933D01* 626 | X28630Y22040D02* 627 | X28950D01* 628 | X28843D01* 629 | X28630Y22253D01* 630 | X28790Y22093D01* 631 | X28950Y22253D01* 632 | X28630Y20400D02* 633 | Y20613D01* 634 | Y20507D01* 635 | X28950D01* 636 | X28630Y20720D02* 637 | X28950D01* 638 | Y20880D01* 639 | X28897Y20933D01* 640 | X28683D01* 641 | X28630Y20880D01* 642 | Y20720D01* 643 | Y21200D02* 644 | Y21093D01* 645 | X28683Y21040D01* 646 | X28897D01* 647 | X28950Y21093D01* 648 | Y21200D01* 649 | X28897Y21253D01* 650 | X28683D01* 651 | X28630Y21200D01* 652 | Y19400D02* 653 | Y19613D01* 654 | Y19507D01* 655 | X28950D01* 656 | X28630Y19720D02* 657 | X28950D01* 658 | Y19880D01* 659 | X28897Y19933D01* 660 | X28683D01* 661 | X28630Y19880D01* 662 | Y19720D01* 663 | Y20040D02* 664 | Y20146D01* 665 | Y20093D01* 666 | X28950D01* 667 | Y20040D01* 668 | Y20146D01* 669 | X28630Y18400D02* 670 | Y18613D01* 671 | Y18507D01* 672 | X28950D01* 673 | Y18720D02* 674 | X28630D01* 675 | X28737Y18827D01* 676 | X28630Y18933D01* 677 | X28950D01* 678 | X28683Y19253D02* 679 | X28630Y19200D01* 680 | Y19093D01* 681 | X28683Y19040D01* 682 | X28737D01* 683 | X28790Y19093D01* 684 | Y19200D01* 685 | X28843Y19253D01* 686 | X28897D01* 687 | X28950Y19200D01* 688 | Y19093D01* 689 | X28897Y19040D01* 690 | X23509Y24970D02* 691 | Y24650D01* 692 | Y24810D01* 693 | X23722D01* 694 | Y24970D01* 695 | Y24650D01* 696 | X23829Y24970D02* 697 | X23936D01* 698 | X23882D01* 699 | Y24650D01* 700 | X23829D01* 701 | X23936D01* 702 | X23470Y24262D02* 703 | Y23942D01* 704 | X23683D01* 705 | X23950Y24262D02* 706 | X23843D01* 707 | X23790Y24208D01* 708 | Y23995D01* 709 | X23843Y23942D01* 710 | X23950D01* 711 | X24003Y23995D01* 712 | Y24208D01* 713 | X23950Y24262D01* 714 | M02* 715 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.GTP: -------------------------------------------------------------------------------- 1 | G04 Layer_Color=7318015* 2 | %FSLAX24Y24*% 3 | %MOIN*% 4 | G70* 5 | G01* 6 | G75* 7 | %ADD10R,0.0512X0.0689*% 8 | %ADD11R,0.0492X0.0433*% 9 | %ADD12R,0.0551X0.0709*% 10 | %ADD13R,0.0433X0.0492*% 11 | G04:AMPARAMS|DCode=14|XSize=43.3mil|YSize=49.2mil|CornerRadius=0mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=225.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=Rectangle|* 12 | %AMROTATEDRECTD14* 13 | 4,1,4,-0.0021,0.0327,0.0327,-0.0021,0.0021,-0.0327,-0.0327,0.0021,-0.0021,0.0327,0.0* 14 | % 15 | %ADD14ROTATEDRECTD14*% 16 | 17 | %ADD16O,0.0098X0.0610*% 18 | %ADD17O,0.0610X0.0098*% 19 | %ADD18R,0.0374X0.0236*% 20 | %ADD19R,0.0236X0.0669*% 21 | G04:AMPARAMS|DCode=20|XSize=55.1mil|YSize=70.9mil|CornerRadius=0mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=225.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=Rectangle|* 22 | %AMROTATEDRECTD20* 23 | 4,1,4,-0.0056,0.0445,0.0445,-0.0056,0.0056,-0.0445,-0.0445,0.0056,-0.0056,0.0445,0.0* 24 | % 25 | %ADD20ROTATEDRECTD20*% 26 | 27 | %ADD21R,0.0650X0.0217*% 28 | %ADD22O,0.0650X0.0217*% 29 | %ADD23R,0.0354X0.0413*% 30 | D10* 31 | X21950Y36000D02* 32 | D03* 33 | X23151D02* 34 | D03* 35 | X28950Y32800D02* 36 | D03* 37 | X27749D02* 38 | D03* 39 | D11* 40 | X22950Y25470D02* 41 | D03* 42 | X22281D02* 43 | D03* 44 | D12* 45 | X27762Y34420D02* 46 | D03* 47 | X26738D02* 48 | D03* 49 | X27408Y37090D02* 50 | D03* 51 | X28432D02* 52 | D03* 53 | D13* 54 | X22450Y39250D02* 55 | D03* 56 | Y38581D02* 57 | D03* 58 | Y42000D02* 59 | D03* 60 | Y42669D02* 61 | D03* 62 | Y43900D02* 63 | D03* 64 | Y44569D02* 65 | D03* 66 | Y45500D02* 67 | D03* 68 | Y46169D02* 69 | D03* 70 | X25800Y33000D02* 71 | D03* 72 | Y32331D02* 73 | D03* 74 | X25450Y25450D02* 75 | D03* 76 | Y26119D02* 77 | D03* 78 | X23350Y32400D02* 79 | D03* 80 | Y31731D02* 81 | D03* 82 | D14* 83 | X28790Y27900D02* 84 | D03* 85 | X28317Y28373D02* 86 | D03* 87 | D16* 88 | X23814Y31475D02* 89 | D03* 90 | X24010D02* 91 | D03* 92 | X24207D02* 93 | D03* 94 | X24404D02* 95 | D03* 96 | X24601D02* 97 | D03* 98 | X24798D02* 99 | D03* 100 | X24995D02* 101 | D03* 102 | X25192D02* 103 | D03* 104 | X25388D02* 105 | D03* 106 | X25585D02* 107 | D03* 108 | X25782D02* 109 | D03* 110 | X25979D02* 111 | D03* 112 | X26176D02* 113 | D03* 114 | X26373D02* 115 | D03* 116 | X26570D02* 117 | D03* 118 | X26766D02* 119 | D03* 120 | Y27125D02* 121 | D03* 122 | X26570D02* 123 | D03* 124 | X26373D02* 125 | D03* 126 | X26176D02* 127 | D03* 128 | X25979D02* 129 | D03* 130 | X25782D02* 131 | D03* 132 | X25585D02* 133 | D03* 134 | X25388D02* 135 | D03* 136 | X25192D02* 137 | D03* 138 | X24995D02* 139 | D03* 140 | X24798D02* 141 | D03* 142 | X24601D02* 143 | D03* 144 | X24404D02* 145 | D03* 146 | X24207D02* 147 | D03* 148 | X24010D02* 149 | D03* 150 | X23814D02* 151 | D03* 152 | D17* 153 | X27465Y30776D02* 154 | D03* 155 | Y30580D02* 156 | D03* 157 | Y30383D02* 158 | D03* 159 | Y30186D02* 160 | D03* 161 | Y29989D02* 162 | D03* 163 | Y29792D02* 164 | D03* 165 | Y29595D02* 166 | D03* 167 | Y29398D02* 168 | D03* 169 | Y29202D02* 170 | D03* 171 | Y29005D02* 172 | D03* 173 | Y28808D02* 174 | D03* 175 | Y28611D02* 176 | D03* 177 | Y28414D02* 178 | D03* 179 | Y28217D02* 180 | D03* 181 | Y28020D02* 182 | D03* 183 | Y27824D02* 184 | D03* 185 | X23115D02* 186 | D03* 187 | Y28020D02* 188 | D03* 189 | Y28217D02* 190 | D03* 191 | Y28414D02* 192 | D03* 193 | Y28611D02* 194 | D03* 195 | Y28808D02* 196 | D03* 197 | Y29005D02* 198 | D03* 199 | Y29202D02* 200 | D03* 201 | Y29398D02* 202 | D03* 203 | Y29595D02* 204 | D03* 205 | Y29792D02* 206 | D03* 207 | Y29989D02* 208 | D03* 209 | Y30186D02* 210 | D03* 211 | Y30383D02* 212 | D03* 213 | Y30580D02* 214 | D03* 215 | Y30776D02* 216 | D03* 217 | D18* 218 | X21857Y26924D02* 219 | D03* 220 | Y26550D02* 221 | D03* 222 | Y26176D02* 223 | D03* 224 | X22743Y26924D02* 225 | D03* 226 | Y26550D02* 227 | D03* 228 | Y26176D02* 229 | D03* 230 | D19* 231 | X27770Y35416D02* 232 | D03* 233 | X27396Y36204D02* 234 | D03* 235 | X28144D02* 236 | D03* 237 | D20* 238 | X23112Y34962D02* 239 | D03* 240 | X22388Y34238D02* 241 | D03* 242 | D21* 243 | X23200Y46150D02* 244 | D03* 245 | D22* 246 | Y45835D02* 247 | D03* 248 | Y45520D02* 249 | D03* 250 | Y45205D02* 251 | D03* 252 | Y44890D02* 253 | D03* 254 | Y44575D02* 255 | D03* 256 | Y44260D02* 257 | D03* 258 | Y43945D02* 259 | D03* 260 | Y43630D02* 261 | D03* 262 | Y43315D02* 263 | D03* 264 | Y43000D02* 265 | D03* 266 | Y42685D02* 267 | D03* 268 | Y42370D02* 269 | D03* 270 | Y42056D02* 271 | D03* 272 | Y41741D02* 273 | D03* 274 | Y41426D02* 275 | D03* 276 | Y41111D02* 277 | D03* 278 | Y40796D02* 279 | D03* 280 | Y40481D02* 281 | D03* 282 | Y40166D02* 283 | D03* 284 | Y39851D02* 285 | D03* 286 | Y39536D02* 287 | D03* 288 | Y39221D02* 289 | D03* 290 | Y38906D02* 291 | D03* 292 | Y38591D02* 293 | D03* 294 | X27751Y46150D02* 295 | D03* 296 | Y45835D02* 297 | D03* 298 | Y45520D02* 299 | D03* 300 | Y45205D02* 301 | D03* 302 | Y44890D02* 303 | D03* 304 | Y44575D02* 305 | D03* 306 | Y44260D02* 307 | D03* 308 | Y43945D02* 309 | D03* 310 | Y43630D02* 311 | D03* 312 | Y43315D02* 313 | D03* 314 | Y43000D02* 315 | D03* 316 | Y42685D02* 317 | D03* 318 | Y42370D02* 319 | D03* 320 | Y42056D02* 321 | D03* 322 | Y41741D02* 323 | D03* 324 | Y41426D02* 325 | D03* 326 | Y41111D02* 327 | D03* 328 | Y40796D02* 329 | D03* 330 | Y40481D02* 331 | D03* 332 | Y40166D02* 333 | D03* 334 | Y39851D02* 335 | D03* 336 | Y39536D02* 337 | D03* 338 | Y39221D02* 339 | D03* 340 | Y38906D02* 341 | D03* 342 | Y38591D02* 343 | D03* 344 | D23* 345 | X22316Y24300D02* 346 | D03* 347 | X21784D02* 348 | D03* 349 | M02* 350 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.GTS: -------------------------------------------------------------------------------- 1 | G04 Layer_Color=20142* 2 | %FSLAX24Y24*% 3 | %MOIN*% 4 | G70* 5 | G01* 6 | G75* 7 | %ADD40R,0.0592X0.0769*% 8 | %ADD41R,0.0572X0.0513*% 9 | %ADD42R,0.0631X0.0789*% 10 | %ADD43R,0.0513X0.0572*% 11 | G04:AMPARAMS|DCode=44|XSize=51.3mil|YSize=57.2mil|CornerRadius=0mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=225.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=Rectangle|* 12 | %AMROTATEDRECTD44* 13 | 4,1,4,-0.0021,0.0384,0.0384,-0.0021,0.0021,-0.0384,-0.0384,0.0021,-0.0021,0.0384,0.0* 14 | % 15 | %ADD44ROTATEDRECTD44*% 16 | 17 | %ADD45R,0.0480X0.0280*% 18 | %ADD46O,0.0178X0.0690*% 19 | %ADD47O,0.0690X0.0178*% 20 | %ADD48R,0.0454X0.0316*% 21 | %ADD49R,0.0316X0.0749*% 22 | G04:AMPARAMS|DCode=50|XSize=63.1mil|YSize=78.9mil|CornerRadius=0mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=225.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=Rectangle|* 23 | %AMROTATEDRECTD50* 24 | 4,1,4,-0.0056,0.0502,0.0502,-0.0056,0.0056,-0.0502,-0.0502,0.0056,-0.0056,0.0502,0.0* 25 | % 26 | %ADD50ROTATEDRECTD50*% 27 | 28 | %ADD51R,0.0730X0.0297*% 29 | %ADD52O,0.0730X0.0297*% 30 | %ADD53R,0.0434X0.0493*% 31 | G04:AMPARAMS|DCode=54|XSize=86.7mil|YSize=86.7mil|CornerRadius=23.7mil|HoleSize=0mil|Usage=FLASHONLY|Rotation=0.000|XOffset=0mil|YOffset=0mil|HoleType=Round|Shape=RoundedRectangle|* 32 | %AMROUNDEDRECTD54* 33 | 21,1,0.0867,0.0394,0,0,0.0* 34 | 21,1,0.0394,0.0867,0,0,0.0* 35 | 1,1,0.0474,0.0197,-0.0197* 36 | 1,1,0.0474,-0.0197,-0.0197* 37 | 1,1,0.0474,-0.0197,0.0197* 38 | 1,1,0.0474,0.0197,0.0197* 39 | % 40 | %ADD54ROUNDEDRECTD54*% 41 | %ADD55O,0.0671X0.1280*% 42 | %ADD56R,0.0671X0.0671*% 43 | %ADD57C,0.0671*% 44 | G36* 45 | X23340Y23910D02* 46 | X22860D01* 47 | Y24890D01* 48 | X23340D01* 49 | Y23910D01* 50 | D02* 51 | G37* 52 | D40* 53 | X21950Y36000D02* 54 | D03* 55 | X23151D02* 56 | D03* 57 | X28950Y32800D02* 58 | D03* 59 | X27749D02* 60 | D03* 61 | D41* 62 | X22950Y25470D02* 63 | D03* 64 | X22281D02* 65 | D03* 66 | D42* 67 | X27762Y34420D02* 68 | D03* 69 | X26738D02* 70 | D03* 71 | X27408Y37090D02* 72 | D03* 73 | X28432D02* 74 | D03* 75 | D43* 76 | X22450Y39250D02* 77 | D03* 78 | Y38581D02* 79 | D03* 80 | Y42000D02* 81 | D03* 82 | Y42669D02* 83 | D03* 84 | Y43900D02* 85 | D03* 86 | Y44569D02* 87 | D03* 88 | Y45500D02* 89 | D03* 90 | Y46169D02* 91 | D03* 92 | X25800Y33000D02* 93 | D03* 94 | Y32331D02* 95 | D03* 96 | X25450Y25450D02* 97 | D03* 98 | Y26119D02* 99 | D03* 100 | X23350Y32400D02* 101 | D03* 102 | Y31731D02* 103 | D03* 104 | D44* 105 | X28790Y27900D02* 106 | D03* 107 | X28317Y28373D02* 108 | D03* 109 | D45* 110 | X23100Y24750D02* 111 | D03* 112 | Y24400D02* 113 | D03* 114 | Y24050D02* 115 | D03* 116 | D46* 117 | X23814Y31475D02* 118 | D03* 119 | X24010D02* 120 | D03* 121 | X24207D02* 122 | D03* 123 | X24404D02* 124 | D03* 125 | X24601D02* 126 | D03* 127 | X24798D02* 128 | D03* 129 | X24995D02* 130 | D03* 131 | X25192D02* 132 | D03* 133 | X25388D02* 134 | D03* 135 | X25585D02* 136 | D03* 137 | X25782D02* 138 | D03* 139 | X25979D02* 140 | D03* 141 | X26176D02* 142 | D03* 143 | X26373D02* 144 | D03* 145 | X26570D02* 146 | D03* 147 | X26766D02* 148 | D03* 149 | Y27125D02* 150 | D03* 151 | X26570D02* 152 | D03* 153 | X26373D02* 154 | D03* 155 | X26176D02* 156 | D03* 157 | X25979D02* 158 | D03* 159 | X25782D02* 160 | D03* 161 | X25585D02* 162 | D03* 163 | X25388D02* 164 | D03* 165 | X25192D02* 166 | D03* 167 | X24995D02* 168 | D03* 169 | X24798D02* 170 | D03* 171 | X24601D02* 172 | D03* 173 | X24404D02* 174 | D03* 175 | X24207D02* 176 | D03* 177 | X24010D02* 178 | D03* 179 | X23814D02* 180 | D03* 181 | D47* 182 | X27465Y30776D02* 183 | D03* 184 | Y30580D02* 185 | D03* 186 | Y30383D02* 187 | D03* 188 | Y30186D02* 189 | D03* 190 | Y29989D02* 191 | D03* 192 | Y29792D02* 193 | D03* 194 | Y29595D02* 195 | D03* 196 | Y29398D02* 197 | D03* 198 | Y29202D02* 199 | D03* 200 | Y29005D02* 201 | D03* 202 | Y28808D02* 203 | D03* 204 | Y28611D02* 205 | D03* 206 | Y28414D02* 207 | D03* 208 | Y28217D02* 209 | D03* 210 | Y28020D02* 211 | D03* 212 | Y27824D02* 213 | D03* 214 | X23115D02* 215 | D03* 216 | Y28020D02* 217 | D03* 218 | Y28217D02* 219 | D03* 220 | Y28414D02* 221 | D03* 222 | Y28611D02* 223 | D03* 224 | Y28808D02* 225 | D03* 226 | Y29005D02* 227 | D03* 228 | Y29202D02* 229 | D03* 230 | Y29398D02* 231 | D03* 232 | Y29595D02* 233 | D03* 234 | Y29792D02* 235 | D03* 236 | Y29989D02* 237 | D03* 238 | Y30186D02* 239 | D03* 240 | Y30383D02* 241 | D03* 242 | Y30580D02* 243 | D03* 244 | Y30776D02* 245 | D03* 246 | D48* 247 | X21857Y26924D02* 248 | D03* 249 | Y26550D02* 250 | D03* 251 | Y26176D02* 252 | D03* 253 | X22743Y26924D02* 254 | D03* 255 | Y26550D02* 256 | D03* 257 | Y26176D02* 258 | D03* 259 | D49* 260 | X27770Y35416D02* 261 | D03* 262 | X27396Y36204D02* 263 | D03* 264 | X28144D02* 265 | D03* 266 | D50* 267 | X23112Y34962D02* 268 | D03* 269 | X22388Y34238D02* 270 | D03* 271 | D51* 272 | X23200Y46150D02* 273 | D03* 274 | D52* 275 | Y45835D02* 276 | D03* 277 | Y45520D02* 278 | D03* 279 | Y45205D02* 280 | D03* 281 | Y44890D02* 282 | D03* 283 | Y44575D02* 284 | D03* 285 | Y44260D02* 286 | D03* 287 | Y43945D02* 288 | D03* 289 | Y43630D02* 290 | D03* 291 | Y43315D02* 292 | D03* 293 | Y43000D02* 294 | D03* 295 | Y42685D02* 296 | D03* 297 | Y42370D02* 298 | D03* 299 | Y42056D02* 300 | D03* 301 | Y41741D02* 302 | D03* 303 | Y41426D02* 304 | D03* 305 | Y41111D02* 306 | D03* 307 | Y40796D02* 308 | D03* 309 | Y40481D02* 310 | D03* 311 | Y40166D02* 312 | D03* 313 | Y39851D02* 314 | D03* 315 | Y39536D02* 316 | D03* 317 | Y39221D02* 318 | D03* 319 | Y38906D02* 320 | D03* 321 | Y38591D02* 322 | D03* 323 | X27751Y46150D02* 324 | D03* 325 | Y45835D02* 326 | D03* 327 | Y45520D02* 328 | D03* 329 | Y45205D02* 330 | D03* 331 | Y44890D02* 332 | D03* 333 | Y44575D02* 334 | D03* 335 | Y44260D02* 336 | D03* 337 | Y43945D02* 338 | D03* 339 | Y43630D02* 340 | D03* 341 | Y43315D02* 342 | D03* 343 | Y43000D02* 344 | D03* 345 | Y42685D02* 346 | D03* 347 | Y42370D02* 348 | D03* 349 | Y42056D02* 350 | D03* 351 | Y41741D02* 352 | D03* 353 | Y41426D02* 354 | D03* 355 | Y41111D02* 356 | D03* 357 | Y40796D02* 358 | D03* 359 | Y40481D02* 360 | D03* 361 | Y40166D02* 362 | D03* 363 | Y39851D02* 364 | D03* 365 | Y39536D02* 366 | D03* 367 | Y39221D02* 368 | D03* 369 | Y38906D02* 370 | D03* 371 | Y38591D02* 372 | D03* 373 | D53* 374 | X22316Y24300D02* 375 | D03* 376 | X21784D02* 377 | D03* 378 | D54* 379 | X29750Y16800D02* 380 | D03* 381 | Y17800D02* 382 | D03* 383 | Y18800D02* 384 | D03* 385 | Y19800D02* 386 | D03* 387 | Y20800D02* 388 | D03* 389 | Y21800D02* 390 | D03* 391 | Y22800D02* 392 | D03* 393 | Y23800D02* 394 | D03* 395 | Y24800D02* 396 | D03* 397 | Y25800D02* 398 | D03* 399 | Y26800D02* 400 | D03* 401 | Y27800D02* 402 | D03* 403 | Y28800D02* 404 | D03* 405 | Y29800D02* 406 | D03* 407 | Y30800D02* 408 | D03* 409 | Y31800D02* 410 | D03* 411 | Y32800D02* 412 | D03* 413 | Y33800D02* 414 | D03* 415 | Y34800D02* 416 | D03* 417 | Y35800D02* 418 | D03* 419 | Y36800D02* 420 | D03* 421 | Y37800D02* 422 | D03* 423 | Y38800D02* 424 | D03* 425 | Y39800D02* 426 | D03* 427 | Y40800D02* 428 | D03* 429 | Y41800D02* 430 | D03* 431 | Y42800D02* 432 | D03* 433 | Y43800D02* 434 | D03* 435 | Y44800D02* 436 | D03* 437 | Y45800D02* 438 | D03* 439 | Y46800D02* 440 | D03* 441 | Y47800D02* 442 | D03* 443 | X20750Y16800D02* 444 | D03* 445 | Y17800D02* 446 | D03* 447 | Y18800D02* 448 | D03* 449 | Y19800D02* 450 | D03* 451 | Y20800D02* 452 | D03* 453 | Y21800D02* 454 | D03* 455 | Y22800D02* 456 | D03* 457 | Y23800D02* 458 | D03* 459 | Y24800D02* 460 | D03* 461 | Y25800D02* 462 | D03* 463 | Y26800D02* 464 | D03* 465 | Y27800D02* 466 | D03* 467 | Y28800D02* 468 | D03* 469 | Y29800D02* 470 | D03* 471 | Y30800D02* 472 | D03* 473 | Y31800D02* 474 | D03* 475 | Y32800D02* 476 | D03* 477 | Y33800D02* 478 | D03* 479 | Y34800D02* 480 | D03* 481 | Y35800D02* 482 | D03* 483 | Y36800D02* 484 | D03* 485 | Y37800D02* 486 | D03* 487 | Y38800D02* 488 | D03* 489 | Y39800D02* 490 | D03* 491 | Y40800D02* 492 | D03* 493 | Y41800D02* 494 | D03* 495 | Y42800D02* 496 | D03* 497 | Y43800D02* 498 | D03* 499 | Y44800D02* 500 | D03* 501 | Y45800D02* 502 | D03* 503 | Y46800D02* 504 | D03* 505 | Y47800D02* 506 | D03* 507 | D55* 508 | X23450Y18550D02* 509 | D03* 510 | X24450D02* 511 | D03* 512 | X25450D02* 513 | D03* 514 | X26450D02* 515 | D03* 516 | D56* 517 | X27950Y18800D02* 518 | D03* 519 | D57* 520 | Y19800D02* 521 | D03* 522 | Y20800D02* 523 | D03* 524 | Y21800D02* 525 | D03* 526 | Y22800D02* 527 | D03* 528 | Y23800D02* 529 | D03* 530 | M02* 531 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.Outline: -------------------------------------------------------------------------------- 1 | G04 Layer_Color=16740166* 2 | %FSLAX24Y24*% 3 | %MOIN*% 4 | G70* 5 | G01* 6 | G75* 7 | %ADD37C,0.0050*% 8 | D37* 9 | X20050Y16100D02* 10 | Y48500D01* 11 | Y16100D02* 12 | X21545D01* 13 | Y17682D01* 14 | X29026Y16100D02* 15 | X30450D01* 16 | Y48500D01* 17 | X20050D02* 18 | X30450D01* 19 | X29026Y17682D02* 20 | X29026Y17682D01* 21 | X21545Y17682D02* 22 | X29026D01* 23 | X29026Y16821D02* 24 | Y17165D01* 25 | Y16100D02* 26 | Y16648D01* 27 | Y16821D01* 28 | Y17165D02* 29 | Y17337D01* 30 | Y17682D01* 31 | M02* 32 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.REP: -------------------------------------------------------------------------------- 1 | ************************************************************* 2 | FileName = PCB1.GBR 3 | AutoAperture = True 4 | ************************************************************* 5 | Generating : Top Layer 6 | File : PCB1.GTL 7 | 8 | Adding Layer : Top Layer 9 | 10 | Adding Layer : Multi-Layer 11 | 12 | 13 | Used DCodes : 14 | D10 15 | D11 16 | D12 17 | D13 18 | D14 19 | D15 20 | D16 21 | D17 22 | D18 23 | D19 24 | D20 25 | D21 26 | D22 27 | D23 28 | D24 29 | D25 30 | D26 31 | D27 32 | D28 33 | D29 34 | D30 35 | D31 36 | ************************************************************* 37 | 38 | ************************************************************* 39 | Generating : Bottom Layer 40 | File : PCB1.GBL 41 | 42 | Adding Layer : Bottom Layer 43 | 44 | Adding Layer : Multi-Layer 45 | 46 | 47 | Used DCodes : 48 | D24 49 | D25 50 | D27 51 | D28 52 | D29 53 | D30 54 | D31 55 | D32 56 | ************************************************************* 57 | 58 | ************************************************************* 59 | Generating : Bottom Pad Master 60 | File : PCB1.GPB 61 | 62 | Adding Layer : Bottom Layer 63 | 64 | Adding Layer : Multi-Layer 65 | 66 | 67 | Used DCodes : 68 | D27 69 | D28 70 | D29 71 | D30 72 | D32 73 | ************************************************************* 74 | 75 | ************************************************************* 76 | Generating : Top Pad Master 77 | File : PCB1.GPT 78 | 79 | Adding Layer : Top Layer 80 | 81 | Adding Layer : Multi-Layer 82 | 83 | 84 | Used DCodes : 85 | D10 86 | D11 87 | D12 88 | D13 89 | D14 90 | D15 91 | D16 92 | D17 93 | D18 94 | D19 95 | D20 96 | D21 97 | D22 98 | D23 99 | D27 100 | D28 101 | D29 102 | D30 103 | ************************************************************* 104 | 105 | ************************************************************* 106 | Generating : Top Overlay 107 | File : PCB1.GTO 108 | 109 | Adding Layer : Top Overlay 110 | 111 | 112 | Used DCodes : 113 | D26 114 | D33 115 | D34 116 | D35 117 | D36 118 | D37 119 | D38 120 | D39 121 | ************************************************************* 122 | 123 | ************************************************************* 124 | Generating : Top Paste 125 | File : PCB1.GTP 126 | 127 | Adding Layer : Top Paste 128 | 129 | Adding Layer : Top Layer 130 | 131 | Adding Layer : Multi-Layer 132 | 133 | 134 | Used DCodes : 135 | D10 136 | D11 137 | D12 138 | D13 139 | D14 140 | D16 141 | D17 142 | D18 143 | D19 144 | D20 145 | D21 146 | D22 147 | D23 148 | ************************************************************* 149 | 150 | ************************************************************* 151 | Generating : Top Solder 152 | File : PCB1.GTS 153 | 154 | Adding Layer : Top Solder 155 | 156 | Adding Layer : Top Layer 157 | 158 | Adding Layer : Multi-Layer 159 | 160 | 161 | Used DCodes : 162 | D40 163 | D41 164 | D42 165 | D43 166 | D44 167 | D45 168 | D46 169 | D47 170 | D48 171 | D49 172 | D50 173 | D51 174 | D52 175 | D53 176 | D54 177 | D55 178 | D56 179 | D57 180 | ************************************************************* 181 | 182 | ************************************************************* 183 | Generating : Bottom Solder 184 | File : PCB1.GBS 185 | 186 | Adding Layer : Bottom Solder 187 | 188 | Adding Layer : Bottom Layer 189 | 190 | Adding Layer : Multi-Layer 191 | 192 | 193 | Used DCodes : 194 | D54 195 | D55 196 | D56 197 | D57 198 | D58 199 | ************************************************************* 200 | 201 | ************************************************************* 202 | Generating : Bottom Paste 203 | File : PCB1.GBP 204 | 205 | Adding Layer : Bottom Paste 206 | 207 | Adding Layer : Bottom Layer 208 | 209 | Adding Layer : Multi-Layer 210 | 211 | 212 | Used DCodes : 213 | ************************************************************* 214 | 215 | ************************************************************* 216 | Generating : Bottom Overlay 217 | File : PCB1.GBO 218 | 219 | Adding Layer : Bottom Overlay 220 | 221 | 222 | Used DCodes : 223 | D37 224 | D38 225 | D39 226 | ************************************************************* 227 | 228 | ************************************************************* 229 | Generating : Outline 230 | File : PCB1.Outline 231 | 232 | Adding Layer : Outline 233 | 234 | 235 | Used DCodes : 236 | D37 237 | ************************************************************* 238 | 239 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.RUL: -------------------------------------------------------------------------------- 1 | DRC Rules Export File for PCB: C:\ProgramData\Altium\CircuitMaker {0C27520B-6165-4CC0-A894-E2CEC9A420C8}\Projects\9F2A2FF7-EBF2-4E61-8DD4-3DEAB783A5D4\68ee2d7f-62af-44a8-b5ff-68ac69bac697\PCB1.CMPcbDoc 2 | RuleKind=ShortCircuit|RuleName=ShortCircuit|Scope=Board|Allowed=0 3 | RuleKind=Clearance|RuleName=Clearance|Scope=Board|Minimum=5.00 4 | RuleKind=Width|RuleName=Width|Scope=Board|Minimum=7.00 5 | RuleKind=SolderMaskExpansion|RuleName=SolderMaskExpansion|Scope=Board|Minimum=4.00 6 | RuleKind=ShortCircuit|RuleName=j1|Scope=Board|Allowed=1 7 | -------------------------------------------------------------------------------- /Rev_A/Gerber/Gerber/PCB1.apr: -------------------------------------------------------------------------------- 1 | D10 RECTANGULAR 68.898 51.181 0.000 FLASH 90.000 2 | D11 RECTANGULAR 43.307 49.213 0.000 FLASH 270.000 3 | D12 RECTANGULAR 55.118 70.866 0.000 FLASH 180.000 4 | D13 RECTANGULAR 43.307 49.213 0.000 FLASH 0.000 5 | D15 RECTANGULAR 20.000 40.000 0.000 FLASH 90.000 6 | D16 ROUNDED 9.842 61.024 0.000 FLASH 0.000 7 | D17 ROUNDED 9.842 61.024 0.000 FLASH 90.000 8 | D18 RECTANGULAR 23.622 37.402 0.000 FLASH 90.000 9 | D19 RECTANGULAR 23.622 66.929 0.000 FLASH 0.000 10 | D21 RECTANGULAR 64.961 21.654 0.000 FLASH 0.000 11 | D22 ROUNDED 64.961 21.654 0.000 FLASH 0.000 12 | D23 RECTANGULAR 41.339 35.433 0.000 FLASH 90.000 13 | D24 ROUNDED 20.000 20.000 0.000 LINE 0.000 14 | D25 ROUNDED 7.000 7.000 0.000 LINE 0.000 15 | D26 ROUNDED 10.000 10.000 0.000 LINE 0.000 16 | D28 ROUNDED 59.055 120.000 0.000 FLASH 180.000 17 | D29 RECTANGULAR 59.055 59.055 0.000 FLASH 90.000 18 | D30 ROUNDED 59.055 59.055 0.000 FLASH 0.000 19 | D31 ROUNDED 30.000 30.000 0.000 FLASH 0.000 20 | D32 RECTANGULAR 20.000 40.000 0.000 FLASH 0.000 21 | D33 ROUNDED 3.937 3.937 0.000 LINE 0.000 22 | D34 ROUNDED 23.622 23.622 0.000 LINE 0.000 23 | D35 ROUNDED 9.842 9.842 0.000 LINE 0.000 24 | D36 ROUNDED 7.874 7.874 0.000 LINE 0.000 25 | D37 ROUNDED 5.000 5.000 0.000 LINE 0.000 26 | D38 ROUNDED 8.000 8.000 0.000 LINE 0.000 27 | D39 ROUNDED 6.000 6.000 0.000 LINE 0.000 28 | D40 RECTANGULAR 76.898 59.181 0.000 FLASH 90.000 29 | D41 RECTANGULAR 51.307 57.213 0.000 FLASH 270.000 30 | D42 RECTANGULAR 63.118 78.866 0.000 FLASH 180.000 31 | D43 RECTANGULAR 51.307 57.213 0.000 FLASH 0.000 32 | D45 RECTANGULAR 28.000 48.000 0.000 FLASH 90.000 33 | D46 ROUNDED 17.843 69.024 0.000 FLASH 0.000 34 | D47 ROUNDED 17.843 69.024 0.000 FLASH 90.000 35 | D48 RECTANGULAR 31.622 45.402 0.000 FLASH 90.000 36 | D49 RECTANGULAR 31.622 74.929 0.000 FLASH 0.000 37 | D51 RECTANGULAR 72.961 29.654 0.000 FLASH 0.000 38 | D52 ROUNDED 72.961 29.654 0.000 FLASH 0.000 39 | D53 RECTANGULAR 49.339 43.433 0.000 FLASH 90.000 40 | D55 ROUNDED 67.055 128.000 0.000 FLASH 180.000 41 | D56 RECTANGULAR 67.055 67.055 0.000 FLASH 90.000 42 | D57 ROUNDED 67.055 67.055 0.000 FLASH 0.000 43 | D58 RECTANGULAR 28.000 48.000 0.000 FLASH 0.000 44 | -------------------------------------------------------------------------------- /Rev_A/Gerber/NC Drill/PCB1.DRR: -------------------------------------------------------------------------------- 1 | --------------------------------------------------------------------------- 2 | NCDrill File Report For: PCB1.CMPcbDoc 30/10/2020 5:04:25 PM 3 | --------------------------------------------------------------------------- 4 | 5 | Layer Pair : Top Layer to Bottom Layer 6 | ASCII RoundHoles File : PCB1.TXT 7 | 8 | Tool Hole Size Hole Type Hole Count Plated Tool Travel 9 | --------------------------------------------------------------------------- 10 | T1 15mil (0.381mm) Round 51 12.42 Inch (315.50 mm) 11 | T2 35.4mil (0.89916mm) Round 10 0.95 Inch (24.13 mm) 12 | T3 63mil (1.6002mm) Round 64 11.60 Inch (294.64 mm) 13 | --------------------------------------------------------------------------- 14 | Totals 125 24.97 Inch (634.28 mm) 15 | 16 | Total Processing Time (hh:mm:ss) : 00:00:00 17 | -------------------------------------------------------------------------------- /Rev_A/Gerber/NC Drill/PCB1.LDP: -------------------------------------------------------------------------------- 1 | Layer Pairs Export File for PCB: C:\ProgramData\Altium\CircuitMaker {0C27520B-6165-4CC0-A894-E2CEC9A420C8}\Projects\9F2A2FF7-EBF2-4E61-8DD4-3DEAB783A5D4\68ee2d7f-62af-44a8-b5ff-68ac69bac697\PCB1.CMPcbDoc 2 | LayersSetName=Top_Bot_Thru_Holes|DrillFile=pcb1.txt|LayerPairs=gtl,gbl 3 | -------------------------------------------------------------------------------- /Rev_A/Gerber/NC Drill/PCB1.TXT: -------------------------------------------------------------------------------- 1 | M48 2 | ;Layer_Color=6321 3 | ;FILE_FORMAT=2:4 4 | INCH,LZ 5 | ;TYPE=PLATED 6 | T1F00S00C0.0150 7 | T2F00S00C0.0354 8 | T3F00S00C0.0630 9 | % 10 | T01 11 | X02495Y01945 12 | X02385Y0226 13 | X0227Y0231 14 | X02225Y0228 15 | X0231Y0237 16 | X0235Y0255 17 | X0232Y02664 18 | X02245Y02755 19 | X021857Y027557 20 | X02205Y02855 21 | X02375Y02975 22 | X02405Y0309 23 | X025782Y030868 24 | X02626Y03094 25 | X026802Y029398 26 | X02529Y0293 27 | X02495Y0278 28 | X02645Y02605 29 | X02545Y0249 30 | X0218Y02578 31 | X02906Y02707 32 | X02905Y0294 33 | X029Y0203 34 | X02895Y0321 35 | X02775 36 | X0258Y03355 37 | X0237Y03285 38 | X02195Y0335 39 | X0221Y0339 40 | X02275Y0346 41 | X0216Y0351 42 | X02175Y0368 43 | X02335Y03805 44 | X02245Y0399 45 | Y0414 46 | Y0433 47 | X02155Y043 48 | X0243Y04285 49 | X02425Y04085 50 | X026105 51 | X0271Y0427 52 | Y04455 53 | Y04615 54 | X02245Y04505 55 | X02715Y0386 56 | X02825Y0382 57 | X0286Y03795 58 | X028944Y037686 59 | X02865Y0354 60 | X02685 61 | X02545Y04745 62 | T02 63 | X02345Y01885 64 | X02445 65 | X02545 66 | X02645 67 | X02795Y0188 68 | Y0198 69 | Y0208 70 | Y0218 71 | Y0228 72 | Y0238 73 | T03 74 | X02075Y0168 75 | Y0178 76 | Y0188 77 | Y0198 78 | Y0208 79 | Y0218 80 | Y0228 81 | Y0238 82 | Y0248 83 | Y0258 84 | Y0268 85 | Y0278 86 | Y0288 87 | Y0298 88 | Y0308 89 | X02975 90 | Y0298 91 | Y0288 92 | Y0278 93 | Y0268 94 | Y0258 95 | Y0248 96 | Y0238 97 | Y0228 98 | Y0218 99 | Y0208 100 | Y0198 101 | Y0188 102 | Y0178 103 | Y0168 104 | Y0318 105 | Y0328 106 | Y0338 107 | Y0348 108 | Y0358 109 | Y0368 110 | Y0378 111 | Y0388 112 | Y0398 113 | Y0408 114 | Y0418 115 | Y0428 116 | Y0438 117 | Y0448 118 | Y0458 119 | Y0468 120 | X02075 121 | Y0458 122 | Y0448 123 | Y0438 124 | Y0428 125 | Y0418 126 | Y0408 127 | Y0398 128 | Y0388 129 | Y0378 130 | Y0368 131 | Y0358 132 | Y0348 133 | Y0338 134 | Y0328 135 | Y0318 136 | Y0478 137 | X02975 138 | M30 139 | -------------------------------------------------------------------------------- /Rev_A/Images/pcb.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Rev_A/Images/pcb.png -------------------------------------------------------------------------------- /Rev_A/LICENSE.md: -------------------------------------------------------------------------------- 1 | CERN Open Hardware Licence v1.2 2 | 3 | Preamble 4 | 5 | Through this CERN Open Hardware Licence ("CERN OHL") version 1.2, CERN 6 | wishes to provide a tool to foster collaboration and sharing among 7 | hardware designers. The CERN OHL is copyright CERN. Anyone is welcome 8 | to use the CERN OHL, in unmodified form only, for the distribution of 9 | their own Open Hardware designs. Any other right is reserved. Release 10 | of hardware designs under the CERN OHL does not constitute an 11 | endorsement of the licensor or its designs nor does it imply any 12 | involvement by CERN in the development of such designs. 13 | 14 | 1. Definitions 15 | 16 | In this Licence, the following terms have the following meanings: 17 | 18 | “Licence” means this CERN OHL. 19 | 20 | “Documentation” means schematic diagrams, designs, circuit or circuit 21 | board layouts, mechanical drawings, flow charts and descriptive text, 22 | and other explanatory material that is explicitly stated as being made 23 | available under the conditions of this Licence. The Documentation may 24 | be in any medium, including but not limited to computer files and 25 | representations on paper, film, or any other media. 26 | 27 | “Documentation Location” means a location where the Licensor has 28 | placed Documentation, and which he believes will be publicly 29 | accessible for at least three years from the first communication to 30 | the public or distribution of Documentation. 31 | 32 | “Product” means either an entire, or any part of a, device built using 33 | the Documentation or the modified Documentation. 34 | 35 | “Licensee” means any natural or legal person exercising rights under 36 | this Licence. 37 | 38 | “Licensor” means any natural or legal person that creates or modifies 39 | Documentation and subsequently communicates to the public and/ or 40 | distributes the resulting Documentation under the terms and conditions 41 | of this Licence. 42 | 43 | A Licensee may at the same time be a Licensor, and vice versa. 44 | 45 | Use of the masculine gender includes the feminine and neuter genders 46 | and is employed solely to facilitate reading. 47 | 48 | 2. Applicability 49 | 50 | 2.1. This Licence governs the use, copying, modification, 51 | communication to the public and distribution of the Documentation, and 52 | the manufacture and distribution of Products. By exercising any right 53 | granted under this Licence, the Licensee irrevocably accepts these 54 | terms and conditions. 55 | 56 | 2.2. This Licence is granted by the Licensor directly to the Licensee, 57 | and shall apply worldwide and without limitation in time. The Licensee 58 | may assign his licence rights or grant sub-licences. 59 | 60 | 2.3. This Licence does not extend to software, firmware, or code 61 | loaded into programmable devices which may be used in conjunction with 62 | the Documentation, the modified Documentation or with Products, unless 63 | such software, firmware, or code is explicitly expressed to be subject 64 | to this Licence. The use of such software, firmware, or code is 65 | otherwise subject to the applicable licence terms and conditions. 66 | 67 | 3. Copying, modification, communication to the public and distribution 68 | of the Documentation 69 | 70 | 3.1. The Licensee shall keep intact all copyright and trademarks 71 | notices, all notices referring to Documentation Location, and all 72 | notices that refer to this Licence and to the disclaimer of warranties 73 | that are included in the Documentation. He shall include a copy 74 | thereof in every copy of the Documentation or, as the case may be, 75 | modified Documentation, that he communicates to the public or 76 | distributes. 77 | 78 | 3.2. The Licensee may copy, communicate to the public and distribute 79 | verbatim copies of the Documentation, in any medium, subject to the 80 | requirements specified in section 3.1. 81 | 82 | 3.3. The Licensee may modify the Documentation or any portion thereof 83 | provided that upon modification of the Documentation, the Licensee 84 | shall make the modified Documentation available from a Documentation 85 | Location such that it can be easily located by an original Licensor 86 | once the Licensee communicates to the public or distributes the 87 | modified Documentation under section 3.4, and, where required by 88 | section 4.1, by a recipient of a Product. However, the Licensor shall 89 | not assert his rights under the foregoing proviso unless or until a 90 | Product is distributed. 91 | 92 | 3.4. The Licensee may communicate to the public and distribute the 93 | modified Documentation (thereby in addition to being a Licensee also 94 | becoming a Licensor), always provided that he shall: 95 | 96 | a) comply with section 3.1; 97 | 98 | b) cause the modified Documentation to carry prominent notices stating 99 | that the Licensee has modified the Documentation, with the date and 100 | description of the modifications; 101 | 102 | c) cause the modified Documentation to carry a new Documentation 103 | Location notice if the original Documentation provided for one; 104 | 105 | d) make available the modified Documentation at the same level of 106 | abstraction as that of the Documentation, in the preferred format for 107 | making modifications to it (e.g. the native format of the CAD tool as 108 | applicable), and in the event that format is proprietary, in a format 109 | viewable with a tool licensed under an OSI-approved license if the 110 | proprietary tool can create it; and 111 | 112 | e) license the modified Documentation under the terms and conditions 113 | of this Licence or, where applicable, a later version of this Licence 114 | as may be issued by CERN. 115 | 116 | 3.5. The Licence includes a non-exclusive licence to those patents or 117 | registered designs that are held by, under the control of, or 118 | sub-licensable by the Licensor, to the extent necessary to make use of 119 | the rights granted under this Licence. The scope of this section 3.5 120 | shall be strictly limited to the parts of the Documentation or 121 | modified Documentation created by the Licensor. 122 | 123 | 4. Manufacture and distribution of Products 124 | 125 | 4.1. The Licensee may manufacture or distribute Products always 126 | provided that, where such manufacture or distribution requires a 127 | licence under this Licence the Licensee provides to each recipient of 128 | such Products an easy means of accessing a copy of the Documentation 129 | or modified Documentation, as applicable, as set out in section 3. 130 | 131 | 4.2. The Licensee is invited to inform any Licensor who has indicated 132 | his wish to receive this information about the type, quantity and 133 | dates of production of Products the Licensee has (had) manufactured 134 | 135 | 5. Warranty and liability 136 | 137 | 5.1. DISCLAIMER – The Documentation and any modified Documentation are 138 | provided "as is" and any express or implied warranties, including, but 139 | not limited to, implied warranties of merchantability, of satisfactory 140 | quality, non-infringement of third party rights, and fitness for a 141 | particular purpose or use are disclaimed in respect of the 142 | Documentation, the modified Documentation or any Product. The Licensor 143 | makes no representation that the Documentation, modified 144 | Documentation, or any Product, does or will not infringe any patent, 145 | copyright, trade secret or other proprietary right. The entire risk as 146 | to the use, quality, and performance of a Product shall be with the 147 | Licensee and not the Licensor. This disclaimer of warranty is an 148 | essential part of this Licence and a condition for the grant of any 149 | rights granted under this Licence. The Licensee warrants that it does 150 | not act in a consumer capacity. 151 | 152 | 5.2. LIMITATION OF LIABILITY – The Licensor shall have no liability 153 | for direct, indirect, special, incidental, consequential, exemplary, 154 | punitive or other damages of any character including, without 155 | limitation, procurement of substitute goods or services, loss of use, 156 | data or profits, or business interruption, however caused and on any 157 | theory of contract, warranty, tort (including negligence), product 158 | liability or otherwise, arising in any way in relation to the 159 | Documentation, modified Documentation and/or the use, manufacture or 160 | distribution of a Product, even if advised of the possibility of such 161 | damages, and the Licensee shall hold the Licensor(s) free and harmless 162 | from any liability, costs, damages, fees and expenses, including 163 | claims by third parties, in relation to such use. 164 | 165 | 6. General 166 | 167 | 6.1. Except for the rights explicitly granted hereunder, this Licence 168 | does not imply or represent any transfer or assignment of intellectual 169 | property rights to the Licensee. 170 | 171 | 6.2. The Licensee shall not use or make reference to any of the names 172 | (including acronyms and abbreviations), images, or logos under which 173 | the Licensor is known, save in so far as required to comply with 174 | section 3. Any such permitted use or reference shall be factual and 175 | shall in no event suggest any kind of endorsement by the Licensor or 176 | its personnel of the modified Documentation or any Product, or any 177 | kind of implication by the Licensor or its personnel in the 178 | preparation of the modified Documentation or Product. 179 | 180 | 6.3. CERN may publish updated versions of this Licence which retain 181 | the same general provisions as this version, but differ in detail so 182 | far this is required and reasonable. New versions will be published 183 | with a unique version number. 184 | 185 | 6.4. This Licence shall terminate with immediate effect, upon written 186 | notice and without involvement of a court if the Licensee fails to 187 | comply with any of its terms and conditions, or if the Licensee 188 | initiates legal action against Licensor in relation to this 189 | Licence. Section 5 shall continue to apply. -------------------------------------------------------------------------------- /Rev_A/README.md: -------------------------------------------------------------------------------- 1 | # GottaGoFastRAM 8MB Autoconfig Fast RAM 2 | 3 | This is an 8MB Autoconfig Fast RAM for Amiga 500/1000/2000/CDTV based on mkl's mem68k 4 | It's small size allows it to be stacked on top of an ide68k board 5 | Rather than use the original mem68k Abel code I have written a new memory controller in Verilog 6 | 7 | Unfortunately the memory modules are hard to find, I was able to get some by scouring eBay for some 16/32MB simms and looking for ones pictured with the right ram modules - If you see a 16/32MB simm with 2/4 50-pin TSSOP modules then they're probably the right ones 8 | 9 | ![PCB](Images/pcb.png?raw=True) 10 | 11 | ## PCB Ordering 12 | I recommend ordering the PCB with a 0.6 or 0.8mm thickness if you intend on stacking with an ide68k or mouting using a DIP socket/machined pin strips. 13 | If you are going to mount using the socket pins and not stacking with an ide68k I'd recommend going with the usual 1.6mm to reduce flexing. 14 | 15 | ## Mounting options 16 | 17 | 1. Can be soldered to the bottom of a machined pin socket/machined pin strips (will require some cutting of the dip socket to fit the caps/ferrites near the plastic) 18 | 2. Can be soldered to socket pins and stacked with an ide68k 19 | 20 | ## Cfgin/out 21 | These pins allow you to insert the memory module into your autoconfig chain. 22 | If there are no other autoconfig devices in your system you can just leave these unconnected otherwise you will need to either connect CFGOut to the CFGin of the first device in your existing autoconfig chain, or connect CFGIn to the CFGOut pin of the last device in your existing autoconfig chain. 23 | You can also connect cfgin and cfgout with a jumper to disable the device temporarily 24 | 25 | ## Jumpers 26 | J1: Cfgin pull up/down (You will probably want to leave this at default unless you know what you're doing) 27 | J2-3: Bridge these if you have not fitted the buffer U4 28 | 29 | ## CDTV 30 | The CDTV's DMAC takes up the first autoconfig slot, so if you intend to use this with a CDTV use the CDTV specific .JED file to program the CPLD 31 | 32 | ## Timing Diagrams 33 | ![Read Cycle](Images/read.svg?raw=True) 34 | ![Write Cycle](Images/write.svg?raw=True) 35 | 36 | ### Bill of materials 37 | |Component|Location|QTY|Link|Remarks| 38 | |---------|--------|---|------|--------| 39 | |4Mx16 5V DRAM - KM416C4100|U3|1|-|Look for 16MB SIMMs on eBay which show only 2 tssop package RAMs| 40 | |XC9572XL-10VQ64C|U1|1|[Mouser](https://www.mouser.se/ProductDetail/217-C9572XL-10VQG64C)|| 41 | |74LVC2G17|U4|1|[Mouser](https://www.mouser.com/ProductDetail/771-74LVC2G17GVQ100H)|| 42 | |AP2210N-3.3|U5|1|[Mouser](https://www.mouser.com/ProductDetail/621-AP2210N-3.3TRG1)|| 43 | |Ferrite Bead, 1206 package|FB1, FB2|2|[Mouser](https://www.mouser.com/ProductDetail/875-MI1206K601R-10)|| 44 | |0.1uF Ceramic Capacitor, 0603|C1,4-11|9|[Mouser](https://www.mouser.com/ProductDetail/80-C603C104K5RAC3121)|| 45 | |4.7uF Ceramic Capacitor, 1206|C2,C12|2|[Mouser](https://www.mouser.com/ProductDetail/791-1206X475K160CT)|| 46 | |10uF Ceramic Capacitor, 1206|C3|1|[Mouser](https://www.mouser.com/ProductDetail/80-C1206C106K4R)|| 47 | |Socket pins|Pins|64|[Aliexpress](https://www.aliexpress.com/item/32791545218.html?spm=a2g0o.productlist.0.0.6e223b55CVrXSq&algo_pvid=8fda9f5a-6e07-4dc8-9ed4-bd809bf75756&algo_expid=8fda9f5a-6e07-4dc8-9ed4-bd809bf75756-0&btsid=2100bde316043149660504011ef819&ws_ab_test=searchweb0_0,searchweb201602_,searchweb201603_)|Use these if stacking with an ide68k module| 48 | |64-Pin DIP socket - machined pin|Pins|1|[Mouser](https://www.mouser.com/ProductDetail/575-193964)|If not stacking with ide68k - pcb can be soldered to bottom of this flush with the plastic| 49 | -------------------------------------------------------------------------------- /Rev_A/schematic.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Rev_A/schematic.pdf -------------------------------------------------------------------------------- /Schematic.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/LIV2/GottaGoFastRAM/39123aa8ed98395a58ded500990567033de5f7f3/Schematic.pdf --------------------------------------------------------------------------------