├── .gitignore ├── .gitmodules ├── LICENSE ├── Makefile ├── README.md ├── bd └── opfb_streaming.tcl ├── bit ├── opfb_streaming.bit └── opfb_streaming.hwh ├── filter ├── 4_tap_equiripple │ ├── 4_tap_equirip_channelizer_resp.png │ ├── 4_tap_equirip_image_rej.png │ ├── 4_tap_equirip_time_domain.png │ ├── lane0.coe │ ├── lane1.coe │ ├── lane10.coe │ ├── lane11.coe │ ├── lane12.coe │ ├── lane13.coe │ ├── lane14.coe │ ├── lane15.coe │ ├── lane2.coe │ ├── lane3.coe │ ├── lane4.coe │ ├── lane5.coe │ ├── lane6.coe │ ├── lane7.coe │ ├── lane8.coe │ └── lane9.coe └── 8_tap_equiripple │ ├── 8_tap_equirip_channelizer_resp.png │ ├── 8_tap_equirip_image_rej.png │ ├── 8_tap_equirip_time_domain.png │ ├── lane0.coe │ ├── lane1.coe │ ├── lane10.coe │ ├── lane11.coe │ ├── lane12.coe │ ├── lane13.coe │ ├── lane14.coe │ ├── lane15.coe │ ├── lane2.coe │ ├── lane3.coe │ ├── lane4.coe │ ├── lane5.coe │ ├── lane6.coe │ ├── lane7.coe │ ├── lane8.coe │ └── lane9.coe ├── learning ├── OPFB_Exploration.ipynb └── Polyphase_Explanantion.pdf ├── py ├── images │ ├── banner.png │ └── direct_loopback.png └── opfb_demo.ipynb └── vivado ├── build_bitstream.tcl ├── constraints ├── bitstream.xdc ├── clock_net.xdc ├── debug_hub.xdc ├── false_paths.xdc ├── mts.xdc ├── pl_ddr4.xdc ├── placement.xdc ├── rgb_leds.xdc └── synthesis.xdc └── write_prj.tcl /.gitignore: -------------------------------------------------------------------------------- 1 | opfb_streaming_prj/ 2 | board_files/ 3 | *. 4 | *.log 5 | *.jou 6 | *.str 7 | -------------------------------------------------------------------------------- /.gitmodules: -------------------------------------------------------------------------------- 1 | [submodule "ip/adc-to-opfb"] 2 | path = ip/adc-to-opfb 3 | url = https://github.com/MazinLab/adc-to-opfb 4 | [submodule "ip/opfb-fir-to-fft"] 5 | path = ip/opfb-fir-to-fft 6 | url = https://github.com/MazinLab/opfb-fir-to-fft 7 | [submodule "ip/pkg-fft-output"] 8 | path = ip/pkg-fft-output 9 | url = https://github.com/MazinLab/pkg-fft-output.git 10 | [submodule "ip/opfb-fir-cfg"] 11 | path = ip/opfb-fir-cfg 12 | url = https://github.com/MazinLab/opfb-fir-cfg 13 | [submodule "ip/ssrfft_16x4096"] 14 | path = ip/ssrfft_16x4096 15 | url = https://github.com/MazinLab/ssrfft_16x4096 16 | [submodule "dac-replay"] 17 | path = dac-replay 18 | url = https://github.com/MazinLab/dac-replay.git 19 | [submodule "iq-capture"] 20 | path = iq-capture 21 | url = https://github.com/MazinLab/iq-capture.git 22 | [submodule "ip/wb2axip"] 23 | path = ip/wb2axip 24 | url = https://github.com/MazinLab/wb2axip.git 25 | [submodule "ip/iq-capture"] 26 | path = ip/iq-capture 27 | url = https://github.com/MazinLab/iq-capture.git 28 | [submodule "ip/dac-replay"] 29 | path = ip/dac-replay 30 | url = https://github.com/MazinLab/dac-replay.git 31 | [submodule "ip/opfb-bin-to-res"] 32 | path = ip/opfb-bin-to-res 33 | url = https://github.com/MazinLab/opfb-bin-to-res.git 34 | [submodule "ip/capture-upsizer"] 35 | path = ip/capture-upsizer 36 | url = https://github.com/MazinLab/capture-upsizer.git 37 | -------------------------------------------------------------------------------- /Makefile: -------------------------------------------------------------------------------- 1 | # Makefile 2 | 3 | vivado_dir := vivado 4 | 5 | all: board_files vivado_prj bitstream 6 | 7 | board_files: 8 | wget "https://www.realdigital.org/downloads/9d2af32116d5420d25da904f6a06bb1f.zip" --no-check-certificate -O bf.zip && \ 9 | unzip bf.zip -d board_files && rm bf.zip 10 | 11 | vivado_prj: 12 | cd $(vivado_dir); vivado -mode batch -nojournal -nolog -source write_prj.tcl 13 | 14 | bitstream: 15 | cd $(vivado_dir); vivado -mode batch -source build_bitstream.tcl -notrace -tclargs opfb_streaming 16 | -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | # 4096 Channel 2/1 Oversampled 4 GHz Polyphase Filterbank on the Xilinx RFSoC 2 | 3 | [Introduction](https://github.com/MazinLab/RFSoC_OPFB#introduction) 4 | 5 | [Project Structure](https://github.com/MazinLab/RFSoC_OPFB#project-structure) 6 | 7 | [Install and Requirements](https://github.com/MazinLab/RFSoC_OPFB#install-and-requirements) 8 | 9 | [Running the Project](https://github.com/MazinLab/RFSoC_OPFB#running-the-project) 10 | 11 | [Downloading the Project Source](https://github.com/MazinLab/RFSoC_OPFB#downloading-the-project-source) 12 | 13 | [Building the Project](https://github.com/MazinLab/RFSoC_OPFB#building-the-project) 14 | 15 | ## Introduction 16 | This project creates a polyphase channelizer capable of migrating 4 GHz of incoming RF bandwidth to 4096, 1 MHz channels with 2/1 oversampling. The design involves multiple blocks made using Vitis HLS (2020.1+) and one block exported from System Generator (2019.2+). The remaining blocks can be found in the Xilinx blockset in Vivado Design Suite (2021.2+). 17 | 18 | ### Historical Context 19 | The first versions of this project were made to run on the Xilinx ZCU111. The OPFB block was first verified using synthetic data fed through the core using a DMA engine transferring individual packets of data. That project is tagged as [512 MHz OPFB Initial Release (ZCU111)](https://github.com/MazinLab/RFSoC_OPFB/releases/tag/v1.0) and is associated with [this paper](https://ieeexplore.ieee.org/document/9336352). The original ZCU111 project was updated to demonstrate the OPFB operating in streaming mode and uses the integrated RF Data converter to generate and sample the data in hardware loopback. This ZCU111 Project is tagged as [512 MHz Streaming OPFB (ZCU111)](https://github.com/MazinLab/RFSoC_OPFB/releases/tag/v2.0) and is also preserved on the `zcu111_legacy` [branch](https://github.com/MazinLab/RFSoC_OPFB/tree/zcu111_legacy). Refer to the legacy branch for instructions specific to the earlier ZCU111-based projects. 20 | 21 | The current verison of the design is built to run on the [Xilinx RFSoC4x2](https://www.realdigital.org/hardware/rfsoc-4x2) in RF Data Converter loopback. Data is generated in a Jupyter Notebook hosted on the embedded CPU before being written to device URAM as a waveform look-up-table. The two DACs output the waveform which is then sampled by two RFSoC ADCs (all running at 4.096 GSPS). The data freely streams through the OPFB channelizer. At the user's request, the output channels are captured to the PL DDR4 and visualized in a Jupyter Notebook using the PYNQ framework. This design and much of the supporting software is part of a broader effort in Ben Mazin's group at UCSB to develop instruments featuing arrays of cryogenic detectors for astronomy imaging applications. Check out our [lab website](https://web.physics.ucsb.edu/~bmazin/) to learn more about the research group. To learn more about the FPGA-based readout project, check out our [latest publication on arXiv](https://arxiv.org/abs/2203.16520). The full project is continually under development but the current state is available on Github [here](https://github.com/MazinLab/MKIDGen3). 22 | 23 | 24 | ## What is an OPFB? 25 | If you're wondering what an OPFB is, how it works, or why you should use it, I suggest looking through the materials in the `learning` directory. [Polyphase\_Explanation.pdf](https://github.com/MazinLab/RFSoC_OPFB/blob/master/learning/Polyphase_Explanantion.pdf) is a summary note I made to document key takeaways and figures and includes an explanation of the differences between Polyphase Filter Banks (PFBs) and Oversampled Polyphase Filter Banks (OPFBs). This note is largly based off of work done by Fred Harris. [OPFB\_Exploration.ipynb](https://github.com/MazinLab/RFSoC_OPFB/blob/master/learning/OPFB_Exploration.ipynb) is an interactive Jupyter Notebook capable of arbitrary oversampling, channel-size, etc. and includes cells demonstrating how the filter is designed and characterized. For more information on how to efficiently implement an OPFB on an FPGA, please read [the paper](https://ieeexplore.ieee.org/document/9336352). 26 | 27 | ## Project Structure 28 | This project is built using Vivado Design Suite 2022.1 + Vitis HLS 2022.1 + System Generator 2019.2. 29 | 30 | The `bd` directory contains block design `.tcl` script which can be sourced from within Vivado to rebuild the top level overlay design from which the bit stream is generated. 31 | 32 | The `bit` directoy contains the `.bit` and `.hwh` files used to program the FPGA. 33 | 34 | The `filter` directory contains the `.coe` files used to program the Xilinx filters. 35 | 36 | The `ip` directory contains repositories for all the custom ip modules used in the firmware including the source files and exported IP. 37 | 38 | The `py` directory contains the Jupyter Notebook to run the project on the board. 39 | 40 | ## Install and Requirements 41 | 42 | ### Hardware 43 | You will need a RFSoC4x2 with a suitable image. It's possible to use the stock [PYNQ 3.0.1 image](https://www.pynq.io/boards.html); however, users will have to modify the memory reservation to make the DDR4 accessible to `pynq.allocate`. This can be done at run-time and there are a few examples of this such as the [Kria-PYNQ repo](https://github.com/Xilinx/Kria-PYNQ/tree/main) (see `/dts`), the [RFSoC-MTS repo](https://github.com/Xilinx/RFSoC-MTS/tree/main/boards/RFSoC4x2/dts), and a [thread discussion](https://discuss.pynq.io/t/how-to-allocate-pl-ddr4-on-rfsoc4x2-in-pynq-3-0-1/5586). For simplicity, we make our custom RFSoC4x2 image available [here](https://drive.google.com/file/d/13B8tchLYTMF_U6HLexjQW8cSzbOQCzSq/view?usp=sharing) and recommend downloading this image and flashing it to your SD card. See the [PYNQ Docs](https://www.rfsoc-pynq.io/rfsoc_4x2_getting_started.html) for more detailed setup information. 44 | 45 | ### Software 46 | The Jupyter Notebok relies on functions specified in the [MKIDGen3](https://github.com/MazinLab/MKIDGen3) repository. To install it on the board, first be sure the board is running PYNQ and is connected to the internet then run 47 | ``` 48 | cd ~ 49 | mkdir ~/src 50 | git clone https://github/com/mazinlab/mkidgen3.git ~/src/ 51 | cd ~/src/mkidgen3 52 | git checkout develop 53 | sudo pip3 install -e ~/src/mkidgen3 54 | ``` 55 | *Note this project was tested with [MKIDGen3](https://github.com/MazinLab/MKIDGen3) commit hash [b3e3f67](https://github.com/MazinLab/MKIDGen3/commit/b3e3f67afeb82438da0d62bd15058b9d50bc59fa).* 56 | ### FPGA Files 57 | The last thing needed to run the project on the board are the pre-compiled FPGA Files. Move `bit/opfb_streaming.hwh`,`bit/opfb_streaming.bit`, and the Jupyter Notebook `py/opfb_demo.ipynb` to the same location on the board. 58 | 59 | ## Running the Project 60 | Navigate to the board's Jupyter Notebook server. Run the `opfb_demo.ipynb` notebook. 61 | 62 | ## Downloading the Project Source 63 | 64 | This project makes use of git submodules to track individual IP block repositories. To clone the repository and initialize and update the submodules including nested submodules run the command: 65 | ``` 66 | git clone --recurse-submodules https://github.com/MazinLab/RFSoC_OPFB.git 67 | ``` 68 | If you already cloned the repo you can accomplish the same thing by running: 69 | ``` 70 | git submodule update --init --recursive 71 | ``` 72 | You should see individual folders in `ip/` populated with their source files, build scripts, etc. For more information on git submodules check out [the docs](https://git-scm.com/book/en/v2/Git-Tools-Submodules). 73 | 74 | ## Building the Project 75 | 76 | The top-level `Makefile` will rebuild the project and run synthesis and implementation in Vivado batch mode. The script requires you to have Vivado Design Suite 2022.1 with the proper paths set. To be sure your Vivado paths are configred correcly, run 77 | ``` 78 | source /Vivado/2022.1/settings64.sh 79 | ``` 80 | Presuming the programs are installed and configured appropriatly, you can build the project with 81 | ``` 82 | cd / 83 | make 84 | ``` 85 | To just build the block design, first be sure you have the RFSoC4x2 board files 86 | ``` 87 | cd / 88 | make board_files 89 | ``` 90 | Then run 91 | ``` 92 | cd / 93 | make vivado_prj 94 | ``` 95 | If you want to build the bitstream from the command line after these steps, run 96 | ``` 97 | cd / 98 | make bitstream 99 | ``` 100 | 101 | ### *Note 08/23/24: Default branch had been moved from `master` to `main`. To fix your local repo, run the following:* 102 | 1. Checkout master branch 103 | ``` 104 | git checkout master 105 | ``` 106 | 2. Rename local master branch to main 107 | ``` 108 | git branch -m master main 109 | ``` 110 | 3. Set newly named local main to track remote main 111 | ``` 112 | git branch -u origin/main 113 | ``` 114 | 4. Pull latest main updates 115 | ``` 116 | git pull 117 | ``` 118 | -------------------------------------------------------------------------------- /bit/opfb_streaming.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/MazinLab/RFSoC_OPFB/8ab3d5b6136490ebdf3b24953889decdfc3f40ae/bit/opfb_streaming.bit -------------------------------------------------------------------------------- /filter/4_tap_equiripple/4_tap_equirip_channelizer_resp.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/MazinLab/RFSoC_OPFB/8ab3d5b6136490ebdf3b24953889decdfc3f40ae/filter/4_tap_equiripple/4_tap_equirip_channelizer_resp.png -------------------------------------------------------------------------------- /filter/4_tap_equiripple/4_tap_equirip_image_rej.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/MazinLab/RFSoC_OPFB/8ab3d5b6136490ebdf3b24953889decdfc3f40ae/filter/4_tap_equiripple/4_tap_equirip_image_rej.png -------------------------------------------------------------------------------- /filter/4_tap_equiripple/4_tap_equirip_time_domain.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/MazinLab/RFSoC_OPFB/8ab3d5b6136490ebdf3b24953889decdfc3f40ae/filter/4_tap_equiripple/4_tap_equirip_time_domain.png -------------------------------------------------------------------------------- /filter/4_tap_equiripple/lane0.coe: -------------------------------------------------------------------------------- 1 | radix=10; 2 | coefdata = 3 | -0.00001539103748569, 4 | 0.00045659983168604, 5 | -0.00002538775841289, 6 | -0.00000126040287294, 7 | -0.00001416680116021, 8 | 0.00045621607799930, 9 | -0.00002665367247544, 10 | -0.00000425139671462, 11 | -0.00001295261422267, 12 | 0.00045574636358674, 13 | -0.00002792343724653, 14 | -0.00000737490008995, 15 | -0.00001174993357897, 16 | 0.00045519055235815, 17 | -0.00002919714459704, 18 | -0.00001039129734702, 19 | -0.00001055993503334, 20 | 0.00045454866981600, 21 | -0.00003047459193933, 22 | -0.00001307859298517, 23 | -0.00000938341428592, 24 | 0.00045382093637312, 25 | -0.00003175521006751, 26 | -0.00001525143449800, 27 | -0.00000822074294115, 28 | 0.00045300777472093, 29 | -0.00003303804565174, 30 | -0.00001677609792427, 31 | -0.00000707188695009, 32 | 0.00045210978962865, 33 | -0.00003432180043907, 34 | -0.00001758008345181, 35 | -0.00000593648546277, 36 | 0.00045112772287271, 37 | -0.00003560492063190, 38 | -0.00001765555670339, 39 | -0.00000481397880494, 40 | 0.00045006238993552, 41 | -0.00003688572241777, 42 | -0.00001705652522715, 43 | -0.00000370376663411, 44 | 0.00044891460806045, 45 | -0.00003816253432854, 46 | -0.00001589028653458, 47 | -0.00000260537246199, 48 | 0.00044768512674181, 49 | -0.00003943383478625, 50 | -0.00001430425353194, 51 | -0.00000151858943575, 52 | 0.00044637457152168, 53 | -0.00004069836416442, 54 | -0.00001246969550395, 55 | -0.00000044358479312, 56 | 0.00044498341007673, 57 | -0.00004195519477275, 58 | -0.00001056418502086, 59 | 0.00000061905358699, 60 | 0.00044351194628296, 61 | -0.00004320374871093, 62 | -0.00000875459176357, 63 | 0.00000166833649506, 64 | 0.00044196034373621, 65 | -0.00004444376151907, 66 | -0.00000718231510655, 67 | 0.00000270295656432, 68 | 0.00044032867571855, 69 | -0.00004567519775940, 70 | -0.00000595212258518, 71 | 0.00000372142352040, 72 | 0.00043861699451764, 73 | -0.00004689813185430, 74 | -0.00000512550425305, 75 | 0.00000472223159556, 76 | 0.00043682540995423, 77 | -0.00004811261260739, 78 | -0.00000471891990533, 79 | 0.00000570403399808, 80 | 0.00043495416542632, 81 | -0.00004931853209908, 82 | -0.00000470677006645, 83 | 0.00000666579788929, 84 | 0.00043300369998521, 85 | -0.00005051551876521, 86 | -0.00000502842424203, 87 | 0.00000760691591665, 88 | 0.00043097468690231, 89 | -0.00005170287060471, 90 | -0.00000559824468926, 91 | 0.00000852725663981, 92 | 0.00042886804258695, 93 | -0.00005287953823300, 94 | -0.00000631729019952, 95 | 0.00000942714526251, 96 | 0.00042668490407205, 97 | -0.00005404415986893, 98 | -0.00000708529329661, 99 | 0.00001030727659949, 100 | 0.00042442657794696, 101 | -0.00005519514247886, 102 | -0.00000781157717755, 103 | 0.00001116857258098, 104 | 0.00042209446788504, 105 | -0.00005633077639241, 106 | -0.00000842379788444, 107 | 0.00001201200524293, 108 | 0.00041968999114495, 109 | -0.00005744936578254, 110 | -0.00000887372868774, 111 | 0.00001283841172406, 112 | 0.00041721449612693, 113 | -0.00005854935519040, 114 | -0.00000913970221818, 115 | 0.00001364832939612, 116 | 0.00041466919297708, 117 | -0.00005962943307870, 118 | -0.00000922574083038, 119 | 0.00001444187658940, 120 | 0.00041205510735870, 121 | -0.00006068859706339, 122 | -0.00000915778724857, 123 | 0.00001521869778378, 124 | 0.00040937306412451, 125 | -0.00006172617142082, 126 | -0.00000897775280183, 127 | 0.00001597798256630, 128 | 0.00040662370322812, 129 | -0.00006274177477372, 130 | -0.00000873629822177, 131 | 0.00001671855652453, 132 | 0.00040380752546409, 133 | -0.00006373524340860, 134 | -0.00000848533558113, 135 | 0.00001743903122683, 136 | 0.00040092496123011, 137 | -0.00006470652232734, 138 | -0.00000827118904090, 139 | 0.00001813799121962, 140 | 0.00039797645212243, 141 | -0.00006565554089104, 142 | -0.00000812919136686, 143 | 0.00001881418998240, 144 | 0.00039496253331042, 145 | -0.00006658209207623, 146 | -0.00000808024991336, 147 | 0.00001946672498691, 148 | 0.00039188390456820, 149 | -0.00006748573363071, 150 | -0.00000812962563361, 151 | 0.00002009516474534, 152 | 0.00038874147957872, 153 | -0.00006836572592848, 154 | -0.00000826787087510, 155 | 0.00002069960765580, 156 | 0.00038553640640567, 157 | -0.00006922101563305, 158 | -0.00000847360390466, 159 | 0.00002128066256892, 160 | 0.00038227005635789, 161 | -0.00007005026726745, 162 | -0.00000871759174711, 163 | 0.00002183935281257, 164 | 0.00037894398319589, 165 | -0.00007085193752856, 166 | -0.00000896748969773, 167 | 0.00002237695714564, 168 | 0.00037555985904210, 169 | -0.00007162438077226, 170 | -0.00000919255552926, 171 | 0.00002289481097276, 172 | 0.00037211939679034, 173 | -0.00007236596949474, 174 | -0.00000936771624218, 175 | 0.00002339409760538, 176 | 0.00036862427075366, 177 | -0.00007307521152152, 178 | -0.00000947650111980, 179 | 0.00002387566135863, 180 | 0.00036507604745258, 181 | -0.00007375084628640, 182 | -0.00000951254389208, 183 | 0.00002433987145230, 184 | 0.00036147613681047, 185 | -0.00007439190590876, 186 | -0.00000947957065245, 187 | 0.00002478655838811, 188 | 0.00035782577084067, 189 | -0.00007499773223372, 190 | -0.00000938999909578, 191 | 0.00002521503374678, 192 | 0.00035412601266948, 193 | -0.00007556794774048, 194 | -0.00000926245150976, 195 | 0.00002562419176785, 196 | 0.00035037779408530, 197 | -0.00007610238521992, 198 | -0.00000911860757479, 199 | 0.00002601267853460, 200 | 0.00034658197544681, 201 | -0.00007660098731339, 202 | -0.00000897988061378, 203 | 0.00002637910400011, 204 | 0.00034273941838304, 205 | -0.00007706369146246, 206 | -0.00000886438925737, 207 | 0.00002672226511021, 208 | 0.00033885105979393, 209 | -0.00007749031788560, 210 | -0.00000878462175224, 211 | 0.00002704134603024, 212 | 0.00033491797550023, 213 | -0.00007788047758195, 214 | -0.00000874606658201, 215 | 0.00002733606439102, 216 | 0.00033094142352146, 217 | -0.00007823351418030, 218 | -0.00000874693059820, 219 | 0.00002760674017946, 220 | 0.00032692286012787, 221 | -0.00007854848820773, 222 | -0.00000877890704371, 223 | 0.00002785427531631, 224 | 0.00032286392603282, 225 | -0.00007882420585653, 226 | -0.00000882881274127, 227 | 0.00002808004540921, 228 | 0.00031876640472057, 229 | -0.00007905928757431, 230 | -0.00000888080488828, 231 | 0.00002828571862597, 232 | 0.00031463215923121, 233 | -0.00007925226581219, 234 | -0.00000891882613879, 235 | 0.00002847302804548, 236 | 0.00031046305708724, 237 | -0.00007940169694063, 238 | -0.00000892891755958, 239 | 0.00002864353143319, 240 | 0.00030626089492737, 241 | -0.00007950627032069, 242 | -0.00000890108066556, 243 | 0.00002879839492697, 244 | 0.00030202733452064, 245 | -0.00007956489808711, 246 | -0.00000883045325895, 247 | 0.00002893823412882, 248 | 0.00029776386014715, 249 | -0.00007957677225164, 250 | -0.00000871767513225, 251 | 0.00002906303793428, 252 | 0.00029347176410178, 253 | -0.00007954138078827, 254 | -0.00000856844171834, 255 | 0.00002917218824722, 256 | 0.00028915216279514, 257 | -0.00007945848063615, 258 | -0.00000839235883836, 259 | 0.00002926457430888, 260 | 0.00028480604124019, 261 | -0.00007932803209284, 262 | -0.00000820130411128, 263 | 0.00002933878587783, 264 | 0.00028043431934053, 265 | -0.00007915010487916, 266 | -0.00000800755867331, 267 | 0.00002939335714279, 268 | 0.00027603793000489, 269 | -0.00007892477036495, 270 | -0.00000782199036270, 271 | 0.00002942702496010, 272 | 0.00027161789722471, 273 | -0.00007865199642907, 274 | -0.00000765254622507, 275 | 0.00002943896212428, 276 | 0.00026717540216252, 277 | -0.00007833156090407, 278 | -0.00000750325358819, 279 | 0.00002942894944649, 280 | 0.00026271182702978, 281 | -0.00007796299662459, 282 | -0.00000737384522065, 283 | 0.00002939745907760, 284 | 0.00025822876982889, 285 | -0.00007754557622131, 286 | -0.00000726002841144, 287 | 0.00002934563455371, 288 | 0.00025372802740029, 289 | -0.00007707833873014, 290 | -0.00000715432438057, 291 | 0.00002927516855726, 292 | 0.00024921154899372, 293 | -0.00007656015374823, 294 | -0.00000704732641078, 295 | 0.00002918809505373, 296 | 0.00024468136704754, 297 | -0.00007598981322236, 298 | -0.00000692917279116, 299 | 0.00002908652589404, 300 | 0.00024013951533266, 301 | -0.00007536613685191, 302 | -0.00000679101024103, 303 | 0.00002897237107031, 304 | 0.00023558794656748, 305 | -0.00007468807513481, 306 | -0.00000662623626390, 307 | 0.00002884708510280, 308 | 0.00023102846173454, 309 | -0.00007395479456027, 310 | -0.00000643135140579, 311 | 0.00002871147889956, 312 | 0.00022646266160450, 313 | -0.00007316573226734, 314 | -0.00000620631712841, 315 | 0.00002856562721726, 316 | 0.00022189192765236, 317 | -0.00007232061219900, 318 | -0.00000595439161208, 319 | 0.00002840888784496, 320 | 0.00021731743513404, 321 | -0.00007141942066189, 322 | -0.00000568149272185, 323 | 0.00002824003187399, 324 | 0.00021274019624461, 325 | -0.00007046234535451, 326 | -0.00000539520353906, 327 | 0.00002805746740795, 328 | 0.00020816112673588, 329 | -0.00006944968741840, 330 | -0.00000510358226280, 331 | 0.00002785952440048, 332 | 0.00020358112582145, 333 | -0.00006838176007294, 334 | -0.00000481395914609, 335 | 0.00002764475827242, 336 | 0.00019900115718196, 337 | -0.00006725878931976, 338 | -0.00000453189660306, 339 | 0.00002741222618468, 340 | 0.00019442231871237, 341 | -0.00006608083177118, 342 | -0.00000426045687494, 343 | 0.00002716169303684, 344 | 0.00018984589035662, 345 | -0.00006484772195186, 346 | -0.00000399987037835, 347 | 0.00002689373408558, 348 | 0.00018527335269914, 349 | -0.00006355905686880, 350 | -0.00000374763534751, 351 | 0.00002660971617311, 352 | 0.00018070637342612, 353 | -0.00006221421994522, 354 | -0.00000349901515572, 355 | 0.00002631165773234, 356 | 0.00017614676365991, 357 | -0.00006081244043826, 358 | -0.00000324784313964, 359 | 0.00002600198628458, 360 | 0.00017159641076450, 361 | -0.00005935287911604, 362 | -0.00000298750372608, 363 | 0.00002568322824235, 364 | 0.00016705719782012, 365 | -0.00005783472705981, 366 | -0.00000271193847781, 367 | 0.00002535767696259, 368 | 0.00016253092201937, 369 | -0.00005625730256365, 370 | -0.00000241652839740, 371 | 0.00002502708935422, 372 | 0.00015801922442968, 373 | -0.00005462013150006, 374 | -0.00000209872811931, 375 | 0.00002469245811097, 376 | 0.00015352354186288, 377 | -0.00005292299912882, 378 | -0.00000175836907009, 379 | 0.00002435389613955, 380 | 0.00014904508824547, 381 | -0.00005116596573805, 382 | -0.00000139760055927, 383 | 0.00002401065342206, 384 | 0.00014458486839833, 385 | -0.00004934934404141, 386 | -0.00000102049210408, 387 | 0.00002366126675117, 388 | 0.00014014372219109, 389 | -0.00004747364206646, 390 | -0.00000063236906954, 391 | 0.00002330382245135, 392 | 0.00013572239238608, 393 | -0.00004553948047596, 394 | -0.00000023899002027, 395 | 0.00002293629443976, 396 | 0.00013132160583911, 397 | -0.00004354749708051, 398 | 0.00000015430679009, 399 | 0.00002255690755953, 400 | 0.00012694215563888, 401 | -0.00004149825315880, 402 | 0.00000054336074265, 403 | 0.00002216447105772, 404 | 0.00012258497156678, 405 | -0.00003939215583195, 406 | 0.00000092574193482, 407 | 0.00002175863032860, 408 | 0.00011825116798253, 409 | -0.00003722940821061, 410 | 0.00000130102610021, 411 | 0.00002133999630132, 412 | 0.00011394206163276, 413 | -0.00003500999474455, 414 | 0.00000167076116636, 415 | 0.00002091012959560, 416 | 0.00010965915642968, 417 | -0.00003273370381447, 418 | 0.00000203814179595, 419 | 0.00002047137824482, 420 | 0.00010540409726682, 421 | -0.00003040018393839, 422 | 0.00000240745070497, 423 | 0.00002002659018172, 424 | 0.00010117859966559, 425 | -0.00002800902488062, 426 | 0.00000278335782176, 427 | 0.00001957874139345, 428 | 0.00009698436575910, 429 | -0.00002555985121609, 430 | 0.00000317018607051, 431 | 0.00001913053460414, 432 | 0.00009282299924840, 433 | -0.00002305241407633, 434 | 0.00000357125364231, 435 | 0.00001868402925925, 436 | 0.00008869593217993, 437 | -0.00002048666714987, 438 | 0.00000398838747710, 439 | 0.00001824036035900, 440 | 0.00008460437465074, 441 | -0.00001786281546603, 442 | 0.00000442167410707, 443 | 0.00001779959157932, 444 | 0.00008054929510707, 445 | -0.00001518132967198, 446 | 0.00000486947666592, 447 | 0.00001736072874583, 448 | 0.00007653143427798, 449 | -0.00001244292377732, 450 | 0.00000532870654170, 451 | 0.00001692189586133, 452 | 0.00007255135067856, 453 | -0.00000964849988330, 454 | 0.00000579530087818, 455 | 0.00001648065105407, 456 | 0.00006860949080201, 457 | -0.00000679906839659, 458 | 0.00000626482829846, 459 | 0.00001603439777963, 460 | 0.00006470627333159, 461 | -0.00000389565589657, 462 | 0.00000673312880483, 463 | 0.00001558083081119, 464 | 0.00006084217452305, 465 | -0.00000093921463393, 466 | 0.00000719689181511, 467 | 0.00001511834957021, 468 | 0.00005701780167616, 469 | 0.00000206945267822, 470 | 0.00000765408855706, 471 | 0.00001464637448571, 472 | 0.00005323394337425, 473 | 0.00000512974150144, 474 | 0.00000810419929348, 475 | 0.00001416551512358, 476 | 0.00004949158866430, 477 | 0.00000824126033423, 478 | 0.00000854820813366, 479 | 0.00001367756007668, 480 | 0.00004579191205415, 481 | 0.00001140380974060, 482 | 0.00000898837348410, 483 | 0.00001318528503699, 484 | 0.00004213622640645, 485 | 0.00001461732891445, 486 | 0.00000942781519682, 487 | 0.00001269210319448, 488 | 0.00003852591072127, 489 | 0.00001788181803866, 490 | 0.00000986998538404, 491 | 0.00001220160692944, 492 | 0.00003496232367131, 493 | 0.00002119724831093, 494 | 0.00001031810507075, 495 | 0.00001171706781707, 496 | 0.00003144671598999, 497 | 0.00002456347330619, 498 | 0.00001077465143091, 499 | 0.00001124097029761, 500 | 0.00002798015506470, 501 | 0.00002798015506470, 502 | 0.00001124097029761, 503 | 0.00001077465143091, 504 | 0.00002456347330619, 505 | 0.00003144671598999, 506 | 0.00001171706781707, 507 | 0.00001031810507075, 508 | 0.00002119724831093, 509 | 0.00003496232367131, 510 | 0.00001220160692944, 511 | 0.00000986998538404, 512 | 0.00001788181803866, 513 | 0.00003852591072127, 514 | 0.00001269210319448, 515 | 0.00000942781519682, 516 | 0.00001461732891445, 517 | 0.00004213622640645, 518 | 0.00001318528503699, 519 | 0.00000898837348410, 520 | 0.00001140380974060, 521 | 0.00004579191205415, 522 | 0.00001367756007668, 523 | 0.00000854820813366, 524 | 0.00000824126033423, 525 | 0.00004949158866430, 526 | 0.00001416551512358, 527 | 0.00000810419929348, 528 | 0.00000512974150144, 529 | 0.00005323394337425, 530 | 0.00001464637448571, 531 | 0.00000765408855706, 532 | 0.00000206945267822, 533 | 0.00005701780167616, 534 | 0.00001511834957021, 535 | 0.00000719689181511, 536 | -0.00000093921463393, 537 | 0.00006084217452305, 538 | 0.00001558083081119, 539 | 0.00000673312880483, 540 | -0.00000389565589657, 541 | 0.00006470627333159, 542 | 0.00001603439777963, 543 | 0.00000626482829846, 544 | -0.00000679906839659, 545 | 0.00006860949080201, 546 | 0.00001648065105407, 547 | 0.00000579530087818, 548 | -0.00000964849988330, 549 | 0.00007255135067856, 550 | 0.00001692189586133, 551 | 0.00000532870654170, 552 | -0.00001244292377732, 553 | 0.00007653143427798, 554 | 0.00001736072874583, 555 | 0.00000486947666592, 556 | -0.00001518132967198, 557 | 0.00008054929510707, 558 | 0.00001779959157932, 559 | 0.00000442167410707, 560 | -0.00001786281546603, 561 | 0.00008460437465074, 562 | 0.00001824036035900, 563 | 0.00000398838747710, 564 | -0.00002048666714987, 565 | 0.00008869593217993, 566 | 0.00001868402925925, 567 | 0.00000357125364231, 568 | -0.00002305241407633, 569 | 0.00009282299924840, 570 | 0.00001913053460414, 571 | 0.00000317018607051, 572 | -0.00002555985121609, 573 | 0.00009698436575910, 574 | 0.00001957874139345, 575 | 0.00000278335782176, 576 | -0.00002800902488062, 577 | 0.00010117859966559, 578 | 0.00002002659018172, 579 | 0.00000240745070497, 580 | -0.00003040018393839, 581 | 0.00010540409726682, 582 | 0.00002047137824482, 583 | 0.00000203814179595, 584 | -0.00003273370381447, 585 | 0.00010965915642968, 586 | 0.00002091012959560, 587 | 0.00000167076116636, 588 | -0.00003500999474455, 589 | 0.00011394206163276, 590 | 0.00002133999630132, 591 | 0.00000130102610021, 592 | -0.00003722940821061, 593 | 0.00011825116798253, 594 | 0.00002175863032860, 595 | 0.00000092574193482, 596 | -0.00003939215583195, 597 | 0.00012258497156678, 598 | 0.00002216447105772, 599 | 0.00000054336074265, 600 | -0.00004149825315880, 601 | 0.00012694215563888, 602 | 0.00002255690755953, 603 | 0.00000015430679009, 604 | -0.00004354749708051, 605 | 0.00013132160583911, 606 | 0.00002293629443976, 607 | -0.00000023899002027, 608 | -0.00004553948047596, 609 | 0.00013572239238608, 610 | 0.00002330382245135, 611 | -0.00000063236906954, 612 | -0.00004747364206646, 613 | 0.00014014372219109, 614 | 0.00002366126675117, 615 | -0.00000102049210408, 616 | -0.00004934934404141, 617 | 0.00014458486839833, 618 | 0.00002401065342206, 619 | -0.00000139760055927, 620 | -0.00005116596573805, 621 | 0.00014904508824547, 622 | 0.00002435389613955, 623 | -0.00000175836907009, 624 | -0.00005292299912882, 625 | 0.00015352354186288, 626 | 0.00002469245811097, 627 | -0.00000209872811931, 628 | -0.00005462013150006, 629 | 0.00015801922442968, 630 | 0.00002502708935422, 631 | -0.00000241652839740, 632 | -0.00005625730256365, 633 | 0.00016253092201937, 634 | 0.00002535767696259, 635 | -0.00000271193847781, 636 | -0.00005783472705981, 637 | 0.00016705719782012, 638 | 0.00002568322824235, 639 | -0.00000298750372608, 640 | -0.00005935287911604, 641 | 0.00017159641076450, 642 | 0.00002600198628458, 643 | -0.00000324784313964, 644 | -0.00006081244043826, 645 | 0.00017614676365991, 646 | 0.00002631165773234, 647 | -0.00000349901515572, 648 | -0.00006221421994522, 649 | 0.00018070637342612, 650 | 0.00002660971617311, 651 | -0.00000374763534751, 652 | -0.00006355905686880, 653 | 0.00018527335269914, 654 | 0.00002689373408558, 655 | -0.00000399987037835, 656 | -0.00006484772195186, 657 | 0.00018984589035662, 658 | 0.00002716169303684, 659 | -0.00000426045687494, 660 | -0.00006608083177118, 661 | 0.00019442231871237, 662 | 0.00002741222618468, 663 | -0.00000453189660306, 664 | -0.00006725878931976, 665 | 0.00019900115718196, 666 | 0.00002764475827242, 667 | -0.00000481395914609, 668 | -0.00006838176007294, 669 | 0.00020358112582145, 670 | 0.00002785952440048, 671 | -0.00000510358226280, 672 | -0.00006944968741840, 673 | 0.00020816112673588, 674 | 0.00002805746740795, 675 | -0.00000539520353906, 676 | -0.00007046234535451, 677 | 0.00021274019624461, 678 | 0.00002824003187399, 679 | -0.00000568149272185, 680 | -0.00007141942066189, 681 | 0.00021731743513404, 682 | 0.00002840888784496, 683 | -0.00000595439161208, 684 | -0.00007232061219900, 685 | 0.00022189192765236, 686 | 0.00002856562721726, 687 | -0.00000620631712841, 688 | -0.00007316573226734, 689 | 0.00022646266160450, 690 | 0.00002871147889956, 691 | -0.00000643135140579, 692 | -0.00007395479456027, 693 | 0.00023102846173454, 694 | 0.00002884708510280, 695 | -0.00000662623626390, 696 | -0.00007468807513481, 697 | 0.00023558794656748, 698 | 0.00002897237107031, 699 | -0.00000679101024103, 700 | -0.00007536613685191, 701 | 0.00024013951533266, 702 | 0.00002908652589404, 703 | -0.00000692917279116, 704 | -0.00007598981322236, 705 | 0.00024468136704754, 706 | 0.00002918809505373, 707 | -0.00000704732641078, 708 | -0.00007656015374823, 709 | 0.00024921154899372, 710 | 0.00002927516855726, 711 | -0.00000715432438057, 712 | -0.00007707833873014, 713 | 0.00025372802740029, 714 | 0.00002934563455371, 715 | -0.00000726002841144, 716 | -0.00007754557622131, 717 | 0.00025822876982889, 718 | 0.00002939745907760, 719 | -0.00000737384522065, 720 | -0.00007796299662459, 721 | 0.00026271182702978, 722 | 0.00002942894944649, 723 | -0.00000750325358819, 724 | -0.00007833156090407, 725 | 0.00026717540216252, 726 | 0.00002943896212428, 727 | -0.00000765254622507, 728 | -0.00007865199642907, 729 | 0.00027161789722471, 730 | 0.00002942702496010, 731 | -0.00000782199036270, 732 | -0.00007892477036495, 733 | 0.00027603793000489, 734 | 0.00002939335714279, 735 | -0.00000800755867331, 736 | -0.00007915010487916, 737 | 0.00028043431934053, 738 | 0.00002933878587783, 739 | -0.00000820130411128, 740 | -0.00007932803209284, 741 | 0.00028480604124019, 742 | 0.00002926457430888, 743 | -0.00000839235883836, 744 | -0.00007945848063615, 745 | 0.00028915216279514, 746 | 0.00002917218824722, 747 | -0.00000856844171834, 748 | -0.00007954138078827, 749 | 0.00029347176410178, 750 | 0.00002906303793428, 751 | -0.00000871767513225, 752 | -0.00007957677225164, 753 | 0.00029776386014715, 754 | 0.00002893823412882, 755 | -0.00000883045325895, 756 | -0.00007956489808711, 757 | 0.00030202733452064, 758 | 0.00002879839492697, 759 | -0.00000890108066556, 760 | -0.00007950627032069, 761 | 0.00030626089492737, 762 | 0.00002864353143319, 763 | -0.00000892891755958, 764 | -0.00007940169694063, 765 | 0.00031046305708724, 766 | 0.00002847302804548, 767 | -0.00000891882613879, 768 | -0.00007925226581219, 769 | 0.00031463215923121, 770 | 0.00002828571862597, 771 | -0.00000888080488828, 772 | -0.00007905928757431, 773 | 0.00031876640472057, 774 | 0.00002808004540921, 775 | -0.00000882881274127, 776 | -0.00007882420585653, 777 | 0.00032286392603282, 778 | 0.00002785427531631, 779 | -0.00000877890704371, 780 | -0.00007854848820773, 781 | 0.00032692286012787, 782 | 0.00002760674017946, 783 | -0.00000874693059820, 784 | -0.00007823351418030, 785 | 0.00033094142352146, 786 | 0.00002733606439102, 787 | -0.00000874606658201, 788 | -0.00007788047758195, 789 | 0.00033491797550023, 790 | 0.00002704134603024, 791 | -0.00000878462175224, 792 | -0.00007749031788560, 793 | 0.00033885105979393, 794 | 0.00002672226511021, 795 | -0.00000886438925737, 796 | -0.00007706369146246, 797 | 0.00034273941838304, 798 | 0.00002637910400011, 799 | -0.00000897988061378, 800 | -0.00007660098731339, 801 | 0.00034658197544681, 802 | 0.00002601267853460, 803 | -0.00000911860757479, 804 | -0.00007610238521992, 805 | 0.00035037779408530, 806 | 0.00002562419176785, 807 | -0.00000926245150976, 808 | -0.00007556794774048, 809 | 0.00035412601266948, 810 | 0.00002521503374678, 811 | -0.00000938999909578, 812 | -0.00007499773223372, 813 | 0.00035782577084067, 814 | 0.00002478655838811, 815 | -0.00000947957065245, 816 | -0.00007439190590876, 817 | 0.00036147613681047, 818 | 0.00002433987145230, 819 | -0.00000951254389208, 820 | -0.00007375084628640, 821 | 0.00036507604745258, 822 | 0.00002387566135863, 823 | -0.00000947650111980, 824 | -0.00007307521152152, 825 | 0.00036862427075366, 826 | 0.00002339409760538, 827 | -0.00000936771624218, 828 | -0.00007236596949474, 829 | 0.00037211939679034, 830 | 0.00002289481097276, 831 | -0.00000919255552926, 832 | -0.00007162438077226, 833 | 0.00037555985904210, 834 | 0.00002237695714564, 835 | -0.00000896748969773, 836 | -0.00007085193752856, 837 | 0.00037894398319589, 838 | 0.00002183935281257, 839 | -0.00000871759174711, 840 | -0.00007005026726745, 841 | 0.00038227005635789, 842 | 0.00002128066256892, 843 | -0.00000847360390466, 844 | -0.00006922101563305, 845 | 0.00038553640640567, 846 | 0.00002069960765580, 847 | -0.00000826787087510, 848 | -0.00006836572592848, 849 | 0.00038874147957872, 850 | 0.00002009516474534, 851 | -0.00000812962563361, 852 | -0.00006748573363071, 853 | 0.00039188390456820, 854 | 0.00001946672498691, 855 | -0.00000808024991336, 856 | -0.00006658209207623, 857 | 0.00039496253331042, 858 | 0.00001881418998240, 859 | -0.00000812919136686, 860 | -0.00006565554089104, 861 | 0.00039797645212243, 862 | 0.00001813799121962, 863 | -0.00000827118904090, 864 | -0.00006470652232734, 865 | 0.00040092496123011, 866 | 0.00001743903122683, 867 | -0.00000848533558113, 868 | -0.00006373524340860, 869 | 0.00040380752546409, 870 | 0.00001671855652453, 871 | -0.00000873629822177, 872 | -0.00006274177477372, 873 | 0.00040662370322812, 874 | 0.00001597798256630, 875 | -0.00000897775280183, 876 | -0.00006172617142082, 877 | 0.00040937306412451, 878 | 0.00001521869778378, 879 | -0.00000915778724857, 880 | -0.00006068859706339, 881 | 0.00041205510735870, 882 | 0.00001444187658940, 883 | -0.00000922574083038, 884 | -0.00005962943307870, 885 | 0.00041466919297708, 886 | 0.00001364832939612, 887 | -0.00000913970221818, 888 | -0.00005854935519040, 889 | 0.00041721449612693, 890 | 0.00001283841172406, 891 | -0.00000887372868774, 892 | -0.00005744936578254, 893 | 0.00041968999114495, 894 | 0.00001201200524293, 895 | -0.00000842379788444, 896 | -0.00005633077639241, 897 | 0.00042209446788504, 898 | 0.00001116857258098, 899 | -0.00000781157717755, 900 | -0.00005519514247886, 901 | 0.00042442657794696, 902 | 0.00001030727659949, 903 | -0.00000708529329661, 904 | -0.00005404415986893, 905 | 0.00042668490407205, 906 | 0.00000942714526251, 907 | -0.00000631729019952, 908 | -0.00005287953823300, 909 | 0.00042886804258695, 910 | 0.00000852725663981, 911 | -0.00000559824468926, 912 | -0.00005170287060471, 913 | 0.00043097468690231, 914 | 0.00000760691591665, 915 | -0.00000502842424203, 916 | -0.00005051551876521, 917 | 0.00043300369998521, 918 | 0.00000666579788929, 919 | -0.00000470677006645, 920 | -0.00004931853209908, 921 | 0.00043495416542632, 922 | 0.00000570403399808, 923 | -0.00000471891990533, 924 | -0.00004811261260739, 925 | 0.00043682540995423, 926 | 0.00000472223159556, 927 | -0.00000512550425305, 928 | -0.00004689813185430, 929 | 0.00043861699451764, 930 | 0.00000372142352040, 931 | -0.00000595212258518, 932 | -0.00004567519775940, 933 | 0.00044032867571855, 934 | 0.00000270295656432, 935 | -0.00000718231510655, 936 | -0.00004444376151907, 937 | 0.00044196034373621, 938 | 0.00000166833649506, 939 | -0.00000875459176357, 940 | -0.00004320374871093, 941 | 0.00044351194628296, 942 | 0.00000061905358699, 943 | -0.00001056418502086, 944 | -0.00004195519477275, 945 | 0.00044498341007673, 946 | -0.00000044358479312, 947 | -0.00001246969550395, 948 | -0.00004069836416442, 949 | 0.00044637457152168, 950 | -0.00000151858943575, 951 | -0.00001430425353194, 952 | -0.00003943383478625, 953 | 0.00044768512674181, 954 | -0.00000260537246199, 955 | -0.00001589028653459, 956 | -0.00003816253432854, 957 | 0.00044891460806045, 958 | -0.00000370376663411, 959 | -0.00001705652522715, 960 | -0.00003688572241777, 961 | 0.00045006238993552, 962 | -0.00000481397880494, 963 | -0.00001765555670339, 964 | -0.00003560492063190, 965 | 0.00045112772287271, 966 | -0.00000593648546277, 967 | -0.00001758008345181, 968 | -0.00003432180043907, 969 | 0.00045210978962865, 970 | -0.00000707188695009, 971 | -0.00001677609792427, 972 | -0.00003303804565174, 973 | 0.00045300777472093, 974 | -0.00000822074294115, 975 | -0.00001525143449800, 976 | -0.00003175521006751, 977 | 0.00045382093637312, 978 | -0.00000938341428592, 979 | -0.00001307859298517, 980 | -0.00003047459193933, 981 | 0.00045454866981600, 982 | -0.00001055993503334, 983 | -0.00001039129734702, 984 | -0.00002919714459704, 985 | 0.00045519055235815, 986 | -0.00001174993357897, 987 | -0.00000737490008995, 988 | -0.00002792343724653, 989 | 0.00045574636358674, 990 | -0.00001295261422267, 991 | -0.00000425139671462, 992 | -0.00002665367247544, 993 | 0.00045621607799930, 994 | -0.00001416680116021, 995 | -0.00000126040287294, 996 | -0.00002538775841289, 997 | 0.00045659983168604, 998 | -0.00001539103748569, 999 | 0.00000136209717429, 1000 | -0.00002412542512360, 1001 | 0.00045689786871273, 1002 | -0.00001662372357461, 1003 | 0.00000340515158860, 1004 | -0.00002286636794071, 1005 | 0.00045711047597494, 1006 | -0.00001786327347230, 1007 | 0.00000470225986143, 1008 | -0.00002161039612540, 1009 | 0.00045723791702920, 1010 | -0.00001910826546335, 1011 | 0.00000514685256649, 1012 | -0.00002035756417264, 1013 | 0.00045728037549223, 1014 | -0.00002035756417264, 1015 | 0.00000470225986143, 1016 | -0.00001910826546335, 1017 | 0.00045723791702920, 1018 | -0.00002161039612540, 1019 | 0.00000340515158860, 1020 | -0.00001786327347230, 1021 | 0.00045711047597494, 1022 | -0.00002286636794071, 1023 | 0.00000136209717429, 1024 | -0.00001662372357461, 1025 | 0.00045689786871273, 1026 | -0.00002412542512360; -------------------------------------------------------------------------------- /filter/4_tap_equiripple/lane1.coe: -------------------------------------------------------------------------------- 1 | radix=10; 2 | coefdata = 3 | -0.00001531425830573, 4 | 0.00045657836119952, 5 | -0.00002546676984820, 6 | -0.00000143891031569, 7 | -0.00001409060391130, 8 | 0.00045618924131118, 9 | -0.00002673291783416, 10 | -0.00000444524672287, 11 | -0.00001287709571738, 12 | 0.00045571414839312, 13 | -0.00002800292887199, 14 | -0.00000756899735199, 15 | -0.00001167517680520, 16 | 0.00045515295505565, 17 | -0.00002927687945476, 18 | -0.00001057103823107, 19 | -0.00001048600161570, 20 | 0.00045450569825556, 21 | -0.00003055454566418, 22 | -0.00001323114031463, 23 | -0.00000931034000242, 24 | 0.00045377261111910, 25 | -0.00003183533420322, 26 | -0.00001536678909088, 27 | -0.00000814853701915, 28 | 0.00045295412858984, 29 | -0.00003311826846524, 30 | -0.00001684786732297, 31 | -0.00000700053529721, 32 | 0.00045205086555026, 33 | -0.00003440203114800, 34 | -0.00001760588179828, 35 | -0.00000586595738906, 36 | 0.00045106357038057, 37 | -0.00003568505637051, 38 | -0.00001763700940257, 39 | -0.00000474423625293, 40 | 0.00044999306081274, 41 | -0.00003696565686098, 42 | -0.00001699889343316, 43 | -0.00000363477453686, 44 | 0.00044884015180655, 45 | -0.00003824216665577, 46 | -0.00001580176504235, 47 | -0.00000253710865833, 48 | 0.00044760558656416, 49 | -0.00003951307763049, 50 | -0.00001419502723778, 51 | -0.00000145105261818, 52 | 0.00044628998148484, 53 | -0.00004077714936030, 54 | -0.00001235086097555, 55 | -0.00000037679924373, 56 | 0.00044489379387407, 57 | -0.00004203347606307, 58 | -0.00001044665288628, 59 | 0.00000068503724337, 60 | 0.00044341731785257, 61 | -0.00004328150103949, 62 | -0.00000864808201619, 63 | 0.00000173344469331, 64 | 0.00044186070966362, 65 | -0.00004452097705736, 66 | -0.00000709454190937, 67 | 0.00000276709989717, 68 | 0.00044022403909487, 69 | -0.00004575187930594, 70 | -0.00000588823993423, 71 | 0.00000378450650107, 72 | 0.00043850735969480, 73 | -0.00004697428463555, 74 | -0.00000508785213376, 75 | 0.00000478416363200, 76 | 0.00043671078749440, 77 | -0.00004818823573905, 78 | -0.00000470707616607, 79 | 0.00000576473991993, 80 | 0.00043483457650314, 81 | -0.00004939361100440, 82 | -0.00000471788011012, 83 | 0.00000672522641329, 84 | 0.00043287917956627, 85 | -0.00005059001968869, 86 | -0.00000505775245110, 87 | 0.00000766504472771, 88 | 0.00043084528521691, 89 | -0.00005177673803088, 90 | -0.00000563987117765, 91 | 0.00000858409326281, 92 | 0.00042873382463324, 93 | -0.00005295269557284, 94 | -0.00000636486591112, 95 | 0.00000948272353047, 96 | 0.00042654594720762, 97 | -0.00005411651327920, 98 | -0.00000713276631552, 99 | 0.00001036164919340, 100 | 0.00042428296789218, 101 | -0.00005526658720798, 102 | -0.00000785381280710, 103 | 0.00001122180072314, 104 | 0.00042194629370708, 105 | -0.00005640120467132, 106 | -0.00000845703290378, 107 | 0.00001206414707582, 108 | 0.00041953733994543, 109 | -0.00005751867505607, 110 | -0.00000889582365128, 111 | 0.00001288951112979, 112 | 0.00041705744820447, 113 | -0.00005861745544554, 114 | -0.00000915018165116, 115 | 0.00001369840696966, 116 | 0.00041450781816971, 117 | -0.00005969625217558, 118 | -0.00000922563649093, 119 | 0.00001449092417497, 120 | 0.00041188946309960, 121 | -0.00006075408328784, 122 | -0.00000914932133240, 123 | 0.00001526667746002, 124 | 0.00040920319549310, 125 | -0.00006179029290499, 126 | -0.00000896391372171, 127 | 0.00001602483029971, 128 | 0.00040644964498494, 129 | -0.00006280451590809, 130 | -0.00000872036991442, 131 | 0.00001676419000018, 132 | 0.00040362930576541, 133 | -0.00006379659882214, 134 | -0.00000847044167994, 135 | 0.00001748336072026, 136 | 0.00040074260647092, 137 | -0.00006476648937429, 138 | -0.00000825990619829, 139 | 0.00001818093189165, 140 | 0.00039778999219133, 141 | -0.00006571411179911, 142 | -0.00000812327288761, 143 | 0.00001885567373699, 144 | 0.00039477200648145, 145 | -0.00006663924695085, 146 | -0.00000808048369984, 147 | 0.00001950671007044, 148 | 0.00039168936131189, 149 | -0.00006754143536893, 150 | -0.00000813583164714, 151 | 0.00002013364158314, 152 | 0.00038854298473834, 153 | -0.00006841991779724, 154 | -0.00000827902533435, 155 | 0.00002073659997399, 156 | 0.00038533403943087, 157 | -0.00006927362185651, 158 | -0.00000848806246391, 159 | 0.00002131622355546, 160 | 0.00038206390957873, 161 | -0.00007010119651011, 162 | -0.00000873337359616, 163 | 0.00002187355682644, 164 | 0.00037873415841274, 165 | -0.00007090108872422, 166 | -0.00000898257987391, 167 | 0.00002240988817192, 168 | 0.00037534646295618, 169 | -0.00007167165039953, 170 | -0.00000920518392278, 171 | 0.00002292654953839, 172 | 0.00037190253596933, 173 | -0.00007241125918979, 174 | -0.00000937657824128, 175 | 0.00002342470813322, 176 | 0.00036840404689054, 177 | -0.00007311843487828, 178 | -0.00000948089537587, 179 | 0.00002390518190293, 180 | 0.00036485255362522, 181 | -0.00007379193382790, 182 | -0.00000951241563343, 183 | 0.00002436830742579, 184 | 0.00036124945529175, 185 | -0.00007443080749951, 186 | -0.00000947546247933, 187 | 0.00002481388130727, 188 | 0.00035759597276896, 189 | -0.00007503441659671, 190 | -0.00000938292343847, 191 | 0.00002524118527081, 192 | 0.00035389315960315, 193 | -0.00007560239918324, 194 | -0.00000925370839662, 195 | 0.00002564909250186, 196 | 0.00035014194117680, 197 | -0.00007613459809529, 198 | -0.00000910957697346, 199 | 0.00002603624032933, 200 | 0.00034634317572461, 201 | -0.00007663095907844, 202 | -0.00000897181988946, 203 | 0.00002640124392408, 204 | 0.00034249772746256, 205 | -0.00007709141540326, 206 | -0.00000885826335721, 207 | 0.00002674291898245, 208 | 0.00033860654027456, 209 | -0.00007751577661640, 210 | -0.00000878098724882, 211 | 0.00002706047943252, 212 | 0.00033467070035686, 213 | -0.00007790363830032, 214 | -0.00000874502179920, 215 | 0.00002735367942737, 216 | 0.00033069147795547, 217 | -0.00007825432638540, 218 | -0.00000874813406430, 219 | 0.00002762287687066, 220 | 0.00032667034158160, 221 | -0.00007856688420964, 222 | -0.00000878165689706, 223 | 0.00002786900731778, 224 | 0.00032260894235616, 225 | -0.00007884010397968, 226 | -0.00000883217174101, 227 | 0.00002809347060332, 228 | 0.00031850907076498, 229 | -0.00007907259755054, 230 | -0.00000888375034819, 231 | 0.00002829794593333, 232 | 0.00031437259239096, 233 | -0.00007926289553204, 234 | -0.00000892040197353, 235 | 0.00002848416240213, 236 | 0.00031020137247321, 237 | -0.00007940955953255, 238 | -0.00000892836680726, 239 | 0.00002865365919647, 240 | 0.00030599720091886, 241 | -0.00007951129048662, 242 | -0.00000889794096695, 243 | 0.00002880757194822, 244 | 0.00030176172938661, 245 | -0.00007956701674423, 246 | -0.00000882460408475, 247 | 0.00002894647836441, 248 | 0.00029749643026749, 249 | -0.00007957594879391, 250 | -0.00000870933304863, 251 | 0.00002907032780697, 252 | 0.00029320258407657, 253 | -0.00007953759264718, 254 | -0.00000855810755434, 255 | 0.00002917846711274, 256 | 0.00028888129744119, 257 | -0.00007945172023148, 258 | -0.00000838072720322, 259 | 0.00002926976045595, 260 | 0.00028453354918446, 261 | -0.00007931830165832, 262 | -0.00000818915043976, 263 | 0.00002934278663264, 264 | 0.00028016025767297, 265 | -0.00007913740996599, 266 | -0.00000799562129316, 267 | 0.00002939608499459, 268 | 0.00027576235928682, 269 | -0.00007890911301997, 270 | -0.00000781086478806, 271 | 0.00002942841327568, 272 | 0.00027134088608895, 273 | -0.00007863336908616, 274 | -0.00000764260616565, 275 | 0.00002943897803578, 276 | 0.00026689703079770, 277 | -0.00007830994191138, 278 | -0.00000749460854157, 279 | 0.00002942760188296, 280 | 0.00026243218900540, 281 | -0.00007793834807625, 282 | -0.00000736633873842, 283 | 0.00002939480061396, 284 | 0.00025794797196538, 285 | -0.00007751784440832, 286 | -0.00000725327507889, 287 | 0.00002934175667105, 288 | 0.00025344618768242, 289 | -0.00007704745712711, 290 | -0.00000714777809749, 291 | 0.00002927019090814, 292 | 0.00024892879282146, 293 | -0.00007652604806932, 294 | -0.00000704036845188, 295 | 0.00002918215025503, 296 | 0.00024439782237076, 297 | -0.00007595240777096, 298 | -0.00000692120587539, 299 | 0.00002907974208453, 300 | 0.00023985530738740, 301 | -0.00007532536119836, 302 | -0.00000678154467819, 303 | 0.00002896485485691, 304 | 0.00023530319299400, 305 | -0.00007464387011421, 306 | -0.00000661495609283, 307 | 0.00002883890751312, 308 | 0.00023074326880291, 309 | -0.00007390711669284, 310 | -0.00000641815193016, 311 | 0.00002870266655167, 312 | 0.00022617712210816, 313 | -0.00007311455594892, 314 | -0.00000619130981355, 315 | 0.00002855616016469, 316 | 0.00022160612078034, 317 | -0.00007226592935108, 318 | -0.00000593787722506, 319 | 0.00002839870456404, 320 | 0.00021703142833432, 321 | -0.00007136123791731, 322 | -0.00000566390815452, 323 | 0.00002822904077724, 324 | 0.00021245404879086, 325 | -0.00007040067922660, 326 | -0.00000537705131106, 327 | 0.00002804556325625, 328 | 0.00020787489445219, 329 | -0.00006938455820293, 330 | -0.00000508535379325, 331 | 0.00002784660720274, 332 | 0.00020329486624427, 333 | -0.00006831318541819, 334 | -0.00000479606327454, 335 | 0.00002763075182983, 336 | 0.00019871493437201, 337 | -0.00006718677844297, 338 | -0.00000451460355163, 339 | 0.00002739709343001, 340 | 0.00019413620698195, 341 | -0.00006600538119447, 342 | -0.00000424386513609, 343 | 0.00002714544574849, 344 | 0.00018955997634226, 345 | -0.00006476881339413, 346 | -0.00000398390033968, 347 | 0.00002687643536400, 348 | 0.00018498773546071, 349 | -0.00006347665759898, 350 | -0.00000373204940016, 351 | 0.00002659147513933, 352 | 0.00018042116255357, 353 | -0.00006212828552741, 354 | -0.00000348346018135, 355 | 0.00002629261708646, 356 | 0.00017586207567247, 357 | -0.00006072291943755, 358 | -0.00000323190818195, 359 | 0.00002598230446831, 360 | 0.00017131236434796, 361 | -0.00005925971904016, 362 | -0.00000297078374237, 363 | 0.00002566305881407, 364 | 0.00016677390862598, 365 | -0.00005773788062975, 366 | -0.00000269409456206, 367 | 0.00002533714827824, 368 | 0.00016224849781818, 369 | -0.00005615673336301, 370 | -0.00000239733578412, 371 | 0.00002500628766999, 372 | 0.00015773776135875, 373 | -0.00005451581815405, 374 | -0.00000207810542553, 375 | 0.00002467141677109, 376 | 0.00015324312234321, 377 | -0.00005281493739221, 378 | -0.00000173638524872, 379 | 0.00002433259264198, 380 | 0.00014876578088957, 381 | -0.00005105416819304, 382 | -0.00000137445944686, 383 | 0.00002398901499058, 384 | 0.00014430672992742, 385 | -0.00004923383747036, 386 | -0.00000099649773706, 387 | 0.00002363918375520, 388 | 0.00013986680107293, 389 | -0.00004735446291670, 390 | -0.00000060787764021, 391 | 0.00002328116779821, 392 | 0.00013544673364076, 393 | -0.00004541666912030, 394 | -0.00000021435607569, 395 | 0.00002291294610601, 396 | 0.00013104725627932, 397 | -0.00004342109175490, 398 | 0.00000017878175143, 399 | 0.00002253277088004, 400 | 0.00012666916874133, 401 | -0.00004136828450192, 402 | 0.00000056746978691, 403 | 0.00002213949734785, 404 | 0.00012231341122433, 405 | -0.00003925864285402, 406 | 0.00000094939857068, 407 | 0.00002173282889319, 408 | 0.00011798111055362, 409 | -0.00003709235629675, 410 | 0.00000132427128546, 411 | 0.00002131343782564, 412 | 0.00011367359596171, 413 | -0.00003486939598517, 414 | 0.00000169375110969, 415 | 0.00002088294018353, 416 | 0.00010939238181916, 417 | -0.00003258953960028, 418 | 0.00000206111906270, 419 | 0.00002044372478384, 420 | 0.00010513911969566, 421 | -0.00003025242941406, 422 | 0.00000243070350625, 423 | 0.00001999865905930, 424 | 0.00010091552681391, 425 | -0.00002785765457094, 426 | 0.00000280717393399, 427 | 0.00001955071365890, 428 | 0.00009672330158676, 429 | -0.00002540484496421, 430 | 0.00000319480839596, 431 | 0.00001910256129300, 432 | 0.00009256403894439, 433 | -0.00002289376239052, 434 | 0.00000359684393220, 435 | 0.00001865621066769, 436 | 0.00008843915824728, 437 | -0.00002032437514956, 438 | 0.00000401500330641, 439 | 0.00001821273254938, 440 | 0.00008434985472238, 441 | -0.00001769690483392, 442 | 0.00000444926205698, 443 | 0.00001777212237582, 444 | 0.00008029708182681, 445 | -0.00001501183832449, 446 | 0.00000489788217333, 447 | 0.00001733332408591, 448 | 0.00007628156726820, 449 | -0.00001226990331215, 450 | 0.00000535769839539, 451 | 0.00001689441580569, 452 | 0.00007230386029841, 453 | -0.00000947201119984, 454 | 0.00000582460624209, 455 | 0.00001645293326028, 456 | 0.00006836440312611, 457 | -0.00000661917615908, 458 | 0.00000629417272142, 459 | 0.00001600628504234, 460 | 0.00006446361559092, 461 | -0.00000371242268216, 462 | 0.00000676227511915, 463 | 0.00001555219855669, 464 | 0.00006060198017616, 465 | -0.00000075269565108, 466 | 0.00000722567218892, 467 | 0.00001508912908662, 468 | 0.00005678011433172, 469 | 0.00000225921350619, 470 | 0.00000768242516327, 471 | 0.00001461656820627, 472 | 0.00005299881895759, 473 | 0.00000532271350342, 474 | 0.00000813211088890, 475 | 0.00001413520139349, 476 | 0.00004925909548598, 477 | 0.00000843742582241, 478 | 0.00000857580201493, 479 | 0.00001364688637132, 480 | 0.00004556212875706, 481 | 0.00001160316155879, 482 | 0.00000901582447073, 483 | 0.00001315445033748, 484 | 0.00004190923809174, 485 | 0.00001481986618629, 486 | 0.00000945533516411, 487 | 0.00001266133190589, 488 | 0.00003830180383316, 489 | 0.00001808754076859, 490 | 0.00000989778816124, 491 | 0.00001217111808989, 492 | 0.00003474118041334, 493 | 0.00002140615166043, 494 | 0.00001034637206091, 495 | 0.00001168704417565, 496 | 0.00003122860912148, 497 | 0.00002477554241238, 498 | 0.00001080350303969, 499 | 0.00001121153199317, 500 | 0.00002776514387297, 501 | 0.00002819536118110, 502 | 0.00001127044724426, 503 | 0.00001074583843944, 504 | 0.00002435160137589, 505 | 0.00003166501452499, 506 | 0.00001174712481275, 507 | 0.00001028987137206, 508 | 0.00002098854344156, 509 | 0.00003518365440919, 510 | 0.00001223211929904, 511 | 0.00000984220609382, 512 | 0.00001767629433639, 513 | 0.00003875020017866, 514 | 0.00001272288507911, 515 | 0.00000940030596482, 516 | 0.00001441499075344, 517 | 0.00004236339195412, 518 | 0.00001321611613434, 519 | 0.00000896091956154, 520 | 0.00001120465700274, 521 | 0.00004602186716887, 522 | 0.00001370821669554, 523 | 0.00000852059895431, 524 | 0.00000804529413820, 525 | 0.00004972424850797, 526 | 0.00001419580080910, 527 | 0.00000807626344123, 528 | 0.00000493696955297, 529 | 0.00005346922985275, 530 | 0.00001467614567058, 531 | 0.00000762572377361, 532 | 0.00000187989342611, 533 | 0.00005725564721402, 534 | 0.00001514753257501, 535 | 0.00000716808529222, 536 | -0.00000112552967451, 537 | 0.00006108252398932, 538 | 0.00001560942790671, 539 | 0.00000670396434119, 540 | -0.00000407868201703, 541 | 0.00006494908383602, 542 | 0.00001606248171503, 543 | 0.00000623547880098, 544 | -0.00000697874979555, 545 | 0.00006885472940561, 546 | 0.00001650834918765, 547 | 0.00000576600688088, 548 | -0.00000982477368819, 549 | 0.00007279899037919, 550 | 0.00001694936654710, 551 | 0.00000529974357643, 552 | -0.00001261572538652, 553 | 0.00007678144889201, 554 | 0.00001738813371045, 555 | 0.00000484111611801, 556 | -0.00001535059861326, 557 | 0.00008080165383311, 558 | 0.00001782706852005, 559 | 0.00000439414333983, 560 | -0.00001802850088452, 561 | 0.00008485903714646, 562 | 0.00001826799984742, 563 | 0.00000396183531472, 564 | -0.00002064873209074, 565 | 0.00008895284490156, 566 | 0.00001871185928514, 567 | 0.00000354572668736, 568 | -0.00002321083790650, 569 | 0.00009308209360794, 570 | 0.00001915851486725, 571 | 0.00000314561986147, 572 | -0.00002571462980938, 573 | 0.00009724555837638, 574 | 0.00001960676794205, 575 | 0.00000275958470359, 576 | -0.00002816016852900, 577 | 0.00010144179467352, 578 | 0.00002005450943817, 579 | 0.00000238422379387, 580 | -0.00003054771329829, 581 | 0.00010566919031713, 582 | 0.00002049900808206, 583 | 0.00000201517193453, 584 | -0.00003287764449769, 585 | 0.00010992603979029, 586 | 0.00002093728413618, 587 | 0.00000164776166695, 588 | -0.00003515037137280, 589 | 0.00011421062960525, 590 | 0.00002136651063848, 591 | 0.00000127775869454, 592 | -0.00003736623883857, 593 | 0.00011852132182295, 594 | 0.00002178438147576, 595 | 0.00000090205692381, 596 | -0.00003952544759221, 597 | 0.00012285662317217, 598 | 0.00002218939208783, 599 | 0.00000051922496894, 600 | -0.00004162799979978, 601 | 0.00012721522945231, 602 | 0.00002258099298740, 603 | 0.00000012981468157, 604 | -0.00004367367878340, 605 | 0.00013159603869867, 606 | 0.00002295959625671, 607 | -0.00000026362467701, 608 | -0.00004566206599072, 609 | 0.00013599813135650, 610 | 0.00002332643763231, 611 | -0.00000065684010814, 612 | -0.00004759259285287, 613 | 0.00014042072072228, 614 | 0.00002368331830960, 615 | -0.00000104444330361, 616 | -0.00004946461979305, 617 | 0.00014486308140772, 618 | 0.00002403226800313, 619 | -0.00000142067742960, 620 | -0.00005127753045178, 621 | 0.00014932446688156, 622 | 0.00002437518158922, 623 | -0.00000178027253259, 624 | -0.00005303082679369, 625 | 0.00015380402875228, 626 | 0.00002471348438213, 627 | -0.00000211926192634, 628 | -0.00005472421053134, 629 | 0.00015830075013299, 630 | 0.00002504787553414, 631 | -0.00000243563276228, 632 | -0.00005635763829354, 633 | 0.00016281340323423, 634 | 0.00002537818622654, 635 | -0.00000272970418764, 636 | -0.00005793134188834, 637 | 0.00016734053760159, 638 | 0.00002570337131182, 639 | -0.00000300416375612, 640 | -0.00005944581027988, 641 | 0.00017188050072482, 642 | 0.00002602163268317, 643 | -0.00000326374210101, 644 | -0.00006090173571884, 645 | 0.00017643148780834, 646 | 0.00002633065298188, 647 | -0.00000351456030864, 648 | -0.00006229993195386, 649 | 0.00018099161306049, 650 | 0.00002662790222543, 651 | -0.00000376323588677, 652 | -0.00006364123676852, 653 | 0.00018555899160245, 654 | 0.00002691096986102, 655 | -0.00000401587377816, 656 | -0.00006492641356766, 657 | 0.00019013181950702, 658 | 0.00002717787199360, 659 | -0.00000427709191271, 660 | -0.00006615606698809, 661 | 0.00019470843979082, 662 | 0.00002742728835458, 663 | -0.00000454923208716, 664 | -0.00006733058547805, 665 | 0.00019928738434632, 666 | 0.00002765869508267, 667 | -0.00000483188537956, 668 | -0.00006845011977061, 669 | 0.00020386738548022, 670 | 0.00002787237571710, 671 | -0.00000512181912454, 672 | -0.00006951460076678, 673 | 0.00020844735535987, 674 | 0.00002806931141292, 675 | -0.00000541333518188, 676 | -0.00007052379435896, 677 | 0.00021302633655291, 678 | 0.00002825096944811, 679 | -0.00000569902482877, 680 | -0.00007147738507312, 681 | 0.00021760343123760, 682 | 0.00002841902391582, 683 | -0.00000597082352332, 684 | -0.00007237507595126, 685 | 0.00022217771989639, 686 | 0.00002857505193416, 687 | -0.00000622121852120, 688 | -0.00007321668952340, 689 | 0.00022674818189630, 690 | 0.00002872025146345, 691 | -0.00000644443202039, 692 | -0.00007400225443556, 693 | 0.00023131363006958, 694 | 0.00002885522262270, 695 | -0.00000663739766904, 696 | -0.00007473206436729, 697 | 0.00023587266928504, 698 | 0.00002897984401560, 699 | -0.00000680037082492, 700 | -0.00007540669999132, 701 | 0.00024042368537088, 702 | 0.00002909326069138, 703 | -0.00000693706079991, 704 | -0.00007602701028741, 705 | 0.00024496486616608, 706 | 0.00002919398329538, 707 | -0.00000705424045609, 708 | -0.00007659405568545, 709 | 0.00024949425163608, 710 | 0.00002928008130732, 711 | -0.00000716086575622, 712 | -0.00007710902134532, 713 | 0.00025400980562196, 714 | 0.00002934943950499, 715 | -0.00000726681406908, 716 | -0.00007757311349245, 717 | 0.00025850949855906, 718 | 0.00002940003792908, 719 | -0.00000738141365893, 720 | -0.00007798745440611, 721 | 0.00026299138888655, 722 | 0.00002943021289055, 723 | -0.00000751197763818, 724 | -0.00007835299198003, 725 | 0.00026745369111194, 726 | 0.00002943886024246, 727 | -0.00000766256642228, 728 | -0.00007867043767509, 729 | 0.00027189482055071, 730 | 0.00002942555155809, 731 | -0.00000783318022100, 732 | -0.00007894024246270, 733 | 0.00027631340831382, 734 | 0.00002939054749283, 735 | -0.00000801952896499, 736 | -0.00007916261464195, 737 | 0.00028070828462085, 738 | 0.00002933470833626, 739 | -0.00000821344774426, 740 | -0.00007933757706339, 741 | 0.00028507843329035, 742 | 0.00002925931718636, 743 | -0.00000840393186127, 744 | -0.00007946505526882, 745 | 0.00028942292457600, 746 | 0.00002916584399570, 747 | -0.00000857867025494, 748 | -0.00007954498328135, 749 | 0.00029374083672813, 750 | 0.00002905568707838, 751 | -0.00000872587352276, 752 | -0.00007957741098910, 753 | 0.00029803117828169, 754 | 0.00002892993136222, 755 | -0.00000883613611176, 756 | -0.00007956259670085, 757 | 0.00030229282286685, 758 | 0.00002878915942455, 759 | -0.00000890405139381, 760 | -0.00007950107058713, 761 | 0.00030652446634527, 762 | 0.00002863334275875, 763 | -0.00000892931845314, 764 | -0.00007939365904944, 765 | 0.00031072461260527, 766 | 0.00002846182816980, 767 | -0.00000891713988854, 768 | -0.00007924146594246, 769 | 0.00031489158993615, 770 | 0.00002827341964293, 771 | -0.00000887780415407, 772 | -0.00007904581312348, 773 | 0.00031902359522088, 774 | 0.00002806654169006, 775 | -0.00000882546195529, 776 | -0.00007880814902843, 777 | 0.00032311875895183, 778 | 0.00002783945819821, 779 | -0.00000877622809879, 780 | -0.00007852993892210, 781 | 0.00032717522092884, 782 | 0.00002759051293827, 783 | -0.00000874585027167, 784 | -0.00007821255337752, 785 | 0.00033119120491932, 786 | 0.00002731835524578, 787 | -0.00000874726749637, 788 | -0.00007785717194523, 789 | 0.00033516508078235, 790 | 0.00002702211726245, 791 | -0.00000878841964876, 792 | -0.00007746471679523, 793 | 0.00033909540454324, 794 | 0.00002670151699834, 795 | -0.00000887065702160, 796 | -0.00007703582666239, 797 | 0.00034298093034537, 798 | 0.00002635687307181, 799 | -0.00000898803397065, 800 | -0.00007657087536090, 801 | 0.00034682059257216, 802 | 0.00002598903050127, 803 | -0.00000912765926339, 804 | -0.00007607003236439, 805 | 0.00035061346105574, 806 | 0.00002559921029898, 807 | -0.00000927113106495, 808 | -0.00007553335650353, 809 | 0.00035435867646104, 810 | 0.00002518880684676, 811 | -0.00000939692541033, 812 | -0.00007496090869644, 813 | 0.00035805537601768, 814 | 0.00002475916446830, 815 | -0.00000948345569764, 816 | -0.00007435286659512, 817 | 0.00036170262129409, 818 | 0.00002431136720085, 819 | -0.00000951239969089, 820 | -0.00007370962358328, 821 | 0.00036529933943530, 822 | 0.00002384607320498, 823 | -0.00000947181938121, 824 | -0.00007303185678953, 825 | 0.00036884428725759, 826 | 0.00002336341800480, 827 | -0.00000935859161923, 828 | -0.00007232055336875, 829 | 0.00037233604411681, 830 | 0.00002286299999119, 831 | -0.00000917972934125, 832 | -0.00007157699057472, 833 | 0.00037577303507028, 834 | 0.00002234394901921, 835 | -0.00000895230063254, 836 | -0.00007080267215883, 837 | 0.00037915358120406, 838 | 0.00002180506641306, 839 | -0.00000870183243589, 840 | -0.00006999923031889, 841 | 0.00038247596980465, 842 | 0.00002124501413580, 843 | -0.00000845929578571, 844 | -0.00006916830776715, 845 | 0.00038573853395749, 846 | 0.00002066252384105, 847 | -0.00000825698261435, 848 | -0.00006831143765860, 849 | 0.00038893972962593, 850 | 0.00002005659400094, 851 | -0.00000812377069364, 852 | -0.00006742993961643, 853 | 0.00039207819854303, 854 | 0.00001942664560604, 855 | -0.00000808040502838, 856 | -0.00006652484780932, 857 | 0.00039515280729559, 858 | 0.00001877261363549, 859 | -0.00000813547851545, 860 | -0.00006559688229767, 861 | 0.00039816265649255, 862 | 0.00001809496152287, 863 | -0.00000828275849015, 864 | -0.00006464646837106, 865 | 0.00040110705836130, 866 | 0.00001739461763587, 867 | -0.00000850037694630, 868 | -0.00006367380132171, 869 | 0.00040398548583703, 870 | 0.00001667284454532, 871 | -0.00000875219126388, 872 | -0.00006267894713968, 873 | 0.00040679750049131, 874 | 0.00001593106181477, 875 | -0.00000899135158342, 876 | -0.00006166196404041, 877 | 0.00040954266984245, 878 | 0.00001517064972657, 879 | -0.00000916581250021, 880 | -0.00006062302640705, 881 | 0.00041222048621964, 882 | 0.00001439276381859, 883 | -0.00000922523840477, 884 | -0.00005956253217877, 885 | 0.00041483029916946, 886 | 0.00001359818803230, 887 | -0.00000912851271111, 888 | -0.00005848117705354, 889 | 0.00041737127142252, 890 | 0.00001278724804764, 891 | -0.00000885090668383, 892 | -0.00005737998377203, 893 | 0.00041984236497518, 894 | 0.00001195979700074, 895 | -0.00000838992016258, 896 | -0.00005626028148680, 897 | 0.00042224235940356, 898 | 0.00001111527470485, 899 | -0.00000776888802145, 900 | -0.00005512363778924, 901 | 0.00042456989977887, 902 | 0.00001025283041337, 903 | -0.00000703765123703, 904 | -0.00005397175321973, 905 | 0.00042682356720291, 906 | 0.00000937148974248, 907 | -0.00000626990252244, 908 | -0.00005280633391496, 909 | 0.00042900196167926, 910 | 0.00000847034000349, 911 | -0.00000555720149397, 912 | -0.00005162896154668, 913 | 0.00043110378526792, 914 | 0.00000754870579318, 915 | -0.00000500006975737, 916 | -0.00005044098032063, 917 | 0.00043312791349720, 918 | 0.00000660628855999, 919 | -0.00000469697212649, 920 | -0.00004924341840926, 921 | 0.00043507344481555, 922 | 0.00000564324966012, 923 | -0.00000473231589434, 924 | -0.00004803695612056, 925 | 0.00043693972117783, 926 | 0.00000466022521449, 927 | -0.00000516481094581, 928 | -0.00004682194610406, 929 | 0.00043872631717405, 930 | 0.00000365827150732, 931 | -0.00000601759650637, 932 | -0.00004559848300986, 933 | 0.00044043299977196, 934 | 0.00000263875013871, 935 | -0.00000727143881778, 936 | -0.00004436651244223, 937 | 0.00044205966506026, 938 | 0.00000160317108082, 939 | -0.00000886204060559, 940 | -0.00004312596294278, 941 | 0.00044360626170148, 942 | 0.00000055301786627, 943 | -0.00001068210024982, 944 | -0.00004187688104793, 945 | 0.00044507271264499, 946 | -0.00000051041851526, 947 | -0.00001258825644372, 948 | -0.00004061954877940, 949 | 0.00044645884674487, 950 | -0.00000158617212332, 951 | -0.00001441250700607, 952 | -0.00003935456538254, 953 | 0.00044776435027697, 954 | -0.00000267368149782, 955 | -0.00001597716041364, 956 | -0.00003808288038539, 957 | 0.00044898874521708, 958 | -0.00000377280480617, 959 | -0.00001711192822557, 960 | -0.00003680577233937, 961 | 0.00045013139701469, 962 | -0.00000488376934131, 963 | -0.00001767145173817, 964 | -0.00003552477583279, 965 | 0.00045119155010586, 966 | -0.00000600706392038, 967 | -0.00001755141905061, 968 | -0.00003424156729416, 969 | 0.00045216838523875, 970 | -0.00000714329122543, 971 | -0.00001670149213466, 972 | -0.00003295782651333, 973 | 0.00045306108946522, 974 | -0.00000829300293751, 975 | -0.00001513352783714, 976 | -0.00003167509470780, 977 | 0.00045386892786306, 978 | -0.00000945654280285, 979 | -0.00001292401909180, 980 | -0.00003039465072743, 981 | 0.00045459130595455, 982 | -0.00001063392123891, 983 | -0.00001021025885071, 984 | -0.00002911742446995, 985 | 0.00045522781338023, 986 | -0.00001182474001788, 987 | -0.00000718037863313, 988 | -0.00002784396111922, 989 | 0.00045577824241137, 990 | -0.00001302817776731, 991 | -0.00000405806549237, 992 | -0.00002657444221748, 993 | 0.00045624257886781, 994 | -0.00001424303771162, 995 | -0.00000108334306265, 996 | -0.00002530876097648, 997 | 0.00045662096732792, 998 | -0.00001546784966785, 999 | 0.00000150875192496, 1000 | -0.00002404664092138, 1001 | 0.00045691365650823, 1002 | -0.00001670101022356, 1003 | 0.00000350950856121, 1004 | -0.00002278778021812, 1005 | 0.00045712093373648, 1006 | -0.00001794093946563, 1007 | 0.00000475576880601, 1008 | -0.00002153199990531, 1009 | 0.00045724305907874, 1010 | -0.00001918623040257, 1011 | 0.00000514510383500, 1012 | -0.00002027937339874, 1013 | 0.00045728020966074, 1014 | -0.00002043576862239, 1015 | 0.00000464539782635, 1016 | -0.00001903031722736, 1017 | 0.00045723244305575, 1018 | -0.00002168880449836, 1019 | 0.00000329786108932, 1020 | -0.00001778562864223, 1021 | 0.00045709968555964, 1022 | -0.00002294496763433, 1023 | 0.00000121316437794, 1024 | -0.00001654646368289, 1025 | 0.00045688174721520, 1026 | -0.00002420422208541; -------------------------------------------------------------------------------- /filter/4_tap_equiripple/lane10.coe: -------------------------------------------------------------------------------- 1 | radix=10; 2 | coefdata = 3 | -0.00001462479651346, 4 | 0.00045637004775647, 5 | -0.00002617851546803, 6 | -0.00000310126553761, 7 | -0.00001340665934676, 8 | 0.00045593259169817, 9 | -0.00002744681298353, 10 | -0.00000620343777986, 11 | -0.00001219950830030, 12 | 0.00045540907343732, 13 | -0.00002871904769452, 14 | -0.00000928740850017, 15 | -0.00001100463872249, 16 | 0.00045479945272344, 17 | -0.00002999513857540, 18 | -0.00001212218249309, 19 | -0.00000982299721808, 20 | 0.00045410387454086, 21 | -0.00003127465902450, 22 | -0.00001450665818973, 23 | -0.00000865511567570, 24 | 0.00045332268662745, 25 | -0.00003255679815467, 26 | -0.00001628631371128, 27 | -0.00000750110601605, 28 | 0.00045245642952649, 29 | -0.00003384037904404, 30 | -0.00001736494704339, 31 | -0.00000636071723640, 32 | 0.00045150580026004, 33 | -0.00003512393057650, 34 | -0.00001771047386545, 35 | -0.00000523344682454, 36 | 0.00045047159487126, 37 | -0.00003640580145346, 38 | -0.00001735442423831, 39 | -0.00000411869019876, 40 | 0.00044935463846695, 41 | -0.00003768429872437, 42 | -0.00001638543737190, 43 | -0.00000301590579902, 44 | 0.00044815571347116, 45 | -0.00003895782968416, 46 | -0.00001493765992620, 47 | -0.00000192477076049, 48 | 0.00044687549724313, 49 | -0.00004022502571704, 50 | -0.00001317544312005, 51 | -0.00000084530319953, 52 | 0.00044551451893596, 53 | -0.00004148482963264, 54 | -0.00001127605741021, 55 | 0.00000022206808212, 56 | 0.00044407314265718, 57 | -0.00004273653375858, 58 | -0.00000941227077087, 59 | 0.00000127649789702, 60 | 0.00044255158005682, 61 | -0.00004397976362938, 62 | -0.00000773656068081, 63 | 0.00000231678477378, 64 | 0.00044094993100501, 65 | -0.00004521441038297, 66 | -0.00000636846690334, 67 | 0.00000334148862734, 68 | 0.00043926824670771, 69 | -0.00004644052268628, 70 | -0.00000538617863097, 71 | 0.00000434908885063, 72 | 0.00043750660610501, 73 | -0.00004765817500447, 74 | -0.00000482293781536, 75 | 0.00000533815925997, 76 | 0.00043566519424289, 77 | -0.00004886733241833, 78 | -0.00000466829186074, 79 | 0.00000630753341489, 80 | 0.00043374437084555, 81 | -0.00005006773249836, 82 | -0.00000487370635125, 83 | 0.00000725643492286, 84 | 0.00043174471862737, 85 | -0.00005125880194885, 86 | -0.00000536160945174, 87 | 0.00000818455232126, 88 | 0.00042966706378586, 89 | -0.00005243962029707, 90 | -0.00000603662928424, 91 | 0.00000909204629720, 92 | 0.00042751246518606, 93 | -0.00005360893566848, 94 | -0.00000679763225886, 95 | 0.00000997948715988, 96 | 0.00042528217337587, 97 | -0.00005476522977112, 98 | -0.00000754918285527, 99 | 0.00001084773109825, 100 | 0.00042297756506867, 101 | -0.00005590682181186, 102 | -0.00000821121286854, 103 | 0.00001169775322576, 104 | 0.00042060006241767, 105 | -0.00005703199529579, 106 | -0.00000872598231678, 107 | 0.00001253046227202, 108 | 0.00041815104872607, 109 | -0.00005813912837207, 110 | -0.00000906179340084, 111 | 0.00001334652494403, 112 | 0.00041563179283490, 113 | -0.00005922680805494, 114 | -0.00000921333372445, 115 | 0.00001414622691077, 116 | 0.00041304339320767, 117 | -0.00006029391128778, 118 | -0.00000919892458469, 119 | 0.00001492939216517, 120 | 0.00041038674986460, 121 | -0.00006133964100255, 122 | -0.00000905528839630, 123 | 0.00001569537392053, 124 | 0.00040766256823220, 125 | -0.00006236351224705, 126 | -0.00000883069009796, 127 | 0.00001644311946411, 128 | 0.00040487139427492, 129 | -0.00006336529104738, 130 | -0.00000857742843313, 131 | 0.00001717130012832, 132 | 0.00040201367566510, 133 | -0.00006434489578809, 134 | -0.00000834464783013, 135 | 0.00001787848745713, 136 | 0.00039908983991588, 137 | -0.00006530227645912, 138 | -0.00000817231947662, 139 | 0.00001856334930262, 140 | 0.00039610037792101, 141 | -0.00006623729031141, 142 | -0.00000808702404997, 143 | 0.00001922483614268, 144 | 0.00039304592058795, 145 | -0.00006714959282322, 146 | -0.00000809989148668, 147 | 0.00001986232895408, 148 | 0.00038992729732743, 149 | -0.00006803856038063, 150 | -0.00000820675364224, 151 | 0.00002047572541241, 152 | 0.00038674556791107, 153 | -0.00006890325612319, 154 | -0.00000839028252280, 155 | 0.00002106545026160, 156 | 0.00038350202321448, 157 | -0.00006974244377132, 158 | -0.00000862365423459, 159 | 0.00002163238707056, 160 | 0.00038019815502203, 161 | -0.00007055464695400, 162 | -0.00000887512258462, 163 | 0.00002217774057380, 164 | 0.00037683559968163, 165 | -0.00007133824470200, 166 | -0.00000911282075205, 167 | 0.00002270284955543, 168 | 0.00037341606426869, 169 | -0.00007209158839486, 170 | -0.00000930913654583, 171 | 0.00002320897812048, 172 | 0.00036994124646826, 173 | -0.00007281312234631, 174 | -0.00000944411642676, 175 | 0.00002369711695253, 176 | 0.00036641276023205, 177 | -0.00007350148983158, 178 | -0.00000950752570665, 179 | 0.00002416782514294, 180 | 0.00036283207829100, 181 | -0.00007415560873170, 182 | -0.00000949940037567, 183 | 0.00002462113747210, 184 | 0.00035920049995564, 185 | -0.00007477470571184, 186 | -0.00000942914017710, 187 | 0.00002505655242066, 188 | 0.00035551914872440, 189 | -0.00007535830422370, 190 | -0.00000931338430227, 191 | 0.00002547310409211, 192 | 0.00035178899963856, 193 | -0.00007590616864810, 194 | -0.00000917305665634, 195 | 0.00002586950844261, 196 | 0.00034801093177204, 197 | -0.00007641821350625, 198 | -0.00000903005089793, 199 | 0.00002624436268123, 200 | 0.00034418579741449, 201 | -0.00007689439186954, 202 | -0.00000890403951458, 203 | 0.00002659636820595, 204 | 0.00034031449698101, 205 | -0.00007733458011689, 206 | -0.00000880983882655, 207 | 0.00002692454332738, 208 | 0.00033639804784278, 209 | -0.00007773847658627, 210 | -0.00000875565447143, 211 | 0.00002722839300626, 212 | 0.00033243763624679, 213 | -0.00007810552940840, 214 | -0.00000874238772090, 215 | 0.00002750800883060, 216 | 0.00032843464412892, 217 | -0.00007843490425912, 218 | -0.00000876402386437, 219 | 0.00002776408265552, 220 | 0.00032439064651931, 221 | -0.00007872549663090, 222 | -0.00000880897247288, 223 | 0.00002799783023813, 224 | 0.00032030737979631, 225 | -0.00007897598644524, 226 | -0.00000886210582023, 227 | 0.00002821083489799, 228 | 0.00031618668556785, 229 | -0.00007918492643597, 230 | -0.00000890716126518, 231 | 0.00002840483364287, 232 | 0.00031203043875372, 233 | -0.00007935085069268, 234 | -0.00000892914438261, 235 | 0.00002858147740125, 236 | 0.00030784047092684, 237 | -0.00007947238681318, 238 | -0.00000891639292349, 239 | 0.00002874210153556, 240 | 0.00030361850076140, 241 | -0.00007954835470323, 242 | -0.00000886203090054, 243 | 0.00002888754189665, 244 | 0.00029936608240688, 245 | -0.00007957783721914, 246 | -0.00000876464489828, 247 | 0.00002901802536171, 248 | 0.00029508457990965, 249 | -0.00007956021222979, 250 | -0.00000862813481756, 251 | 0.00002913315294165, 252 | 0.00029077517184684, 253 | -0.00007949514159662, 254 | -0.00000846081093560, 255 | 0.00002923197972292, 256 | 0.00028643888571997, 257 | -0.00007938251912583, 258 | -0.00000827391169339, 259 | 0.00002931318118067, 260 | 0.00028207665708275, 261 | -0.00007922238574100, 262 | -0.00000807978862576, 263 | 0.00002937528199537, 264 | 0.00027768940454693, 265 | -0.00007901482502115, 266 | -0.00000789003792627, 267 | 0.00002941691349637, 268 | 0.00027327810931035, 269 | -0.00007875985512029, 270 | -0.00000771384978846, 271 | 0.00002943706083468, 272 | 0.00026884388707008, 273 | -0.00007845733351095, 274 | -0.00000755680032639, 275 | 0.00002943526181571, 276 | 0.00026438804124724, 277 | -0.00007810688893015, 278 | -0.00000742023514064, 279 | 0.00002941172598406, 280 | 0.00025991208920565, 281 | -0.00007770789068418, 282 | -0.00000730130074244, 283 | 0.00002936735414363, 284 | 0.00025541775718185, 285 | -0.00007725945974227, 286 | -0.00000719358413730, 287 | 0.00002930365333991, 288 | 0.00025090694435129, 289 | -0.00007676051969675, 290 | -0.00000708823567576, 291 | 0.00002922255822599, 292 | 0.00024638166112226, 293 | -0.00007620987965360, 294 | -0.00000697538736776, 295 | 0.00002912618427179, 296 | 0.00024184395066628, 297 | -0.00007560633634848, 298 | -0.00000684564605947, 299 | 0.00002901654921051, 300 | 0.00023729580526332, 301 | -0.00007494877997165, 302 | -0.00000669144135890, 303 | 0.00002889530470696, 304 | 0.00023273908986788, 305 | -0.00007423628774039, 306 | -0.00000650804025391, 307 | 0.00002876351951756, 308 | 0.00022817548425486, 309 | -0.00007346819122906, 310 | -0.00000629409786673, 311 | 0.00002862154837507, 312 | 0.00022360645233518, 313 | -0.00007264410753879, 314 | -0.00000605168726903, 315 | 0.00002846900841812, 316 | 0.00021903324315454, 317 | -0.00007176392992948, 318 | -0.00000578582944173, 319 | 0.00002830486898291, 320 | 0.00021445692331796, 321 | -0.00007082777969802, 322 | -0.00000550361586105, 323 | 0.00002812764335157, 324 | 0.00020987843584030, 325 | -0.00006983592693133, 326 | -0.00000521307087852, 327 | 0.00002793565521412, 328 | 0.00020529867642667, 329 | -0.00006878869241077, 330 | -0.00000492193193710, 331 | 0.00002772734059512, 332 | 0.00020071857554607, 333 | -0.00006768634570099, 334 | -0.00000463652936448, 335 | 0.00002750153973596, 336 | 0.00019613917377971, 337 | -0.00006652901491816, 338 | -0.00000436092469819, 339 | 0.00002725773398709, 340 | 0.00019156167894115, 341 | -0.00006531662178779, 342 | -0.00000409642169453, 343 | 0.00002699619020841, 344 | 0.00018698749622817, 345 | -0.00006404885167188, 346 | -0.00000384150479920, 347 | 0.00002671798851337, 348 | 0.00018241822676216, 349 | -0.00006272516287962, 350 | -0.00000359219513575, 351 | 0.00002642492651115, 352 | 0.00017785563467872, 353 | -0.00006134483359635, 354 | -0.00000334275360142, 355 | 0.00002611931194496, 356 | 0.00017330158772585, 357 | -0.00005990703908176, 358 | -0.00000308661303058, 359 | 0.00002580367296113, 360 | 0.00016875798037359, 361 | -0.00005841094725815, 362 | -0.00000281739296302, 363 | 0.00002548042849535, 364 | 0.00016422665112416, 365 | -0.00005685581811010, 366 | -0.00000252984466296, 367 | 0.00002515156831534, 368 | 0.00015970930662210, 369 | -0.00005524109184447, 370 | -0.00000222059060207, 371 | 0.00002481839189775, 372 | 0.00015520746415643, 373 | -0.00005356645256939, 374 | -0.00000188855831972, 375 | 0.00002448134743050, 376 | 0.00015072242136875, 377 | -0.00005183185805412, 378 | -0.00000153505743681, 379 | 0.00002413999785383, 380 | 0.00014625525785088, 381 | -0.00005003753133789, 382 | -0.00000116350298260, 383 | 0.00002379312203130, 384 | 0.00014180686845739, 385 | -0.00004818391576798, 386 | -0.00000077883995090, 387 | 0.00002343893864939, 388 | 0.00013737802331006, 389 | -0.00004627160058042, 390 | -0.00000038676568475, 391 | 0.00002307542137004, 392 | 0.00013296944537217, 393 | -0.00004430122855552, 394 | 0.00000000712739711, 395 | 0.00002270065905221, 396 | 0.00012858189374677, 397 | -0.00004227339992153, 398 | 0.00000039815925447, 399 | 0.00002231320686336, 400 | 0.00012421623992595, 401 | -0.00004018858715572, 402 | 0.00000078320266825, 403 | 0.00002191237420073, 404 | 0.00011987352523479, 405 | -0.00003804707358381, 406 | 0.00000116106849315, 407 | 0.00002149840371254, 408 | 0.00011555499052724, 409 | -0.00003584892498503, 410 | 0.00000153258841630, 411 | 0.00002107251127149, 412 | 0.00011126207337995, 413 | -0.00003359399834275, 414 | 0.00000190039422962, 415 | 0.00002063677730557, 416 | 0.00010699637296177, 417 | -0.00003128198621551, 418 | 0.00000226843738679, 419 | 0.00002019390241626, 420 | 0.00010275958768231, 421 | -0.00002891248980257, 422 | 0.00000264132932287, 423 | 0.00001974686132179, 424 | 0.00009855343489341, 425 | -0.00002648510945820, 426 | 0.00000302360656737, 427 | 0.00001929850559378, 428 | 0.00009437956469280, 429 | -0.00002399953881848, 430 | 0.00000341903208709, 431 | 0.00001885117478328, 432 | 0.00009023948083242, 433 | -0.00002145564822547, 434 | 0.00000383003503055, 435 | 0.00001840637577953, 436 | 0.00008613448071044, 437 | -0.00001885354482730, 438 | 0.00000425736705125, 439 | 0.00001796458134676, 440 | 0.00008206562357415, 441 | -0.00001619360033036, 442 | 0.00000470001869419, 443 | 0.00001752518186617, 444 | 0.00007803373180639, 445 | -0.00001347644232537, 446 | 0.00000515539940683, 447 | 0.00001708660174656, 448 | 0.00007403942515314, 449 | -0.00001070291064741, 450 | 0.00000561974563321, 451 | 0.00001664656705654, 452 | 0.00007008318273412, 453 | -0.00000787398551790, 454 | 0.00000608868889691, 455 | 0.00001620248741415, 456 | 0.00006616542342616, 457 | -0.00000499069845589, 458 | 0.00000655789435525, 459 | 0.00001575189667437, 460 | 0.00006228659237162, 461 | -0.00000205403949983, 462 | 0.00000702367280475, 463 | 0.00001529288643763, 464 | 0.00005844724037965, 465 | 0.00000093512522786, 466 | 0.00000748347619227, 467 | 0.00001482446568467, 468 | 0.00005464808401549, 469 | 0.00000397611321617, 470 | 0.00000793620682614, 471 | 0.00001434678929942, 472 | 0.00005089003706541, 473 | 0.00000706845362560, 474 | 0.00000838230029927, 475 | 0.00001386121670906, 476 | 0.00004717420839022, 477 | 0.00001021187964775, 478 | 0.00000882357692726, 479 | 0.00001337018677756, 480 | 0.00004350186628696, 481 | 0.00001340628651767, 482 | 0.00000926289097593, 483 | 0.00001287692281642, 484 | 0.00003987437459271, 485 | 0.00001665166171759, 486 | 0.00000970363602399, 487 | 0.00001238500797081, 488 | 0.00003629311010651, 489 | 0.00001994799807832, 490 | 0.00001014918434916, 491 | 0.00001189789223720, 492 | 0.00003275937381112, 493 | 0.00002329520301018, 494 | 0.00001060234564042, 495 | 0.00001141840461235, 496 | 0.00002927430939501, 497 | 0.00002669301760489, 498 | 0.00001106492489752, 499 | 0.00001094834524377, 500 | 0.00002583884154157, 501 | 0.00003014095777752, 502 | 0.00001153744226892, 503 | 0.00001048822242483, 504 | 0.00002245364351464, 505 | 0.00003363828621282, 506 | 0.00001201905168014, 507 | 0.00001003717905032, 508 | 0.00001911913916280, 509 | 0.00003718401916739, 510 | 0.00001250766450686, 511 | 0.00000959312550434, 512 | 0.00001583553925420, 513 | 0.00004077696688010, 514 | 0.00001300025391691, 515 | 0.00000915306488848, 516 | 0.00001260290682643, 517 | 0.00004441580126219, 518 | 0.00001349328938056, 519 | 0.00000871356664796, 520 | 0.00000942124178903, 521 | 0.00004809914044427, 522 | 0.00001398323296668, 523 | 0.00000827132060554, 524 | 0.00000629057202946, 525 | 0.00005182563726526, 526 | 0.00001446702182006, 527 | 0.00000782368900977, 528 | 0.00000321103721055, 529 | 0.00005559405826634, 530 | 0.00001494246541900, 531 | 0.00000736917193826, 532 | 0.00000018295247958, 533 | 0.00005940334127093, 534 | 0.00001540850089957, 535 | 0.00000690771198755, 536 | -0.00000279315770875, 537 | 0.00006325262294830, 538 | 0.00001586527243214, 539 | 0.00000644078641714, 540 | -0.00000571656096415, 541 | 0.00006714123236265, 542 | 0.00001631402775914, 543 | 0.00000597126577231, 544 | -0.00000858635351578, 545 | 0.00007106865169663, 546 | 0.00001675685240369, 547 | 0.00000550305305984, 548 | -0.00001140152659101, 549 | 0.00007503445031927, 550 | 0.00001719628566262, 551 | 0.00000504055158507, 552 | -0.00001416105269769, 553 | 0.00007903820238955, 554 | 0.00001763487890214, 555 | 0.00000458803735837, 556 | -0.00001686397881333, 557 | 0.00008307940064296, 558 | 0.00001807476363716, 559 | 0.00000414902910422, 560 | -0.00001950951236929, 561 | 0.00008715737953468, 562 | 0.00001851729361211, 563 | 0.00000372575239638, 564 | -0.00002209708694341, 565 | 0.00009127125943889, 566 | 0.00001896281236808, 567 | 0.00000331878331193, 568 | -0.00002462639759673, 569 | 0.00009541992036145, 570 | 0.00001941057771719, 571 | 0.00000292693245115, 572 | -0.00002709740035915, 573 | 0.00009960200911291, 574 | 0.00001985885032591, 575 | 0.00000254739549303, 576 | -0.00002951027579834, 577 | 0.00010381597879993, 578 | 0.00002030512894235, 579 | 0.00000217615658358, 580 | -0.00003186536207387, 581 | 0.00010806015459938, 582 | 0.00002074649336991, 583 | 0.00000180859167833, 584 | -0.00003416306755227, 585 | 0.00011233281582264, 586 | 0.00002118000119840, 587 | 0.00000144018647232, 588 | -0.00003640377622218, 589 | 0.00011663228185284, 590 | 0.00002160307764034, 591 | 0.00000106726293317, 592 | -0.00003858776031204, 593 | 0.00012095698900666, 594 | 0.00002201384036619, 595 | 0.00000068760326624, 596 | -0.00004071511348726, 597 | 0.00012530554680647, 598 | 0.00002241131238088, 599 | 0.00000030087173190, 600 | -0.00004278571493337, 601 | 0.00012967676532323, 602 | 0.00002279549386241, 603 | -0.00000009123805218, 604 | -0.00004479922997225, 605 | 0.00013406964967524, 606 | 0.00002316728566497, 607 | -0.00000048516342262, 608 | -0.00004675514731123, 609 | 0.00013848336276781, 610 | 0.00002352827953281, 611 | -0.00000087592789780, 612 | -0.00004865284742948, 613 | 0.00014291716217208, 614 | 0.00002388044963364, 615 | -0.00000125783302061, 616 | -0.00005049169181558, 617 | 0.00014737032093878, 618 | 0.00002422579395119, 619 | -0.00000162529004876, 620 | -0.00005227111951945, 621 | 0.00015184204453652, 622 | 0.00002456598043231, 623 | -0.00000197366951727, 624 | -0.00005399073627623, 625 | 0.00015633139663751, 626 | 0.00002490205079286, 627 | -0.00000230003909357, 628 | -0.00005565038249589, 629 | 0.00016083724507170, 630 | 0.00002523422504117, 631 | -0.00000260367199013, 632 | -0.00005725016954621, 633 | 0.00016535823615413, 634 | 0.00002556183372718, 635 | -0.00000288623846207, 636 | -0.00005879047852524, 637 | 0.00016989280123880, 638 | 0.00002588338520658, 639 | -0.00000315163773632, 640 | -0.00006027192140457, 641 | 0.00017443919443025, 642 | 0.00002619675484941, 643 | -0.00000340548087795, 644 | -0.00006169527016718, 645 | 0.00017899555564145, 646 | 0.00002649946517366, 647 | -0.00000365428886680, 648 | -0.00006306136448807, 649 | 0.00018355998934216, 650 | 0.00002678901297309, 651 | -0.00000390451640088, 652 | -0.00006437101185502, 653 | 0.00018813064697728, 654 | 0.00002706319345013, 655 | -0.00000416154332974, 656 | -0.00006562489528038, 657 | 0.00019270580050402, 658 | 0.00002732037291229, 659 | -0.00000442878676601, 660 | -0.00006682350270652, 661 | 0.00019728389587580, 662 | 0.00002755967034703, 663 | -0.00000470707527177, 664 | -0.00006796708900093, 665 | 0.00020186357837416, 666 | 0.00002778102268946, 667 | -0.00000499439285110, 668 | -0.00006905567654589, 669 | 0.00020644368598836, 670 | 0.00002798512655022, 671 | -0.00000528604894054, 672 | -0.00007008909458498, 673 | 0.00021102321190843, 674 | 0.00002817326782011, 675 | -0.00000557526819188, 676 | -0.00007106705157887, 677 | 0.00021560124189400, 678 | 0.00002834706711199, 679 | -0.00000585412954658, 680 | -0.00007198922974496, 681 | 0.00022017687609446, 682 | 0.00002850818101070, 683 | -0.00000611472755166, 684 | -0.00007285538749026, 685 | 0.00022474914725214, 686 | 0.00002865800487901, 687 | -0.00000635038896849, 688 | -0.00007366545413377, 689 | 0.00022931694776372, 690 | 0.00002879742177739, 691 | -0.00000655676127951, 692 | -0.00007441960236936, 693 | 0.00023387897672992, 694 | 0.00002892663422152, 695 | -0.00000673260028390, 696 | -0.00007511828720021, 697 | 0.00023843371509641, 698 | 0.00002904510233102, 699 | -0.00000688012127246, 700 | -0.00007576224509700, 701 | 0.00024297943274338, 702 | 0.00002915159549721, 703 | -0.00000700483793143, 704 | -0.00007635245314370, 705 | 0.00024751422656177, 706 | 0.00002924434753768, 707 | -0.00000711488723074, 708 | -0.00007689005402718, 709 | 0.00025203608389228, 710 | 0.00002932128999584, 711 | -0.00000721991663829, 712 | -0.00007737625797349, 713 | 0.00025654296190185, 714 | 0.00002938032703648, 715 | -0.00000732968050323, 716 | -0.00007781223632895, 717 | 0.00026103287110491, 718 | 0.00002941960987975, 719 | -0.00000745254437044, 720 | -0.00007819902286706, 721 | 0.00026550395065478, 722 | 0.00002943776961793, 723 | -0.00000759412057001, 724 | -0.00007853743784697, 725 | 0.00026995452431728, 726 | 0.00002943407430980, 727 | -0.00000775625056434, 728 | -0.00007882804649596, 729 | 0.00027438312899116, 730 | 0.00002940848827153, 731 | -0.00000793650872066, 732 | -0.00007907115843262, 733 | 0.00027878851180695, 734 | 0.00002936162658322, 735 | -0.00000812833279414, 736 | -0.00007926686835875, 737 | 0.00028316959658093, 738 | 0.00002929461368560, 739 | -0.00000832179733303, 740 | -0.00007941513206284, 741 | 0.00028752542500494, 742 | 0.00002920886916346, 743 | -0.00000850494973296, 744 | -0.00007951586635182, 745 | 0.00029185508171879, 746 | 0.00002910585430074, 747 | -0.00000866553880944, 748 | -0.00007956905779528, 749 | 0.00029615761477381, 750 | 0.00002898681824690, 751 | -0.00000879289633315, 752 | -0.00007957486370795, 753 | 0.00030043196360238, 754 | 0.00002885258196603, 755 | -0.00000887969450611, 756 | -0.00007953368985323, 757 | 0.00030467690537423, 758 | 0.00002870339175915, 759 | -0.00000892330426551, 760 | -0.00007944623278080, 761 | 0.00030889102774264, 762 | 0.00002853886311997, 763 | -0.00000892652258274, 764 | -0.00007931348001118, 765 | 0.00031307273190648, 766 | 0.00002835802174211, 767 | -0.00000889751756447, 768 | -0.00007913666765683, 769 | 0.00031722026526188, 770 | 0.00002815943378655, 771 | -0.00000884894839721, 772 | -0.00007891720155933, 773 | 0.00032133177840196, 774 | 0.00002794140425961, 775 | -0.00000879633860623, 776 | -0.00007865655363737, 777 | 0.00032540539753049, 778 | 0.00002770221250696, 779 | -0.00000875589853411, 780 | -0.00007835614901738, 781 | 0.00032943930104642, 782 | 0.00002744034881188, 783 | -0.00000874208876177, 784 | -0.00007801726105210, 785 | 0.00033343178847826, 786 | 0.00002715471656346, 787 | -0.00000876527488461, 788 | -0.00007764093026981, 789 | 0.00033738133118360, 790 | 0.00002684477026271, 791 | -0.00000882983460228, 792 | -0.00007722791977714, 793 | 0.00034128659708599, 794 | 0.00002651056979784, 795 | -0.00000893303582301, 796 | -0.00007677871417926, 797 | 0.00034514644575873, 798 | 0.00002615274434266, 799 | -0.00000906491217131, 800 | -0.00007629356249700, 801 | 0.00034895989476333, 802 | 0.00002577237292717, 803 | -0.00000920923012419, 804 | -0.00007577255884655, 805 | 0.00035272606260531, 806 | 0.00002537080112840, 807 | -0.00000934548661883, 808 | -0.00007521574882630, 809 | 0.00035644409729974, 810 | 0.00002494942259386, 811 | -0.00000945171843843, 812 | -0.00007462324552244, 813 | 0.00036011310178819, 814 | 0.00002450945890213, 815 | -0.00000950776773633, 816 | -0.00007399533743137, 817 | 0.00036373206797276, 818 | 0.00002405177094240, 819 | -0.00000949855297993, 820 | -0.00007333257166947, 821 | 0.00036729982984983, 822 | 0.00002357672969112, 823 | -0.00000941685807118, 824 | -0.00007263579946130, 825 | 0.00037081504332990, 826 | 0.00002308416485968, 827 | -0.00000926518386854, 828 | -0.00007190617653481, 829 | 0.00037427619625956, 830 | 0.00002257339786315, 831 | -0.00000905630619186, 832 | -0.00007114511786670, 833 | 0.00037768164753611, 834 | 0.00002204335276462, 835 | -0.00000881234336283, 836 | -0.00007035421318349, 837 | 0.00038102968972846, 838 | 0.00002149272721935, 839 | -0.00000856233616161, 840 | -0.00006953511567363, 841 | 0.00038431862597143, 842 | 0.00002092019670415, 843 | -0.00000833855850333, 844 | -0.00006868942057227, 845 | 0.00038754684964159, 846 | 0.00002032462073755, 847 | -0.00000817197896333, 848 | -0.00006781855198439, 849 | 0.00039071291479883, 850 | 0.00001970521999688, 851 | -0.00000808745217944, 852 | -0.00006692367522986, 853 | 0.00039381558667340, 854 | 0.00001906169811192, 855 | -0.00000809930971281, 856 | -0.00006600564826555, 857 | 0.00039685386440277, 858 | 0.00001839429065620, 859 | -0.00000820802439098, 860 | -0.00006506501990677, 861 | 0.00039982697233984, 862 | 0.00001770373509038, 863 | -0.00000839853329790, 864 | -0.00006410207550334, 865 | 0.00040273432094417, 866 | 0.00001699116741120, 867 | -0.00000864062746063, 868 | -0.00006311692349040, 869 | 0.00040557544282800, 870 | 0.00001625796220697, 871 | -0.00000889156828660, 872 | -0.00006210960993173, 873 | 0.00040834991327016, 874 | 0.00001550554108873, 875 | -0.00000910080007704, 876 | -0.00006108024377448, 877 | 0.00041105726687166, 878 | 0.00001473517885109, 879 | -0.00000921633031025, 880 | -0.00006002911372891, 881 | 0.00041369692264971, 882 | 0.00001394783661959, 883 | -0.00000919208406339, 884 | -0.00005895677877451, 885 | 0.00041626812865681, 886 | 0.00001314404674294, 887 | -0.00000899534353062, 888 | -0.00005786411813939, 889 | 0.00041876993434996, 890 | 0.00001232386603278, 891 | -0.00000861328903822, 892 | -0.00005675233264270, 893 | 0.00042120119484805, 894 | 0.00001148690341956, 895 | -0.00000805768406918, 896 | -0.00005562289663146, 897 | 0.00042356060651650, 898 | 0.00001063241680193, 899 | -0.00000736689902759, 900 | -0.00005447746727699, 901 | 0.00042584676870799, 902 | 0.00000975946352639, 903 | -0.00000660473630251, 904 | -0.00005331776457455, 905 | 0.00042805826265524, 906 | 0.00000886708108577, 907 | -0.00000585587663804, 908 | -0.00005214544000071, 909 | 0.00043019373602544, 910 | 0.00000795447041329, 911 | -0.00000521817482993, 912 | -0.00005096195370194, 913 | 0.00043225198087245, 914 | 0.00000702115415376, 915 | -0.00000479244350000, 916 | -0.00004976847899518, 917 | 0.00043423199377072, 918 | 0.00000606708645446, 919 | -0.00000467072607220, 920 | -0.00004856584899134, 921 | 0.00043613300961938, 922 | 0.00000509269844841, 923 | -0.00000492432606364, 924 | -0.00004735455388159, 925 | 0.00043795450455349, 926 | 0.00000409887350652, 927 | -0.00000559299060201, 928 | -0.00004613478978548, 929 | 0.00043969616799553, 930 | 0.00000308685698880, 931 | -0.00000667661740601, 932 | -0.00004490655220092, 933 | 0.00044135784843243, 934 | 0.00000205811501618, 935 | -0.00000813066027735, 936 | -0.00004366976021434, 937 | 0.00044293948133184, 938 | 0.00000101416425189, 939 | -0.00000986606226522, 940 | -0.00004242439278574, 941 | 0.00044444101014755, 942 | -0.00000004360126586, 943 | -0.00001175408095412, 944 | -0.00004117061637768, 945 | 0.00044586231223857, 946 | -0.00000111406012259, 947 | -0.00001363583556689, 948 | -0.00003990888429320, 949 | 0.00044720314062204, 950 | -0.00000219646809812, 951 | -0.00001533586018271, 952 | -0.00003863999219067, 953 | 0.00044846308994757, 954 | -0.00000329050220529, 955 | -0.00001667845465846, 956 | -0.00003736508076250, 957 | 0.00044964159131781, 958 | -0.00000439623842546, 959 | -0.00001750524456229, 960 | -0.00003608558453056, 961 | 0.00045073793616410, 962 | -0.00000551406744261, 963 | -0.00001769214248318, 964 | -0.00003480313392590, 965 | 0.00045175132499306, 966 | -0.00000664456196616, 967 | -0.00001716387732341, 968 | -0.00003351942503323, 969 | 0.00045268093311413, 970 | -0.00000778831634712, 971 | -0.00001590443607660, 972 | -0.00003223607648051, 973 | 0.00045352598299673, 974 | -0.00000894578309148, 975 | -0.00001396213131901, 976 | -0.00003095449513874, 977 | 0.00045428581205191, 978 | -0.00001011713101343, 979 | -0.00001144853192843, 980 | -0.00002967577120061, 981 | 0.00045495992550313, 982 | -0.00001130214617864, 983 | -0.00000853112020901, 984 | -0.00002840061895895, 985 | 0.00045554802645622, 986 | -0.00001250019005430, 987 | -0.00000542019841107, 988 | -0.00002712937281780, 989 | 0.00045605001891235, 990 | -0.00001371022046327, 991 | -0.00000235118884321, 992 | -0.00002586203974845, 993 | 0.00045646598372188, 994 | -0.00001493087139075, 995 | 0.00000043601555387, 996 | -0.00002459840079937, 997 | 0.00045679613169320, 998 | -0.00001616057886127, 999 | 0.00000271864814121, 1000 | -0.00002333814669356, 1001 | 0.00045704074159789, 1002 | -0.00001739773331376, 1003 | 0.00000431147437849, 1004 | -0.00002208102716667, 1005 | 0.00045720009311171, 1006 | -0.00001864083514936, 1007 | 0.00000508395789227, 1008 | -0.00002082699136117, 1009 | 0.00045727440544995, 1010 | -0.00001988862993977, 1011 | 0.00000497244780087, 1012 | -0.00001957629768880, 1013 | 0.00045726379149040, 1014 | -0.00002114020314485, 1015 | 0.00000398614554973, 1016 | -0.00001832957597971, 1017 | 0.00045716823468376, 1018 | -0.00002239502054803, 1019 | 0.00000220624140826, 1020 | -0.00001708783181918, 1021 | 0.00045698759241939, 1022 | -0.00002365290897997, 1023 | -0.00000022169104342, 1024 | -0.00001585239168317, 1025 | 0.00045672162531220, 1026 | -0.00002491398098047; -------------------------------------------------------------------------------- /filter/4_tap_equiripple/lane11.coe: -------------------------------------------------------------------------------- 1 | radix=10; 2 | coefdata = 3 | -0.00001454836924160, 4 | 0.00045634522518961, 5 | -0.00002625767107941, 6 | -0.00000329111432202, 7 | -0.00001333087565483, 8 | 0.00045590239440705, 9 | -0.00002752621162977, 10 | -0.00000639920933503, 11 | -0.00001212445737421, 12 | 0.00045537349416539, 13 | -0.00002879869295352, 14 | -0.00000947415826255, 15 | -0.00001093039090876, 16 | 0.00045475849479086, 17 | -0.00003007501458984, 18 | -0.00001228627190916, 19 | -0.00000974959828232, 20 | 0.00045405755370420, 21 | -0.00003135472647102, 22 | -0.00001463691565401, 23 | -0.00000858258463094, 24 | 0.00045327103127328, 25 | -0.00003263699370717, 26 | -0.00001637492656835, 27 | -0.00000742943689824, 28 | 0.00045239947913927, 29 | -0.00003392061837439, 30 | -0.00001740800508886, 31 | -0.00000628988460590, 32 | 0.00045144360237528, 33 | -0.00003520411440149, 34 | -0.00001770812265939, 35 | -0.00000516341420012, 36 | 0.00045040420096556, 37 | -0.00003648582368292, 38 | -0.00001731062783675, 39 | -0.00000404942017145, 40 | 0.00044928209940913, 41 | -0.00003776405545898, 42 | -0.00001630739021349, 43 | -0.00000294737029988, 44 | 0.00044807807523669, 45 | -0.00003903722769737, 46 | -0.00001483492224059, 47 | -0.00000185695991191, 48 | 0.00044679279756383, 49 | -0.00004030398914896, 50 | -0.00001305889973492, 51 | -0.00000077823137498, 52 | 0.00044542678542299, 53 | -0.00004156330390781, 54 | -0.00001115681372752, 55 | 0.00000028835995726, 56 | 0.00044398039271674, 57 | -0.00004281448616978, 58 | -0.00000930060083677, 59 | 0.00000134194420985, 60 | 0.00044245382264756, 61 | -0.00004405718053913, 62 | -0.00000764101103153, 63 | 0.00000238130102869, 64 | 0.00044084717000510, 65 | -0.00004529129150555, 66 | -0.00000629519795798, 67 | 0.00000340498036124, 68 | 0.00043916048541037, 69 | -0.00004651687334889, 70 | -0.00000533859568995, 71 | 0.00000441146234145, 72 | 0.00043739385218252, 73 | -0.00004773399758154, 74 | -0.00000480162985277, 75 | 0.00000539933241850, 76 | 0.00043554746443811, 77 | -0.00004894261824501, 78 | -0.00000467126223811, 79 | 0.00000636744519904, 80 | 0.00043362169468102, 81 | -0.00005014245548261, 82 | -0.00000489684915341, 83 | 0.00000731505181506, 84 | 0.00043161714055957, 85 | -0.00005133291483255, 86 | -0.00000539936159226, 87 | 0.00000824187083726, 88 | 0.00042953464345838, 89 | -0.00005251305410584, 90 | -0.00000608271415309, 91 | 0.00000914809108554, 92 | 0.00042737527571505, 93 | -0.00005368160240334, 94 | -0.00000684580662427, 95 | 0.00001003430491816, 96 | 0.00042514029789332, 97 | -0.00005483702790461, 98 | -0.00000759390487359, 99 | 0.00001090138117417, 100 | 0.00042283109201018, 101 | -0.00005597764373256, 102 | -0.00000824816410721, 103 | 0.00001175029628966, 104 | 0.00042044908023091, 105 | -0.00005710173555978, 106 | -0.00000875239839077, 107 | 0.00001258194876219, 108 | 0.00041799564076664, 109 | -0.00005820769151010, 110 | -0.00000907658323887, 111 | 0.00001339698504538, 112 | 0.00041547203319247, 113 | -0.00005929411476381, 114 | -0.00000921699341647, 115 | 0.00001419566361901, 116 | 0.00041287934407130, 117 | -0.00006035990209107, 118 | -0.00000919327513540, 119 | 0.00001497777854026, 120 | 0.00041021846081073, 121 | -0.00006140427685708, 122 | -0.00000904308492051, 123 | 0.00001574265500906, 124 | 0.00040749007753611, 125 | -0.00006242677203854, 126 | -0.00000881516121552, 127 | 0.00001648921866474, 128 | 0.00040469473204817, 129 | -0.00006342716638933, 130 | -0.00000856180797648, 131 | 0.00001721612909146, 132 | 0.00040183286835267, 133 | -0.00006440538394120, 134 | -0.00000833175659561, 135 | 0.00001792195805712, 136 | 0.00039890491548672, 137 | -0.00006536137246458, 138 | -0.00000816424369536, 139 | 0.00001860538588121, 140 | 0.00039591137098574, 141 | -0.00006629497953930, 142 | -0.00000808492133960, 143 | 0.00001926538615436, 144 | 0.00039285287669102, 145 | -0.00006720584506277, 146 | -0.00000810393657047, 147 | 0.00001990137035922, 148 | 0.00038973027578711, 149 | -0.00006809332635519, 150 | -0.00000821621755703, 151 | 0.00002051326963611, 152 | 0.00038654464279972, 153 | -0.00006895646693759, 154 | -0.00000840372270317, 155 | 0.00002110154019564, 156 | 0.00038329728235033, 157 | -0.00006979401335132, 158 | -0.00000863918065134, 159 | 0.00002166709034599, 160 | 0.00037998969714112, 161 | -0.00007060447708474, 162 | -0.00000889069828098, 163 | 0.00002221113905827, 164 | 0.00037662353022875, 165 | -0.00007138623188331, 166 | -0.00000912655414789, 167 | 0.00002273502662232, 168 | 0.00037320049044944, 169 | -0.00007213763146396, 170 | -0.00000931952747837, 171 | 0.00002324000560234, 172 | 0.00036972227230979, 173 | -0.00007285712971267, 174 | -0.00000945022707889, 175 | 0.00002372704377075, 176 | 0.00036619048239235, 177 | -0.00007354338523788, 178 | -0.00000950905982946, 179 | 0.00002419666938171, 180 | 0.00036260658323695, 181 | -0.00007419533468813, 182 | -0.00000949668772723, 183 | 0.00002464888316675, 184 | 0.00035897186291719, 185 | -0.00007481222411059, 186 | -0.00000942303601879, 187 | 0.00002508315163055, 188 | 0.00035528743455857, 189 | -0.00007539359407139, 190 | -0.00000930510445566, 191 | 0.00002549848402895, 192 | 0.00035155426544420, 193 | -0.00007593922129059, 194 | -0.00000916397577293, 195 | 0.00002589358264802, 196 | 0.00034777323082905, 197 | -0.00007644902609566, 198 | -0.00000902149451889, 199 | 0.00002626704461038, 200 | 0.00034394518381991, 201 | -0.00007692296008296, 202 | -0.00000889709909234, 203 | 0.00002661758517775, 204 | 0.00034007103025004, 205 | -0.00007736089123972, 206 | -0.00000880523362200, 207 | 0.00002694424871029, 208 | 0.00033615179675061, 209 | -0.00007776250400846, 210 | -0.00000875365609305, 211 | 0.00002724657474123, 212 | 0.00033218868130624, 213 | -0.00007812722935857, 214 | -0.00000874281332638, 215 | 0.00002752469291963, 216 | 0.00032818307831069, 217 | -0.00007845421525554, 218 | -0.00000876629412834, 219 | 0.00002777933098995, 220 | 0.00032413657409423, 221 | -0.00007874234171299, 222 | -0.00000881222169904, 223 | 0.00002801173299506, 224 | 0.00032005091346990, 225 | -0.00007899027782774, 226 | -0.00000886532516107, 227 | 0.00002822349856823, 228 | 0.00031592794234279, 229 | -0.00007919657186425, 230 | -0.00000890935264456, 231 | 0.00002841636644064, 232 | 0.00031176953515493, 233 | -0.00007935976052584, 234 | -0.00000892946267098, 235 | 0.00002859197423800, 236 | 0.00030757751832503, 237 | -0.00007947848075991, 238 | -0.00000891425699807, 239 | 0.00002875163084646, 240 | 0.00030335360152039, 241 | -0.00007955156719600, 242 | -0.00000885718981781, 243 | 0.00002889613636680, 244 | 0.00029909932745663, 245 | -0.00007957812062717, 246 | -0.00000875719258801, 247 | 0.00002902567804060, 248 | 0.00029481604813165, 249 | -0.00007955753744438, 250 | -0.00000861847438369, 251 | 0.00002913981943753, 252 | 0.00029050493138183, 253 | -0.00007948949592386, 254 | -0.00000844957671918, 255 | 0.00002923758625234, 256 | 0.00028616699701367, 257 | -0.00007937390182990, 258 | -0.00000826186276259, 259 | 0.00002931763734858, 260 | 0.00028180317721710, 261 | -0.00007921080193396, 262 | -0.00000806769062199, 263 | 0.00002937849643665, 264 | 0.00027741439220393, 265 | -0.00007900027884018, 266 | -0.00000787855088074, 267 | 0.00002941881003670, 268 | 0.00027300162961375, 269 | -0.00007874234323391, 270 | -0.00000770343767445, 271 | 0.00002943759270472, 272 | 0.00026856601556278, 273 | -0.00007843683993819, 274 | -0.00000754767412792, 275 | 0.00002943442170283, 276 | 0.00026410886638794, 277 | -0.00007808338195061, 278 | -0.00000741233577495, 279 | 0.00002940955030009, 280 | 0.00025963171298855, 281 | -0.00007768132229482, 282 | -0.00000729432212035, 283 | 0.00002936392074011, 284 | 0.00025513629376476, 285 | -0.00007722976772830, 286 | -0.00000718703087202, 287 | 0.00002929907288965, 288 | 0.00025062451687801, 289 | -0.00007672763198815, 290 | -0.00000708150526350, 291 | 0.00002921696046316, 292 | 0.00024609839719881, 293 | -0.00007617372029564, 294 | -0.00000696786367369, 295 | 0.00002911970109286, 296 | 0.00024155997715591, 297 | -0.00007556683217558, 298 | -0.00000683678999160, 299 | 0.00002900929715871, 300 | 0.00023701124317092, 301 | -0.00007490586697457, 302 | -0.00000668086573413, 303 | 0.00002888736950815, 304 | 0.00023245405007345, 305 | -0.00007418991617033, 306 | -0.00000649555879304, 307 | 0.00002875494507567, 308 | 0.00022789006473030, 309 | -0.00007341832868066, 310 | -0.00000627974249116, 311 | 0.00002861233200232, 312 | 0.00022332073725651, 313 | -0.00007259073956495, 314 | -0.00000603569274112, 315 | 0.00002845910315785, 316 | 0.00021874730403660, 317 | -0.00007170705811778, 318 | -0.00000576858916536, 319 | 0.00002829419281552, 320 | 0.00021417082199395, 321 | -0.00007076741752226, 322 | -0.00000548561665671, 323 | 0.00002811609401672, 324 | 0.00020959222882945, 325 | -0.00006977209402611, 326 | -0.00000519481722938, 327 | 0.00002792312849636, 328 | 0.00020501242002348, 329 | -0.00006872140815046, 330 | -0.00000490387124325, 331 | 0.00002771374934463, 332 | 0.00020043233085499, 333 | -0.00006761562306264, 334 | -0.00000461898906562, 335 | 0.00002748683072562, 336 | 0.00019585301092708, 337 | -0.00006645485555782, 338 | -0.00000434406990551, 339 | 0.00002724189997548, 340 | 0.00019127567982065, 341 | -0.00006523901306881, 342 | -0.00000408023859894, 343 | 0.00002697927525536, 344 | 0.00018670175535894, 345 | -0.00006396776608285, 346 | -0.00000382581113399, 347 | 0.00002670008558401, 348 | 0.00018213285012512, 349 | -0.00006264055991459, 350 | -0.00000357667497089, 351 | 0.00002640616756337, 352 | 0.00017757073670474, 353 | -0.00006125666379665, 354 | -0.00000332701031446, 355 | 0.00002609985184908, 356 | 0.00017301728689132, 357 | -0.00005981524961351, 358 | -0.00000307023190897, 359 | 0.00002578366957430, 360 | 0.00016847439407210, 361 | -0.00005831548817174, 362 | -0.00000280000384783, 363 | 0.00002546002185328, 364 | 0.00016394389059485, 365 | -0.00005675664833055, 366 | -0.00000251117544038, 367 | 0.00002513086210530, 368 | 0.00015942747270848, 369 | -0.00005513818398921, 370 | -0.00000220050407022, 371 | 0.00002479744009970, 372 | 0.00015492664454451, 373 | -0.00005345979587421, 374 | -0.00000186706769982, 375 | 0.00002446014828775, 376 | 0.00015044268972927, 377 | -0.00005172145897956, 378 | -0.00000151231911946, 379 | 0.00002411849626275, 380 | 0.00014597667502077, 381 | -0.00004992341178306, 382 | -0.00000113978849484, 383 | 0.00002377122017648, 384 | 0.00014152948548442, 385 | -0.00004806610918171, 386 | -0.00000075449210002, 387 | 0.00002341651344440, 388 | 0.00013710188589911, 389 | -0.00004615014657693, 390 | -0.00000036214596306, 391 | 0.00002305234618878, 392 | 0.00013269459905554, 393 | -0.00004417616686400, 394 | 0.00000003169205387, 395 | 0.00002267682651939, 396 | 0.00012830838898738, 397 | -0.00004214476459481, 398 | 0.00000042242247890, 399 | 0.00002228854923381, 400 | 0.00012394413636888, 401 | -0.00004005640191789, 402 | 0.00000080703093037, 403 | 0.00002188687814267, 404 | 0.00011960289444880, 405 | -0.00003791134901805, 406 | 0.00000118445538187, 407 | 0.00002147211708989, 408 | 0.00011528591680532, 409 | -0.00003570965797931, 410 | 0.00000155564949544, 411 | 0.00002104554068641, 412 | 0.00011099465246165, 413 | -0.00003345117386562, 414 | 0.00000192334394559, 415 | 0.00002060927654688, 416 | 0.00010673070885612, 417 | -0.00003113558114005, 418 | 0.00000229155209713, 419 | 0.00002016605335573, 420 | 0.00010249578806046, 421 | -0.00002876247818699, 422 | 0.00000266490241493, 423 | 0.00001971884999553, 424 | 0.00009829160573990, 425 | -0.00002633146847368, 426 | 0.00000304790259058, 427 | 0.00001927049701281, 428 | 0.00009411980502070, 429 | -0.00002384225442054, 430 | 0.00000344424672339, 431 | 0.00001882329030278, 432 | 0.00008998187826127, 433 | -0.00002129471970874, 434 | 0.00000385626662713, 435 | 0.00001837867656310, 436 | 0.00008587910857817, 437 | -0.00001868898757696, 438 | 0.00000428460353359, 439 | 0.00001793706062102, 440 | 0.00008181254002370, 441 | -0.00001602544636022, 442 | 0.00000472814127367, 443 | 0.00001749776738547, 444 | 0.00007778298098904, 445 | -0.00001330473852991, 446 | 0.00000518420196661, 447 | 0.00001705916836195, 448 | 0.00007379104036880, 449 | -0.00001052771504171, 450 | 0.00000564896639426, 451 | 0.00001661895773292, 452 | 0.00006983719103337, 453 | -0.00000769536204271, 454 | 0.00000611804926263, 455 | 0.00001617453970141, 456 | 0.00006592185097407, 457 | -0.00000480871113948, 458 | 0.00000658713893795, 459 | 0.00001572347072183, 460 | 0.00006204546975452, 461 | -0.00000186874686162, 462 | 0.00000705260465779, 463 | 0.00001526389029745, 464 | 0.00005820860704115, 465 | 0.00000112367468779, 466 | 0.00000751198217404, 467 | 0.00001479487394729, 468 | 0.00005441199113751, 469 | 0.00000416788376611, 470 | 0.00000796426964586, 471 | 0.00001431665201458, 472 | 0.00005065654844397, 473 | 0.00000726342288417, 474 | 0.00000840999587685, 475 | 0.00001383065694684, 476 | 0.00004694339915982, 477 | 0.00001041003692280, 478 | 0.00000885105791875, 479 | 0.00001333938687631, 480 | 0.00004327381967502, 481 | 0.00001360762916569, 482 | 0.00000929035934019, 483 | 0.00001284610109675, 484 | 0.00003964917718729, 485 | 0.00001685619008509, 486 | 0.00000973130904268, 487 | 0.00001235438920498, 488 | 0.00003607084635011, 489 | 0.00002015570979773, 490 | 0.00001017725934779, 491 | 0.00001186767621435, 492 | 0.00003254012055568, 493 | 0.00002350608751618, 494 | 0.00001063096869232, 495 | 0.00001138873755775, 496 | 0.00002905813134858, 497 | 0.00002690705169865, 498 | 0.00001109416804258, 499 | 0.00001091929855406, 500 | 0.00002562578830644, 501 | 0.00003035810290318, 502 | 0.00001156729238034, 503 | 0.00001045978219433, 504 | 0.00002224374867806, 505 | 0.00003385848784736, 506 | 0.00001204941229078, 507 | 0.00001000924831644, 508 | 0.00001891242159189, 509 | 0.00003740720840311, 510 | 0.00001253836994402, 511 | 0.00000956553925287, 512 | 0.00001563200641159, 513 | 0.00004100306394642, 514 | 0.00001303109328573, 515 | 0.00000912561590881, 516 | 0.00001240255961483, 517 | 0.00004464472044129, 518 | 0.00001352403654424, 519 | 0.00000868603861035, 520 | 0.00000922408019705, 521 | 0.00004833079562724, 522 | 0.00001401367789157, 523 | 0.00000824352351613, 524 | 0.00000609660072522, 525 | 0.00005205994730281, 526 | 0.00001449699858295, 527 | 0.00000779549168472, 528 | 0.00000302027023237, 529 | 0.00005583095130416, 530 | 0.00001497187280327, 531 | 0.00000734052642649, 532 | -0.00000000458369441, 533 | 0.00005964275741840, 534 | 0.00001543731272367, 535 | 0.00000687866594312, 536 | -0.00000297742318768, 537 | 0.00006349451488591, 538 | 0.00001589353636082, 539 | 0.00000641148113807, 540 | -0.00000589750372794, 541 | 0.00006738556380870, 542 | 0.00001634185309619, 543 | 0.00000594191974224, 544 | -0.00000876391277252, 545 | 0.00007131539397912, 546 | 0.00001678438899151, 547 | 0.00000547393304151, 548 | -0.00001157563770959, 549 | 0.00007528357758585, 550 | 0.00001722369727973, 551 | 0.00000501193528627, 552 | -0.00001433165297497, 553 | 0.00007928968619275, 554 | 0.00001766231527775, 555 | 0.00000456017311343, 556 | -0.00001703101320462, 557 | 0.00008333320472968, 558 | 0.00001810233529705, 559 | 0.00000412209865293, 560 | -0.00001967293831202, 561 | 0.00008741345563447, 562 | 0.00001854505323620, 563 | 0.00000369984257307, 564 | -0.00002225687753755, 565 | 0.00009152954469080, 566 | 0.00001899074527192, 567 | 0.00000329387129258, 568 | -0.00002478254265191, 569 | 0.00009568033676787, 570 | 0.00001943860264086, 571 | 0.00000290288729144, 572 | -0.00002724990514053, 573 | 0.00009986446510029, 574 | 0.00001988683014582, 575 | 0.00000252399629262, 576 | -0.00002965915765297, 577 | 0.00010408037264607, 578 | 0.00002033288945064, 579 | 0.00000215312507939, 580 | -0.00003201064544268, 581 | 0.00010832637920555, 582 | 0.00002077384711003, 583 | 0.00000178563478341, 584 | -0.00003430477812137, 585 | 0.00011260076410699, 586 | 0.00002120677266658, 587 | 0.00000141704259408, 588 | -0.00003654193510196, 589 | 0.00011690185195083, 590 | 0.00002162912605178, 591 | 0.00000104374510230, 592 | -0.00003872237913283, 593 | 0.00012122808849692, 594 | 0.00002203907664276, 595 | 0.00000066363233986, 596 | -0.00004084619116502, 597 | 0.00012557809533209, 598 | 0.00002243570796284, 599 | 0.00000027649420304, 600 | -0.00004291323660797, 601 | 0.00012995069522442, 602 | 0.00002281908022684, 603 | -0.00000011585095677, 604 | -0.00004492316829207, 605 | 0.00013434490455280, 606 | 0.00002319014484918, 607 | -0.00000050973593770, 608 | -0.00004687546588024, 609 | 0.00013875989421102, 610 | 0.00002355052729840, 611 | -0.00000090011686030, 612 | -0.00004876950590170, 613 | 0.00014319492516220, 614 | 0.00002390221396774, 615 | -0.00000128127692519, 616 | -0.00005060465186678, 617 | 0.00014764926863778, 618 | 0.00002424719223510, 619 | -0.00000164766296784, 620 | -0.00005238035078708, 621 | 0.00015212212326109, 622 | 0.00002458709872886, 623 | -0.00000199473181133, 624 | -0.00005409622135741, 625 | 0.00015661254178640, 626 | 0.00002492292830705, 627 | -0.00000231967700388, 628 | -0.00005575212023085, 629 | 0.00016111937862611, 630 | 0.00002525484596451, 631 | -0.00000262191958431, 632 | -0.00005734817607140, 633 | 0.00016564126612919, 634 | 0.00002558212752145, 635 | -0.00000290327783156, 636 | -0.00005888478591684, 637 | 0.00017017662316533, 638 | 0.00002590323509652, 639 | -0.00000316777649794, 640 | -0.00006036257410000, 641 | 0.00017472369463676, 642 | 0.00002621601307095, 643 | -0.00000342110945878, 644 | -0.00006178231969246, 645 | 0.00017928061583260, 646 | 0.00002651797254641, 647 | -0.00000366982324536, 648 | -0.00006314486328123, 649 | 0.00018384549177399, 650 | 0.00002680661977263, 651 | -0.00000392033444194, 652 | -0.00006445100711932, 653 | 0.00018841647944016, 654 | 0.00002707977842806, 655 | -0.00000417792418214, 656 | -0.00006570142380330, 657 | 0.00019299186035553, 658 | 0.00002733585765825, 659 | -0.00000444586274166, 660 | -0.00006689658743999, 661 | 0.00019757009251162, 662 | 0.00002757402694497, 663 | -0.00000472480413819, 664 | -0.00006803673793538, 665 | 0.00020214983376426, 666 | 0.00002779427366865, 667 | -0.00000501255571255, 668 | -0.00006912188406467, 669 | 0.00020672993320031, 670 | 0.00002799733730621, 671 | -0.00000530427521552, 672 | -0.00007015184510904, 673 | 0.00021130939184637, 674 | 0.00002818453280464, 675 | -0.00000559308513231, 676 | -0.00007112632496040, 677 | 0.00021588729875239, 678 | 0.00002835749199596, 679 | -0.00000587102988375, 680 | -0.00007204500759841, 681 | 0.00022046275222110, 682 | 0.00002851786358106, 683 | -0.00000613024578864, 684 | -0.00007290765949954, 685 | 0.00022503477820391, 686 | 0.00002866701756206, 687 | -0.00000636417506859, 688 | -0.00007371422337094, 689 | 0.00022960225830923, 690 | 0.00002880579837704, 691 | -0.00000656864035276, 692 | -0.00007446488880335, 693 | 0.00023416387840953, 694 | 0.00002893436277589, 695 | -0.00000674260844755, 696 | -0.00007516012884324, 697 | 0.00023871810571469, 698 | 0.00002905212503511, 699 | -0.00000688851100061, 700 | -0.00007580069659252, 701 | 0.00024326319787706, 702 | 0.00002915781556063, 703 | -0.00000701205051610, 704 | -0.00007638758198735, 705 | 0.00024779724286283, 706 | 0.00002924964180994, 707 | -0.00000712149485791, 708 | -0.00007692193497461, 709 | 0.00025231822369597, 710 | 0.00002932552535039, 711 | -0.00000722654133177, 712 | -0.00007740496646908, 713 | 0.00025682409845472, 714 | 0.00002938337798134, 715 | -0.00000733690108377, 716 | -0.00007783784194484, 717 | 0.00026131288363693, 718 | 0.00002942137472905, 719 | -0.00000746080498883, 720 | -0.00007822158374795, 721 | 0.00026578272854598, 722 | 0.00002943818282680, 723 | -0.00000760365486152, 724 | -0.00007855699701017, 725 | 0.00027023196974857, 726 | 0.00002943311319775, 727 | -0.00000776703386561, 728 | -0.00007884463056021, 729 | 0.00027465915769984, 730 | 0.00002940617323559, 731 | -0.00000794824721932, 732 | -0.00007908477898334, 733 | 0.00027906305185409, 734 | 0.00002935801489607, 735 | -0.00000814049337815, 736 | -0.00007927752575738, 737 | 0.00028344258533752, 738 | 0.00002928978793482, 739 | -0.00000833367595279, 740 | -0.00007942282113381, 741 | 0.00028779680483016, 742 | 0.00002920292217195, 743 | -0.00000851577013028, 744 | -0.00007952058309075, 745 | 0.00029212479499749, 746 | 0.00002909887286160, 747 | -0.00000867456839450, 748 | -0.00007957080607927, 749 | 0.00029642559907189, 750 | 0.00002897886814036, 751 | -0.00000879956261184, 752 | -0.00007957366098127, 753 | 0.00030069814767484, 754 | 0.00002884369648741, 755 | -0.00000888368437575, 756 | -0.00007952957090976, 757 | 0.00030494120662554, 758 | 0.00002869356541867, 759 | -0.00000892463000107, 760 | -0.00007943925104802, 761 | 0.00030915335151368, 762 | 0.00002852805136823, 763 | -0.00000892554235502, 764 | -0.00007930370611723, 765 | 0.00031333297268111, 766 | 0.00002834614665482, 767 | -0.00000889490441707, 768 | -0.00007912418547446, 769 | 0.00031747830959261, 770 | 0.00002814639474873, 771 | -0.00000884560898102, 772 | -0.00007890210230746, 773 | 0.00032158750909265, 774 | 0.00002792709195612, 775 | -0.00000879329061238, 776 | -0.00007863892892169, 777 | 0.00032565869842422, 778 | 0.00002768652406021, 779 | -0.00000875412256534, 780 | -0.00007833608386072, 781 | 0.00032969006167774, 782 | 0.00002742320177405, 783 | -0.00000874237527933, 784 | -0.00007799482797536, 785 | 0.00033367990787367, 786 | 0.00002713605968333, 787 | -0.00000876808902081, 788 | -0.00007761618533261, 789 | 0.00033762672022864, 790 | 0.00002682458946855, 791 | -0.00000883522054458, 792 | -0.00007720090119605, 793 | 0.00034152917910088, 794 | 0.00002648888858389, 795 | -0.00000894057810304, 796 | -0.00007674944375292, 797 | 0.00034538615520235, 798 | 0.00002612961860176, 799 | -0.00000907376395595, 800 | -0.00007626204964101, 801 | 0.00034919667427554, 802 | 0.00002574788110585, 803 | -0.00000921820937340, 804 | -0.00007573880664066, 805 | 0.00035295985885523, 806 | 0.00002534503126856, 807 | -0.00000935323095813, 808 | -0.00007517976116402, 809 | 0.00035667485629333, 810 | 0.00002492245826602, 811 | -0.00000945688019668, 812 | -0.00007458503427656, 813 | 0.00036034076437402, 814 | 0.00002448136616767, 815 | -0.00000950922322810, 816 | -0.00007395492853659, 817 | 0.00036395656625706, 818 | 0.00002402258829080, 819 | -0.00000949559597440, 820 | -0.00007329000917880, 821 | 0.00036752108509467, 822 | 0.00002354646241815, 823 | -0.00000940934731204, 824 | -0.00007259114693327, 825 | 0.00037103296568254, 826 | 0.00002305278465963, 827 | -0.00000925361879473, 828 | -0.00007185951551012, 829 | 0.00037449068638132, 830 | 0.00002254084761081, 831 | -0.00000904181335966, 832 | -0.00007109654363499, 833 | 0.00037789259990739, 834 | 0.00002200955568241, 835 | -0.00000879656759470, 836 | -0.00007030382845627, 837 | 0.00038123699714771, 838 | 0.00002145759897777, 839 | -0.00000854724374441, 840 | -0.00006948302310484, 841 | 0.00038452218457925, 842 | 0.00002088365858596, 843 | -0.00000832617308321, 844 | -0.00006863571525282, 845 | 0.00038774656371528, 846 | 0.00002028661186592, 847 | -0.00000816408226505, 848 | -0.00006776331505149, 849 | 0.00039090870059106, 850 | 0.00001966570680036, 851 | -0.00000808528956958, 852 | -0.00006686696957310, 853 | 0.00039400737470697, 854 | 0.00001902067964398, 855 | -0.00000810334355960, 856 | -0.00006594751700087, 857 | 0.00039704159986170, 858 | 0.00001835179903277, 859 | -0.00000821777536406, 860 | -0.00006500548787366, 861 | 0.00040001061348024, 862 | 0.00001765983105544, 863 | -0.00000841254114749, 864 | -0.00006404115358183, 865 | 0.00040291383574482, 866 | 0.00001694593177363, 867 | -0.00000865654914952, 868 | -0.00006305461510196, 869 | 0.00040575080436490, 870 | 0.00001621148450250, 871 | -0.00000890641408875, 872 | -0.00006204591874872, 873 | 0.00040852109449224, 874 | 0.00001545790721792, 875 | -0.00000911128945713, 876 | -0.00006101518146877, 877 | 0.00041122423554713, 878 | 0.00001468645957230, 879 | -0.00000921933146013, 880 | -0.00005996270657267, 881 | 0.00041385963722989, 882 | 0.00001389807861911, 883 | -0.00000918508634535, 884 | -0.00005888907206945, 885 | 0.00041642653567081, 886 | 0.00001309326759087, 887 | -0.00000897690294311, 888 | -0.00005779517777205, 889 | 0.00041892396771818, 890 | 0.00001227205372909, 891 | -0.00000858338478262, 892 | -0.00005668224349475, 893 | 0.00042135077721988, 894 | 0.00001143402053322, 895 | -0.00000801793014292, 896 | -0.00005555175805142, 897 | 0.00042370565243927, 898 | 0.00001057840851481, 899 | -0.00000732056831611, 900 | -0.00005440538627039, 901 | 0.00042598718916527, 902 | 0.00000970426831543, 903 | -0.00000655657470425, 904 | -0.00005324484772462, 905 | 0.00042819397031278, 906 | 0.00000881064239843, 907 | -0.00000581170923853, 908 | -0.00005207178533606, 909 | 0.00043032465042197, 910 | 0.00000789674756502, 911 | -0.00000518433225895, 912 | -0.00005088764374854, 913 | 0.00043237803280508, 914 | 0.00000696213081893, 915 | -0.00000477506119722, 916 | -0.00004969357608220, 917 | 0.00043435312824828, 918 | 0.00000600677550753, 919 | -0.00000467498909633, 920 | -0.00004849039354787, 921 | 0.00043624918697280, 922 | 0.00000503114247903, 923 | -0.00000495374455005, 924 | -0.00004727856601261, 925 | 0.00043806569956762, 926 | 0.00000403614099304, 927 | -0.00000564879401378, 928 | -0.00004605827391805, 929 | 0.00043980236721969, 930 | 0.00000302303476823, 931 | -0.00000675734839293, 932 | -0.00004482950412089, 933 | 0.00044145904609441, 934 | 0.00000199329823709, 935 | -0.00000823203153416, 936 | -0.00004359217544159, 937 | 0.00044303567448124, 938 | 0.00000094844535874, 939 | -0.00000998111378200, 940 | -0.00004234627502041, 941 | 0.00044453219376023, 942 | -0.00000011014284447, 943 | -0.00001187364310568, 944 | -0.00004109198472523, 945 | 0.00044594847500956, 946 | -0.00000118136603901, 947 | -0.00001374926906015, 948 | -0.00003982977814835, 949 | 0.00044728426206038, 950 | -0.00000226450572135, 951 | -0.00001543201088949, 952 | -0.00003856047300546, 953 | 0.00044853913918251, 954 | -0.00000335926517779, 955 | -0.00001674673372362, 956 | -0.00003728523039071, 957 | 0.00044971252776190, 958 | -0.00000446574311547, 959 | -0.00001753672533895, 960 | -0.00003600550035999, 961 | 0.00045080371189655, 962 | -0.00000558434588525, 963 | -0.00001768055835453, 964 | -0.00003472292150281, 965 | 0.00045181188846832, 966 | -0.00000671565240794, 967 | -0.00001710640963768, 968 | -0.00003343918927412, 969 | 0.00045273623360554, 970 | -0.00000786025285491, 971 | -0.00001580219913400, 972 | -0.00003215591279441, 973 | 0.00045357597508206, 974 | -0.00000901858580823, 975 | -0.00001382029003737, 976 | -0.00003087448181212, 977 | 0.00045433045945172, 978 | -0.00001019079852453, 979 | -0.00001127602459419, 980 | -0.00002959596421983, 981 | 0.00045499920369249, 982 | -0.00001137665111492, 983 | -0.00000833999970223, 984 | -0.00002832105008644, 985 | 0.00045558192366773, 986 | -0.00001257547855474, 987 | -0.00000522464600674, 988 | -0.00002705005125121, 989 | 0.00045607853540267, 990 | -0.00001378621552997, 991 | -0.00000216629059604, 992 | -0.00002578295714859, 993 | 0.00045648912944564, 994 | -0.00001500747958092, 995 | 0.00000059561282520, 996 | -0.00002451953895607, 997 | 0.00045681392277521, 998 | -0.00001623769926468, 999 | 0.00000284006929546, 1000 | -0.00002325948668730, 1001 | 0.00045705319617873, 1002 | -0.00001747526743209, 1003 | 0.00000438480377677, 1004 | -0.00002200255864202, 1005 | 0.00045720722723579, 1006 | -0.00001871869617563, 1007 | 0.00000510316269231, 1008 | -0.00002074872049447, 1009 | 0.00045727622965326, 1010 | -0.00001996675004482, 1011 | 0.00000493594284066, 1012 | -0.00001949825261532, 1013 | 0.00045726030863173, 1014 | -0.00002121853769612, 1015 | 0.00000389694213716, 1016 | -0.00001825180881867, 1017 | 0.00045715943936389, 1018 | -0.00002247354866190, 1019 | 0.00000207166479443, 1020 | -0.00001701041694439, 1021 | 0.00045697347208058, 1022 | -0.00002373163036985, 1023 | -0.00000039068661264, 1024 | -0.00001577542045655, 1025 | 0.00045670216284334, 1026 | -0.00002499290962238; -------------------------------------------------------------------------------- /filter/4_tap_equiripple/lane12.coe: -------------------------------------------------------------------------------- 1 | radix=10; 2 | coefdata = 3 | -0.00001447197933540, 4 | 0.00045632006707370, 5 | -0.00002633684149762, 6 | -0.00000348177770311, 7 | -0.00001325513530549, 8 | 0.00045587186085877, 9 | -0.00002760562575640, 10 | -0.00000659484773581, 11 | -0.00001204945481460, 12 | 0.00045533757850697, 13 | -0.00002887835332941, 14 | -0.00000965987110059, 15 | -0.00001085619508384, 16 | 0.00045471720108145, 17 | -0.00003015490397473, 18 | -0.00001244854309478, 19 | -0.00000967625330902, 20 | 0.00045401089850223, 21 | -0.00003143480401945, 22 | -0.00001476476067190, 23 | -0.00000851010786820, 24 | 0.00045321904372117, 25 | -0.00003271719465740, 26 | -0.00001646076466660, 27 | -0.00000735782096806, 28 | 0.00045234219932765, 29 | -0.00003400085725905, 30 | -0.00001744817919387, 31 | -0.00000621910310697, 32 | 0.00045138107821437, 33 | -0.00003528429125767, 34 | -0.00001770302785771, 35 | -0.00000509343028730, 36 | 0.00045033648402807, 37 | -0.00003656583229226, 38 | -0.00001726445061921, 39 | -0.00000398019672569, 40 | 0.00044920924037712, 41 | -0.00003784379235327, 42 | -0.00001622750058631, 43 | -0.00000287888015258, 44 | 0.00044800011965558, 45 | -0.00003911660056399, 46 | -0.00001473099496372, 47 | -0.00000178919455880, 48 | 0.00044670978257036, 49 | -0.00004038292337630, 50 | -0.00001294186579224, 51 | -0.00000071120682408, 52 | 0.00044533873797353, 53 | -0.00004164174630602, 54 | -0.00001103775624863, 55 | 0.00000035460113965, 56 | 0.00044388732961961, 57 | -0.00004289240533913, 58 | -0.00000918971004398, 59 | 0.00000140733502298, 60 | 0.00044235575243063, 61 | -0.00004413456387041, 62 | -0.00000754670081285, 63 | 0.00000244575606673, 64 | 0.00044074409636982, 65 | -0.00004536813930556, 66 | -0.00000622346417372, 67 | 0.00000346840488334, 68 | 0.00043905241177731, 69 | -0.00004659319101285, 70 | -0.00000529266623623, 71 | 0.00000447376304063, 72 | 0.00043728078666018, 73 | -0.00004780978701898, 74 | -0.00000478192250537, 75 | 0.00000546042826901, 76 | 0.00043542942447310, 77 | -0.00004901786986554, 78 | -0.00000467563235887, 79 | 0.00000642727672639, 80 | 0.00043349871068464, 81 | -0.00005021714194956, 82 | -0.00000492107925703, 83 | 0.00000737358735016, 84 | 0.00043148925795078, 85 | -0.00005140698751219, 86 | -0.00000543782173576, 87 | 0.00000829910875577, 88 | 0.00042940192279286, 89 | -0.00005258644272364, 90 | -0.00000612910835939, 91 | 0.00000920405763231, 92 | 0.00042723779085215, 93 | -0.00005375421792851, 94 | -0.00000689391714474, 95 | 0.00001008904788465, 96 | 0.00042499813244427, 97 | -0.00005490876819682, 98 | -0.00000763825284209, 99 | 0.00001095496033390, 100 | 0.00042268433456833, 101 | -0.00005604840107238, 102 | -0.00000828452067994, 103 | 0.00001180277200478, 104 | 0.00042029781906914, 105 | -0.00005717140490927, 106 | -0.00000877810248438, 107 | 0.00001263337047381, 108 | 0.00041783995877038, 109 | -0.00005827617825132, 110 | -0.00000909064752216, 111 | 0.00001344738140320, 112 | 0.00041531200376624, 113 | -0.00005936134075549, 114 | -0.00000922000607338, 115 | 0.00001424503578079, 116 | 0.00041271502861562, 117 | -0.00006042580914909, 118 | -0.00000918712803652, 119 | 0.00001502609771004, 120 | 0.00041004990813676, 121 | -0.00006146882716317, 122 | -0.00000903057665942, 123 | 0.00001578986465142, 124 | 0.00040731732530001, 125 | -0.00006248994545062, 126 | -0.00000879953421146, 127 | 0.00001653524112421, 128 | 0.00040451780998974, 129 | -0.00006348895509535, 130 | -0.00000854628170251, 131 | 0.00001726087566944, 132 | 0.00040165180285687, 133 | -0.00006446578529538, 134 | -0.00000831911415263, 135 | 0.00001796534105579, 136 | 0.00039871973479261, 137 | -0.00006542038111130, 138 | -0.00000815651753321, 139 | 0.00001864733080116, 140 | 0.00039572211027385, 141 | -0.00006635258002656, 142 | -0.00000808320778153, 143 | 0.00001930584218139, 144 | 0.00039265958230124, 145 | -0.00006726200606154, 146 | -0.00000810835024451, 147 | 0.00001994031750889, 148 | 0.00038953300795175, 149 | -0.00006814799734715, 150 | -0.00000822597834685, 151 | 0.00002055072141050, 152 | 0.00038634347650010, 153 | -0.00006900957785255, 154 | -0.00000841735170554, 155 | 0.00002113754127522, 156 | 0.00038309230618695, 157 | -0.00006984547718517, 158 | -0.00000865476972467, 159 | 0.00002170170959121, 160 | 0.00037978101040385, 161 | -0.00007065419507782, 162 | -0.00000890621129480, 163 | 0.00002224445881723, 164 | 0.00037641123862117, 165 | -0.00007143410045638, 166 | -0.00000914011743485, 167 | 0.00002276712992177, 168 | 0.00037298470111901, 169 | -0.00007218354985072, 170 | -0.00000932967191032, 171 | 0.00002327096314836, 172 | 0.00036950308893440, 173 | -0.00007290100713263, 174 | -0.00000945605361201, 175 | 0.00002375690274581, 176 | 0.00036596800105884, 177 | -0.00007358514653371, 178 | -0.00000951031275201, 179 | 0.00002422544578246, 180 | 0.00036238088972077, 181 | -0.00007423492359391, 182 | -0.00000949373337620, 183 | 0.00002467655891401, 184 | 0.00035874303175510, 185 | -0.00007484960368336, 186 | -0.00000941675755409, 187 | 0.00002510967697325, 188 | 0.00035505553002971, 189 | -0.00007542874425157, 190 | -0.00000929673375530, 191 | 0.00002552378496264, 192 | 0.00035131934428264, 193 | -0.00007597213399151, 194 | -0.00000915489041205, 195 | 0.00002591757229640, 196 | 0.00034753534622650, 197 | -0.00007647969859247, 198 | -0.00000901301044674, 199 | 0.00002628963689414, 200 | 0.00034370439008162, 201 | -0.00007695138772117, 202 | -0.00000889028795543, 203 | 0.00002663870871619, 204 | 0.00033982738736890, 205 | -0.00007738706057782, 206 | -0.00000880078821049, 207 | 0.00002696385882964, 208 | 0.00033590537417961, 209 | -0.00007778638743290, 210 | -0.00000875181909996, 211 | 0.00002726466171636, 212 | 0.00033193956033517, 213 | -0.00007814878197774, 214 | -0.00000874337481040, 215 | 0.00002754128511859, 216 | 0.00032793135266962, 217 | -0.00007847337452713, 218 | -0.00000876865319047, 219 | 0.00002779449234698, 220 | 0.00032388234868099, 221 | -0.00007875902984159, 222 | -0.00000881549927298, 223 | 0.00002802555509477, 224 | 0.00031979430138990, 225 | -0.00007900440654975, 226 | -0.00000886850857556, 227 | 0.00002823608845266, 228 | 0.00031566906071155, 229 | -0.00007920804887900, 230 | -0.00000891144945224, 231 | 0.00002842783192494, 232 | 0.00031150850030715, 233 | -0.00007936849658883, 234 | -0.00000892964139927, 235 | 0.00002860240893375, 236 | 0.00030731444116926, 237 | -0.00007948439636158, 238 | -0.00000891195573867, 239 | 0.00002876110117626, 240 | 0.00030308858375952, 241 | -0.00007955459782146, 242 | -0.00000885217929538, 243 | 0.00002890467259094, 244 | 0.00029883245926561, 245 | -0.00007957821981459, 246 | -0.00000874958759422, 247 | 0.00002903327074034, 248 | 0.00029454740766279, 249 | -0.00007955467719965, 250 | -0.00000860869513252, 251 | 0.00002914642209182, 252 | 0.00029023458618958, 253 | -0.00007948366445230, 254 | -0.00000843826867755, 255 | 0.00002924312362472, 256 | 0.00028589500719646, 257 | -0.00007936509895269, 258 | -0.00000824978889623, 259 | 0.00002932201850732, 260 | 0.00028152959980884, 261 | -0.00007919903290399, 262 | -0.00000805561310569, 263 | 0.00002938163050054, 264 | 0.00027713928615276, 265 | -0.00007898554750910, 266 | -0.00000786711984317, 267 | 0.00002942062228578, 268 | 0.00027272506059074, 269 | -0.00007872464561308, 270 | -0.00000769310255099, 271 | 0.00002943803859460, 272 | 0.00026828805986295, 273 | -0.00007841615912856, 274 | -0.00000753862909605, 275 | 0.00002943349659473, 276 | 0.00026382961331850, 277 | -0.00007805968520240, 278 | -0.00000740450524018, 279 | 0.00002940729333171, 280 | 0.00025935126536441, 281 | -0.00007765456062993, 282 | -0.00000728738615849, 283 | 0.00002936041212803, 284 | 0.00025485476641524, 285 | -0.00007719987816762, 286 | -0.00000718048480625, 287 | 0.00002929442494519, 288 | 0.00025034203336907, 289 | -0.00007669454203171, 290 | -0.00000707474295774, 291 | 0.00002921130357052, 292 | 0.00024581508524557, 293 | -0.00007613735396555, 294 | -0.00000696027125495, 295 | 0.00002911316669408, 296 | 0.00024127596340023, 297 | -0.00007552711670059, 298 | -0.00000682783589445, 299 | 0.00002900200028885, 300 | 0.00023672664809728, 301 | -0.00007486273910488, 302 | -0.00000667017420594, 303 | 0.00002887939354415, 304 | 0.00023216898381850, 305 | -0.00007414332717490, 306 | -0.00000648295702977, 307 | 0.00002874633107781, 308 | 0.00022760462440873, 309 | -0.00007336824728527, 310 | -0.00000626527586952, 311 | 0.00002860307437323, 312 | 0.00022303500619910, 313 | -0.00007253715240651, 314 | -0.00000601960749801, 315 | 0.00002844915241302, 316 | 0.00021846135304860, 317 | -0.00007164996766848, 318 | -0.00000575128662000, 319 | 0.00002828346518773, 320 | 0.00021388471243729, 321 | -0.00007070683782068, 322 | -0.00000546758700068, 323 | 0.00002810448656558, 324 | 0.00020930601705675, 325 | -0.00006970804488075, 326 | -0.00000517656349625, 327 | 0.00002791053752376, 328 | 0.00020472616248721, 329 | -0.00006865390870746, 330 | -0.00000488583500229, 331 | 0.00002770008936463, 332 | 0.00020014608911244, 333 | -0.00006754468571867, 334 | -0.00000460148860337, 335 | 0.00002747205109375, 336 | 0.00019556685577759, 337 | -0.00006638048113939, 338 | -0.00000432725935386, 339 | 0.00002722599657842, 340 | 0.00019098969394281, 341 | -0.00006516118800067, 342 | -0.00000406409303961, 343 | 0.00002696229536114, 344 | 0.00018641603404303, 345 | -0.00006388646196167, 346 | -0.00000381013870123, 347 | 0.00002668212495830, 348 | 0.00018184749998568, 349 | -0.00006255573553679, 350 | -0.00000356115299299, 351 | 0.00002638736012046, 352 | 0.00017728587256575, 353 | -0.00006116826931747, 354 | -0.00000331123915502, 355 | 0.00002608035325466, 356 | 0.00017273302731518, 357 | -0.00005972323219395, 358 | -0.00000305379785587, 359 | 0.00002576363717347, 360 | 0.00016819085621145, 361 | -0.00005821979824923, 362 | -0.00000278254144259, 363 | 0.00002543959391253, 364 | 0.00016366118515090, 365 | -0.00005665724556124, 366 | -0.00000249242012541, 367 | 0.00002511013952947, 368 | 0.00015914569976514, 369 | -0.00005503504196608, 370 | -0.00000218032782699, 371 | 0.00002477647347747, 372 | 0.00015464589091582, 373 | -0.00005335290491706, 374 | -0.00000184549314254, 375 | 0.00002443893236908, 376 | 0.00015016302822840, 377 | -0.00005161082659020, 378 | -0.00000148951085052, 379 | 0.00002409697288261, 380 | 0.00014569816576625, 381 | -0.00004980906071548, 382 | -0.00000111602391076, 383 | 0.00002374928936997, 384 | 0.00014125217904854, 385 | -0.00004794807343928, 386 | -0.00000073011676496, 387 | 0.00002339405123896, 388 | 0.00013682582782108, 389 | -0.00004602846596032, 390 | -0.00000033752073218, 391 | 0.00002302922650141, 392 | 0.00013241983503137, 393 | -0.00004405088090964, 394 | 0.00000005624404614, 395 | 0.00002265294389786, 396 | 0.00012803496994208, 397 | -0.00004201590683022, 398 | 0.00000044666114287, 399 | 0.00002226383897369, 400 | 0.00012367212263095, 401 | -0.00003992399530623, 402 | 0.00000083083046305, 403 | 0.00002186133063060, 404 | 0.00011933235838035, 405 | -0.00003777540327825, 406 | 0.00000120781734584, 407 | 0.00002144578403100, 408 | 0.00011501694347343, 409 | -0.00003557016917895, 410 | 0.00000157869648046, 411 | 0.00002101853205932, 412 | 0.00011072733823354, 413 | -0.00003330812633662, 414 | 0.00000194629546577, 415 | 0.00002058174852115, 416 | 0.00010646515811619, 417 | -0.00003098895141373, 418 | 0.00000231468693516, 419 | 0.00002013818879629, 420 | 0.00010223210853464, 421 | -0.00002861224034054, 422 | 0.00000268851347196, 423 | 0.00001969083435508, 424 | 0.00009802990312677, 425 | -0.00002617760006863, 426 | 0.00000307225119011, 427 | 0.00001924249319021, 428 | 0.00009386017773787, 429 | -0.00002368474212841, 430 | 0.00000346952315292, 431 | 0.00001879541629573, 432 | 0.00008972441310144, 433 | -0.00002113356377077, 434 | 0.00000388256254346, 435 | 0.00001835098941427, 436 | 0.00008562387793443, 437 | -0.00001852420442595, 438 | 0.00000431189991050, 439 | 0.00001790954928412, 440 | 0.00008155960110760, 441 | -0.00001585706901417, 442 | 0.00000475631314786, 443 | 0.00001747035584110, 444 | 0.00007753237716351, 445 | -0.00001313281470684, 446 | 0.00000521303871173, 447 | 0.00001703172877848, 448 | 0.00007354280439657, 449 | -0.00001035230329023, 450 | 0.00000567820399888, 451 | 0.00001659133192427, 452 | 0.00006959134974889, 453 | -0.00000751652648209, 454 | 0.00000614740942877, 455 | 0.00001614656581592, 456 | 0.00006567843066836, 457 | -0.00000462651561037, 458 | 0.00000661636897793, 459 | 0.00001569501121202, 460 | 0.00006180450145062, 461 | -0.00000168324937403, 462 | 0.00000708151224452, 463 | 0.00001523485691613, 464 | 0.00005797013085103, 465 | 0.00000131242637235, 466 | 0.00000754045995381, 467 | 0.00001476524585269, 468 | 0.00005417605902807, 469 | 0.00000435985475688, 470 | 0.00000799230638327, 471 | 0.00001428648401293, 472 | 0.00005042322497929, 473 | 0.00000745859160158, 474 | 0.00000843767290243, 475 | 0.00001380007632673, 476 | 0.00004671276009371, 477 | 0.00001060839330246, 478 | 0.00000887853186629, 479 | 0.00001330857899125, 480 | 0.00004304594859557, 481 | 0.00001380917091009, 482 | 0.00000931783416250, 483 | 0.00001281528557885, 484 | 0.00003942416071346, 485 | 0.00001706091754033, 486 | 0.00000975900179214, 487 | 0.00001232379018046, 488 | 0.00003584876860430, 489 | 0.00002036362023761, 490 | 0.00001020536499563, 491 | 0.00001183749090704, 492 | 0.00003232105775082, 493 | 0.00002371716970083, 494 | 0.00001065962924364, 495 | 0.00001135910804190, 496 | 0.00002884214731583, 497 | 0.00002712128152870, 498 | 0.00001112345040858, 499 | 0.00001089029107582, 500 | 0.00002541293165098, 501 | 0.00003057544081963, 502 | 0.00001159717803691, 503 | 0.00001043137745486, 504 | 0.00002203405200663, 505 | 0.00003407887835972, 506 | 0.00001207979991336, 507 | 0.00000998134453422, 508 | 0.00001870590294276, 509 | 0.00003763058180385, 510 | 0.00001256909024701, 511 | 0.00000953796792263, 512 | 0.00001542867268313, 513 | 0.00004122933993849, 514 | 0.00001306193350500, 515 | 0.00000909816823004, 516 | 0.00001220241148331, 517 | 0.00004487381311745, 518 | 0.00001355477064273, 519 | 0.00000865849886437, 520 | 0.00000902711778493, 521 | 0.00004856261903848, 522 | 0.00001404409783045, 523 | 0.00000821570449283, 524 | 0.00000590282916245, 525 | 0.00005229442076826, 526 | 0.00001452694197496, 527 | 0.00000776726679472, 528 | 0.00000282970426478, 529 | 0.00005606800365906, 530 | 0.00001500124293573, 531 | 0.00000731185347294, 532 | -0.00000019191675557, 533 | 0.00005988232956251, 534 | 0.00001546608818571, 535 | 0.00000684959864450, 536 | -0.00000316148263272, 537 | 0.00006373656025607, 538 | 0.00001592176913328, 539 | 0.00000638216624261, 540 | -0.00000607823687075, 541 | 0.00006763004671658, 542 | 0.00001636965571097, 543 | 0.00000591257970919, 544 | -0.00000894125842394, 545 | 0.00007156228607979, 546 | 0.00001681191297728, 547 | 0.00000544483647199, 548 | -0.00001174953118591, 549 | 0.00007553285302550, 550 | 0.00001725110634814, 551 | 0.00000498335923223, 552 | -0.00001450203188900, 553 | 0.00007954131618214, 554 | 0.00001768975739392, 555 | 0.00000453236277274, 556 | -0.00001719782316424, 557 | 0.00008358715237378, 558 | 0.00001812991783334, 559 | 0.00000409523054257, 560 | -0.00001983613766068, 561 | 0.00008766967180665, 562 | 0.00001857282483690, 563 | 0.00000367399694603, 564 | -0.00002241644041266, 565 | 0.00009178796557790, 566 | 0.00001901868696034, 567 | 0.00000326901834615, 568 | -0.00002493845988942, 569 | 0.00009594088345688, 570 | 0.00001946662925597, 571 | 0.00000287888976096, 572 | -0.00002740218287947, 573 | 0.00010012704526585, 574 | 0.00001991480162651, 575 | 0.00000250062860774, 576 | -0.00002980781384301, 577 | 0.00010434488407974, 578 | 0.00002036063002919, 579 | 0.00000213010673141, 580 | -0.00003215570477825, 581 | 0.00010859271464949, 582 | 0.00002080116934513, 583 | 0.00000176267329426, 584 | -0.00003444626616793, 585 | 0.00011286881665948, 586 | 0.00002123350260211, 587 | 0.00000139387984234, 588 | -0.00003667987250712, 589 | 0.00011717152022763, 590 | 0.00002165512571210, 591 | 0.00000102020002097, 592 | -0.00003885677680639, 593 | 0.00012149928077318, 594 | 0.00002206426056768, 595 | 0.00000063963329925, 596 | -0.00004097704716883, 597 | 0.00012585073204767, 598 | 0.00002246005145682, 599 | 0.00000025209572180, 600 | -0.00004304053523946, 601 | 0.00013022470948625, 602 | 0.00002284261829173, 603 | -0.00000014047033295, 604 | -0.00004504688151303, 605 | 0.00013462024057363, 606 | 0.00002321296218706, 607 | -0.00000053429498915, 608 | -0.00004699555688514, 609 | 0.00013903650393707, 610 | 0.00002357274109615, 611 | -0.00000092426975124, 612 | -0.00004888593429157, 613 | 0.00014347276361584, 614 | 0.00002392395221357, 615 | -0.00000130466278600, 616 | -0.00005071737964633, 617 | 0.00014792828869417, 618 | 0.00002426857092004, 619 | -0.00000166995985556, 620 | -0.00005248934827165, 621 | 0.00015240227066025, 622 | 0.00002460820137475, 623 | -0.00000201570694843, 624 | -0.00005420147208428, 625 | 0.00015689375114275, 626 | 0.00002494379083458, 627 | -0.00000233922543886, 628 | -0.00005585362411529, 629 | 0.00016140157104276, 630 | 0.00002527544904726, 631 | -0.00000264008486826, 632 | -0.00005744595031058, 633 | 0.00016592434877712, 634 | 0.00002560239740282, 635 | -0.00000292025078973, 636 | -0.00005897886348524, 637 | 0.00017046049088758, 638 | 0.00002592305255895, 639 | -0.00000318387135966, 640 | -0.00006045300004632, 641 | 0.00017500823332869, 642 | 0.00002623522903403, 643 | -0.00000343672003704, 644 | -0.00006186914578522, 645 | 0.00017956570707970, 646 | 0.00002653642781285, 647 | -0.00000368536501892, 648 | -0.00006322814180591, 649 | 0.00018413101803844, 650 | 0.00002682416587596, 651 | -0.00000393618079748, 652 | -0.00006453078476525, 653 | 0.00018870232900570, 654 | 0.00002709629643775, 655 | -0.00000419434632451, 656 | -0.00006577773657166, 657 | 0.00019327793127848, 658 | 0.00002735127217283, 659 | -0.00000446298263909, 660 | -0.00006696945735504, 661 | 0.00019785629497899, 662 | 0.00002758831328413, 663 | -0.00000474256829697, 664 | -0.00006810617207190, 665 | 0.00020243609050315, 666 | 0.00002780745733618, 667 | -0.00000503073474886, 668 | -0.00006918787605509, 669 | 0.00020701617787998, 670 | 0.00002800948597271, 671 | -0.00000532249048395, 672 | -0.00007021437891645, 673 | 0.00021159556571970, 674 | 0.00002819574217333, 675 | -0.00000561085960290, 676 | -0.00007118538035694, 677 | 0.00021617334605092, 678 | 0.00002836786780211, 679 | -0.00000588785663181, 680 | -0.00007210056652364, 681 | 0.00022074861500684, 682 | 0.00002852750250681, 683 | -0.00000614566446420, 684 | -0.00007295971233339, 685 | 0.00022532039146308, 686 | 0.00002867598995267, 687 | -0.00000637784503588, 688 | -0.00007376277416116, 689 | 0.00022988754603641, 690 | 0.00002881413531457, 691 | -0.00000658039915014, 692 | -0.00007450995863932, 693 | 0.00023444875128034, 694 | 0.00002894204936067, 695 | -0.00000675250589696, 696 | -0.00007520175684436, 697 | 0.00023900246070493, 698 | 0.00002905910075692, 699 | -0.00000689681252224, 700 | -0.00007583893833847, 701 | 0.00024354691989241, 702 | 0.00002916398156114, 703 | -0.00000701920756556, 704 | -0.00007642250560618, 705 | 0.00024808020814029, 706 | 0.00002925487380901, 707 | -0.00000712808529787, 708 | -0.00007695361546257, 709 | 0.00025260030447222, 710 | 0.00002932969018439, 711 | -0.00000723318726656, 712 | -0.00007743347909017, 713 | 0.00025710516820749, 714 | 0.00002938635120113, 715 | -0.00000734417550430, 716 | -0.00007786325570430, 717 | 0.00026159282212156, 718 | 0.00002942305659710, 719 | -0.00000746914087650, 720 | -0.00007824395593041, 721 | 0.00026606142588320, 722 | 0.00002943851034533, 723 | -0.00000761327075995, 724 | -0.00007857636961812, 725 | 0.00027050932896524, 726 | 0.00002943206644153, 727 | -0.00000777788819229, 728 | -0.00007886102920567, 729 | 0.00027493509535972, 730 | 0.00002940377515082, 731 | -0.00000796002990781, 732 | -0.00007909821441560, 733 | 0.00027933749670486, 734 | 0.00002935432472342, 735 | -0.00000815265826219, 736 | -0.00007928799780979, 737 | 0.00028371547519885, 738 | 0.00002928488936807, 739 | -0.00000834551132389, 740 | -0.00007943032450218, 741 | 0.00028806808221087, 742 | 0.00002919690813262, 743 | -0.00000852649897077, 744 | -0.00007952511407229, 745 | 0.00029239440211786, 746 | 0.00002909182924235, 747 | -0.00000868346479892, 748 | -0.00007957236924828, 749 | 0.00029669347305166, 750 | 0.00002897085898041, 751 | -0.00000880606765919, 752 | -0.00007957227477922, 753 | 0.00030096421661638, 754 | 0.00002883475278250, 755 | -0.00000888750378774, 756 | -0.00007952527128541, 757 | 0.00030520538718017, 758 | 0.00002868367918173, 759 | -0.00000892579758870, 760 | -0.00007943209257391, 761 | 0.00030941554828892, 762 | 0.00002851717573893, 763 | -0.00000892443742139, 764 | -0.00007929376038898, 765 | 0.00031359307956354, 766 | 0.00002833420193361, 767 | -0.00000889221747602, 768 | -0.00007911153701271, 769 | 0.00031773621276808, 770 | 0.00002813327934361, 771 | -0.00000884225757113, 772 | -0.00007888684256474, 773 | 0.00032184309128731, 774 | 0.00002791269651126, 775 | -0.00000879029460884, 776 | -0.00007862114929075, 777 | 0.00032591184370599, 778 | 0.00002767074658877, 779 | -0.00000875245510135, 780 | -0.00007831586874020, 781 | 0.00032994066007541, 782 | 0.00002740596150573, 783 | -0.00000874281013633, 784 | -0.00007797224894893, 785 | 0.00033392785910289, 786 | 0.00002711730757105, 787 | -0.00000877106730758, 788 | -0.00007759129735635, 789 | 0.00033787193595490, 790 | 0.00002680431383678, 791 | -0.00000884075819216, 792 | -0.00007717374138970, 793 | 0.00034177158339742, 794 | 0.00002646711515773, 795 | -0.00000894823113351, 796 | -0.00007672003299834, 797 | 0.00034562568314120, 798 | 0.00002610640501345, 799 | -0.00000908266109266, 800 | -0.00007623039676409, 801 | 0.00034943326887922, 802 | 0.00002572330680344, 803 | -0.00000922715224022, 804 | -0.00007570491455674, 805 | 0.00035319346689061, 806 | 0.00002531918442660, 807 | -0.00000936085209513, 808 | -0.00007514363406125, 809 | 0.00035690542356965, 810 | 0.00002489542172088, 811 | -0.00000946183980897, 812 | -0.00007454668474516, 813 | 0.00036056823128558, 814 | 0.00002445320451229, 815 | -0.00000951041838291, 816 | -0.00007391438355005, 817 | 0.00036418086427614, 818 | 0.00002399333805297, 819 | -0.00000949235205845, 820 | -0.00007324731399847, 821 | 0.00036774213477659, 822 | 0.00002351612674982, 823 | -0.00000940156175391, 824 | -0.00007254636631624, 825 | 0.00037125067651487, 826 | 0.00002302133326268, 827 | -0.00000924183161098, 828 | -0.00007181273200603, 829 | 0.00037470495852696, 830 | 0.00002250822182435, 831 | -0.00000902718766503, 832 | -0.00007104785319995, 833 | 0.00037810332760072, 834 | 0.00002197567788134, 835 | -0.00000878077489745, 836 | -0.00007025333402345, 837 | 0.00038144407324740, 838 | 0.00002142238479740, 839 | -0.00000853226220098, 840 | -0.00006943082706940, 841 | 0.00038472550560041, 842 | 0.00002084703008599, 843 | -0.00000831402015013, 844 | -0.00006858191200344, 845 | 0.00038794603458468, 846 | 0.00002024850963605, 847 | -0.00000815651449090, 848 | -0.00006770798468459, 849 | 0.00039110423840452, 850 | 0.00001962609920080, 851 | -0.00000808350988652, 852 | -0.00006681017375611, 853 | 0.00039419891091294, 854 | 0.00001897956783396, 855 | -0.00000810775902422, 856 | -0.00006588929763233, 857 | 0.00039722908052773, 858 | 0.00001830921709542, 859 | -0.00000822784511931, 860 | -0.00006494586876745, 861 | 0.00040019399758440, 862 | 0.00001761584127633, 863 | -0.00000842674542752, 864 | -0.00006398014494335, 865 | 0.00040309309172989, 866 | 0.00001690061585923, 867 | -0.00000867249620871, 868 | -0.00006299222013600, 869 | 0.00040592590545416, 870 | 0.00001616493212403, 871 | -0.00000892108451500, 872 | -0.00006198214140670, 873 | 0.00040869201345297, 874 | 0.00001541020364796, 875 | -0.00000912139851165, 876 | -0.00006095003415961, 877 | 0.00041139093967320, 878 | 0.00001463767429150, 879 | -0.00000922177228377, 880 | -0.00005989621665520, 881 | 0.00041402208428531, 882 | 0.00001384825659628, 883 | -0.00000917740252959, 884 | -0.00005882128611863, 885 | 0.00041658467139566, 886 | 0.00001304242451216, 887 | -0.00000895773023330, 888 | -0.00005772616294071, 889 | 0.00041907772526867, 890 | 0.00001222017583840, 891 | -0.00000855280012337, 892 | -0.00005661208572923, 893 | 0.00042150007862914, 894 | 0.00001138106904244, 895 | -0.00000797765261943, 896 | -0.00005548055739717, 897 | 0.00042385041188795, 898 | 0.00001052432785466, 899 | -0.00000727397054437, 900 | -0.00005433324995014, 901 | 0.00042612731758503, 902 | 0.00000964899693068, 903 | -0.00000650848363087, 904 | -0.00005317188201896, 905 | 0.00042832938065159, 906 | 0.00000875412442637, 907 | -0.00000576799998259, 908 | -0.00005199808748971, 909 | 0.00043045526280581, 910 | 0.00000783894362741, 911 | -0.00000515134474477, 912 | -0.00005081329513452, 913 | 0.00043250377885035, 914 | 0.00000690302631614, 915 | -0.00000475889371665, 916 | -0.00004961863767722, 917 | 0.00043447395390935, 918 | 0.00000594638520250, 919 | -0.00000468074245807, 920 | -0.00004841490443066, 921 | 0.00043636505352973, 922 | 0.00000496951073448, 923 | -0.00000498480240276, 924 | -0.00004720254514303, 925 | 0.00043817658263643, 926 | 0.00000397333768838, 927 | -0.00000570622733695, 928 | -0.00004598172495714, 929 | 0.00043990825396078, 930 | 0.00000295914757266, 931 | -0.00000683952416725, 932 | -0.00004475242258513, 933 | 0.00044155993105843, 934 | 0.00000192842245397, 935 | -0.00000833448758685, 936 | -0.00004351455712103, 937 | 0.00044313155472796, 938 | 0.00000088267290506, 939 | -0.00001009673534514, 940 | -0.00004226812438557, 941 | 0.00044462306398545, 942 | -0.00000017673365199, 943 | -0.00001199314441617, 944 | -0.00004101332203587, 945 | 0.00044603432340310, 946 | -0.00000124871836452, 947 | -0.00001386194887453, 948 | -0.00003975064416101, 949 | 0.00044736506749840, 950 | -0.00000233258860339, 951 | -0.00001552671801778, 952 | -0.00003848093053127, 953 | 0.00044861487014842, 954 | -0.00000342807382377, 955 | -0.00001681295150520, 956 | -0.00003720536242744, 957 | 0.00044978314312388, 958 | -0.00000453529511100, 959 | -0.00001756566579119, 960 | -0.00003592540504223, 961 | 0.00045086916338770, 962 | -0.00000565467394820, 963 | -0.00001766614999942, 964 | -0.00003464270460281, 965 | 0.00045187212446007, 966 | -0.00000678679482928, 967 | -0.00001704606898171, 968 | -0.00003335895536777, 969 | 0.00045279120357471, 970 | -0.00000793224310170, 971 | -0.00001569729423530, 972 | -0.00003207575642371, 973 | 0.00045362563407552, 974 | -0.00000909144287090, 975 | -0.00001367623388457, 976 | -0.00003079447999090, 977 | 0.00045437477186345, 978 | -0.00001026451945702, 979 | -0.00001110197318641, 980 | -0.00002951617144018, 981 | 0.00045503814578346, 982 | -0.00001145120686657, 983 | -0.00000814817081921, 984 | -0.00002824149661474, 985 | 0.00045561548446134, 986 | -0.00001265081368162, 987 | -0.00000502931520205, 988 | -0.00002697074500972, 989 | 0.00045610671584474, 990 | -0.00001386225178044, 991 | -0.00000198255640761, 992 | -0.00002570388893462, 993 | 0.00045651193998949, 994 | -0.00001508412276569, 995 | 0.00000075317611709, 996 | -0.00002444069023830, 997 | 0.00045683137979632, 998 | -0.00001631484833348, 999 | 0.00000295873890279, 1000 | -0.00002318083881639, 1001 | 0.00045706531780308, 1002 | -0.00001755282435959, 1003 | 0.00000445488299910, 1004 | -0.00002192410208310, 1005 | 0.00045721402925295, 1006 | -0.00001879657515479, 1007 | 0.00000511888422912, 1008 | -0.00002067046266922, 1009 | 0.00045727772216772, 1010 | -0.00002004488460882, 1011 | 0.00000489600894098, 1012 | -0.00001942022313702, 1013 | 0.00045725649398564, 1014 | -0.00002129688471996, 1015 | 0.00000380464641849, 1016 | -0.00001817406128710, 1017 | 0.00045715031166135, 1018 | -0.00002255208867836, 1019 | 0.00000193458326732, 1020 | -0.00001693302698311, 1021 | 0.00045695901838911, 1022 | -0.00002381036419790, 1023 | -0.00000056140371749, 1024 | -0.00001569848024531, 1025 | 0.00045668236588154, 1026 | -0.00002507185186261; -------------------------------------------------------------------------------- /filter/4_tap_equiripple/lane13.coe: -------------------------------------------------------------------------------- 1 | radix=10; 2 | coefdata = 3 | -0.00001439562718576, 4 | 0.00045629457335162, 5 | -0.00002641602678623, 6 | -0.00000367319675492, 7 | -0.00001317943864612, 8 | 0.00045584099102619, 9 | -0.00002768505537559, 10 | -0.00000679029434517, 11 | -0.00001197450089261, 12 | 0.00045530132647733, 13 | -0.00002895802875612, 14 | -0.00000984449384038, 15 | -0.00001078205142071, 16 | 0.00045467557165985, 17 | -0.00003023480657061, 18 | -0.00001260895285550, 19 | -0.00000960296236534, 20 | 0.00045396390904934, 21 | -0.00003151489141577, 22 | -0.00001489016346079, 23 | -0.00000843768535676, 24 | 0.00045316672412875, 25 | -0.00003279740066943, 26 | -0.00001654381367905, 27 | -0.00000728625811954, 28 | 0.00045228459028018, 29 | -0.00003408109530481, 30 | -0.00001748547098814, 31 | -0.00000614837259230, 32 | 0.00045131822798028, 33 | -0.00003536446072716, 34 | -0.00001769520601435, 35 | -0.00000502349493769, 36 | 0.00045026844425820, 37 | -0.00003664582687453, 38 | -0.00001721592166418, 39 | -0.00000391101975246, 40 | 0.00044913606154992, 41 | -0.00003792350904450, 42 | -0.00001614580662741, 43 | -0.00000281043532195, 44 | 0.00044792184687348, 45 | -0.00003919594799134, 46 | -0.00001462592115777, 47 | -0.00000172147476300, 48 | 0.00044662645236801, 49 | -0.00004046182819118, 50 | -0.00001282438492885, 51 | -0.00000064422971380, 52 | 0.00044525037665264, 53 | -0.00004172015670562, 54 | -0.00001091892506905, 55 | 0.00000042079136557, 56 | 0.00044379395339794, 57 | -0.00004297029121932, 58 | -0.00000907963146950, 59 | 0.00000147266999979, 60 | 0.00044225736941899, 61 | -0.00004421191362699, 62 | -0.00000745365356023, 63 | 0.00000251014951427, 64 | 0.00044064071011091, 65 | -0.00004544495380692, 66 | -0.00000615327817240, 67 | 0.00000353176182557, 68 | 0.00043894402583863, 69 | -0.00004666947568829, 70 | -0.00000524839181929, 71 | 0.00000453599062860, 72 | 0.00043716740960495, 73 | -0.00004788554328137, 74 | -0.00000476380723377, 75 | 0.00000552144657712, 76 | 0.00043531107446596, 77 | -0.00004909308717433, 78 | -0.00000468138553059, 79 | 0.00000648702787251, 80 | 0.00043337541903379, 81 | -0.00005029179170932, 82 | -0.00000494637442423, 83 | 0.00000743204152253, 84 | 0.00043136107103817, 85 | -0.00005148101971231, 86 | -0.00000547696504366, 87 | 0.00000835626618141, 88 | 0.00042926890207899, 89 | -0.00005265978580084, 90 | -0.00000617578739797, 91 | 0.00000925994612737, 92 | 0.00042710001092553, 93 | -0.00005382678184252, 94 | -0.00000694194225079, 95 | 0.00001014371629662, 96 | 0.00042485567737653, 97 | -0.00005498045022422, 98 | -0.00000768221013802, 99 | 0.00001100846881706, 100 | 0.00042253729308961, 101 | -0.00005611909341848, 102 | -0.00000832027215785, 103 | 0.00001185518056751, 104 | 0.00042014627925745, 105 | -0.00005724100297264, 106 | -0.00000880309077406, 107 | 0.00001268472752100, 108 | 0.00041768400302486, 109 | -0.00005834458828934, 110 | -0.00000910398863861, 111 | 0.00001349771402283, 112 | 0.00041515170479624, 113 | -0.00005942848580255, 114 | -0.00000922237921663, 115 | 0.00001429434328287, 116 | 0.00041255044703082, 117 | -0.00006049163231498, 118 | -0.00000918049437288, 119 | 0.00001507434945149, 120 | 0.00040988109198870, 121 | -0.00006153329184390, 122 | -0.00000901777643261, 123 | 0.00001583700254093, 124 | 0.00040714431163856, 125 | -0.00006255303245516, 126 | -0.00000878382179790, 127 | 0.00001658118649208, 128 | 0.00040434062820097, 129 | -0.00006355065715773, 130 | -0.00000853086058593, 131 | 0.00001730553951564, 132 | 0.00040147047928632, 133 | -0.00006452609983216, 134 | -0.00000830672850107, 135 | 0.00001800863615865, 136 | 0.00039853429796958, 137 | -0.00006547930234082, 138 | -0.00000814914528711, 139 | 0.00001868918386162, 140 | 0.00039553259596546, 141 | -0.00006641009165191, 142 | -0.00000808188379429, 143 | 0.00001934620414484, 144 | 0.00039246603765363, 145 | -0.00006731807562232, 146 | -0.00000811312939637, 147 | 0.00001997917045659, 148 | 0.00038933549411461, 149 | -0.00006820257308192, 150 | -0.00000823603014548, 151 | 0.00002058808091243, 152 | 0.00038614206935929, 153 | -0.00006906258852650, 154 | -0.00000843116197668, 155 | 0.00002117345377287, 156 | 0.00038288709511361, 157 | -0.00006989683488478, 158 | -0.00000867041340513, 159 | 0.00002173624513214, 160 | 0.00037957209522478, 161 | -0.00007070380052609, 162 | -0.00000892165422733, 163 | 0.00002227770017930, 164 | 0.00037619872527906, 165 | -0.00007148185002521, 166 | -0.00000915350481977, 167 | 0.00002279915973368, 168 | 0.00037276869668374, 169 | -0.00007222934319867, 170 | -0.00000933956630597, 171 | 0.00002330185094540, 172 | 0.00036928369671835, 173 | -0.00007294475431153, 174 | -0.00000946159503460, 175 | 0.00002378669394117, 176 | 0.00036574531656688, 177 | -0.00007362677349913, 178 | -0.00000951128594037, 179 | 0.00002425415427378, 180 | 0.00036215499803328, 181 | -0.00007427437530554, 182 | -0.00000949054082816, 183 | 0.00002470416451707, 184 | 0.00035851400671955, 185 | -0.00007488684435341, 186 | -0.00000941030966220, 187 | 0.00002513612815592, 188 | 0.00035482343535811, 189 | -0.00007546375473481, 190 | -0.00000928827765085, 191 | 0.00002554900654930, 192 | 0.00035108423636010, 193 | -0.00007600490674266, 194 | -0.00000914580577441, 195 | 0.00002594147704688, 196 | 0.00034729727817500, 197 | -0.00007651023098075, 198 | -0.00000900460291191, 199 | 0.00002631213924970, 200 | 0.00034346341643274, 201 | -0.00007697967473345, 202 | -0.00000888360883339, 203 | 0.00002665973864401, 204 | 0.00033958356860810, 205 | -0.00007741308802435, 206 | -0.00000879650354067, 207 | 0.00002698337364640, 208 | 0.00033565878044710, 209 | -0.00007781012668437, 210 | -0.00000875014265151, 211 | 0.00002728265404331, 212 | 0.00033169027370014, 213 | -0.00007817018702082, 214 | -0.00000874406978826, 215 | 0.00002755778567983, 216 | 0.00032767946761644, 217 | -0.00007849238176842, 218 | -0.00000877109756903, 219 | 0.00002780956708839, 220 | 0.00032362797072278, 221 | -0.00007877556066977, 222 | -0.00000881880118809, 223 | 0.00002803929696026, 224 | 0.00031953754401539, 225 | -0.00007901837224834, 226 | -0.00000887165214046, 227 | 0.00002824860497740, 228 | 0.00031541004113008, 229 | -0.00007921935712943, 230 | -0.00000891344840446, 231 | 0.00002843923046574, 232 | 0.00031124733464487, 233 | -0.00007937705856931, 234 | -0.00000892967835180, 235 | 0.00002861278175095, 236 | 0.00030705123985756, 237 | -0.00007949013336517, 238 | -0.00000890948824606, 239 | 0.00002877051264434, 240 | 0.00030282344783119, 241 | -0.00007955744639798, 242 | -0.00000884699979459, 243 | 0.00002891315053141, 244 | 0.00029856547813862, 245 | -0.00007957813467282, 246 | -0.00000874183158499, 247 | 0.00002904080327644, 248 | 0.00029427865876590, 249 | -0.00007955163145104, 250 | -0.00000859879962111, 251 | 0.00002915296060634, 252 | 0.00028996413650340, 253 | -0.00007947764718331, 254 | -0.00000842688983017, 255 | 0.00002924859147965, 256 | 0.00028562291648950, 257 | -0.00007935611051700, 258 | -0.00000823769310833, 259 | 0.00002932632429657, 260 | 0.00028125592508665, 261 | -0.00007918707866826, 262 | -0.00000804355864565, 263 | 0.00002938468389054, 264 | 0.00027686408664867, 265 | -0.00007897063101401, 266 | -0.00000785574658714, 267 | 0.00002942235006637, 268 | 0.00027244840253856, 269 | -0.00007870676219277, 270 | -0.00000768284518131, 271 | 0.00002943839848492, 272 | 0.00026801002031926, 273 | -0.00007839529095426, 274 | -0.00000752966492701, 275 | 0.00002943248664520, 276 | 0.00026355028244103, 277 | -0.00007803579849347, 278 | -0.00000739674226685, 279 | 0.00002940495539521, 280 | 0.00025907074678286, 281 | -0.00007762760544202, 282 | -0.00000728049085790, 283 | 0.00002935682875072, 284 | 0.00025457317561748, 285 | -0.00007716979077502, 286 | -0.00000717394354127, 287 | 0.00002928971002147, 288 | 0.00025005949432508, 289 | -0.00007666124952842, 290 | -0.00000706794633078, 291 | 0.00002920558806729, 292 | 0.00024553172575893, 293 | -0.00007610078037699, 294 | -0.00000695260801085, 295 | 0.00002910658152984, 296 | 0.00024099190987126, 297 | -0.00007548718967478, 298 | -0.00000681878228471, 299 | 0.00002899465893013, 300 | 0.00023644202047351, 301 | -0.00007481939617126, 302 | -0.00000665936609435, 303 | 0.00002887137697679, 304 | 0.00023188389148264, 305 | -0.00007409652063177, 306 | -0.00000647023514714, 307 | 0.00002873767750123, 308 | 0.00022731916361525, 309 | -0.00007331794699141, 310 | -0.00000625069897888, 311 | 0.00002859377529135, 312 | 0.00022274925943853, 313 | -0.00007248334607451, 314 | -0.00000600343312928, 315 | 0.00002843915585141, 316 | 0.00021817539042877, 317 | -0.00007159265863833, 318 | -0.00000573392374560, 319 | 0.00002827268569133, 320 | 0.00021359859486640, 321 | -0.00007064604067280, 322 | -0.00000544952888250, 323 | 0.00002809282058681, 324 | 0.00020901980074109, 325 | -0.00006964377957206, 326 | -0.00000515831142444, 327 | 0.00002789788195691, 328 | 0.00020443990405696, 329 | -0.00006858619413180, 330 | -0.00000486782447102, 331 | 0.00002768636045341, 332 | 0.00019985985059409, 333 | -0.00006747353367325, 334 | -0.00000458402858467, 335 | 0.00002745720082269, 336 | 0.00019528070865397, 337 | -0.00006630589160999, 338 | -0.00000431049294186, 339 | 0.00002721002398149, 340 | 0.00019070372168031, 341 | -0.00006508314647177, 342 | -0.00000404798425507, 343 | 0.00002694525090327, 344 | 0.00018613033269794, 345 | -0.00006380493914601, 346 | -0.00000379448622262, 347 | 0.00002666410716475, 348 | 0.00018156217679386, 349 | -0.00006247068954964, 350 | -0.00000354562762015, 351 | 0.00002636850479712, 352 | 0.00017700104272659, 353 | -0.00006107964995035, 354 | -0.00000329543848643, 355 | 0.00002606081678321, 356 | 0.00017244880945673, 357 | -0.00005963098662750, 358 | -0.00000303730942632, 359 | 0.00002574357630479, 360 | 0.00016790736722545, 361 | -0.00005812387733144, 362 | -0.00000276500470211, 363 | 0.00002541914507156, 364 | 0.00016337853518414, 365 | -0.00005655760969809, 366 | -0.00000247357821337, 367 | 0.00002508940078730, 368 | 0.00015886398813141, 369 | -0.00005493166573712, 370 | -0.00000216006196362, 371 | 0.00002475549200958, 372 | 0.00015436520355437, 373 | -0.00005324577972790, 374 | -0.00000182383530053, 375 | 0.00002441769944361, 376 | 0.00014988343709995, 377 | -0.00005149996097622, 378 | -0.00000146663372837, 379 | 0.00002407542731701, 380 | 0.00014541973028336, 381 | -0.00004969447826979, 382 | -0.00000109221059773, 383 | 0.00002372732912025, 384 | 0.00014097494932590, 385 | -0.00004782980869816, 386 | -0.00000070571537284, 387 | 0.00002337155153302, 388 | 0.00013654984925280, 389 | -0.00004590655888692, 390 | -0.00000031289126934, 391 | 0.00002300606188936, 392 | 0.00013214515349722, 393 | -0.00004392537082491, 394 | 0.00000008078242574, 395 | 0.00002262901093011, 396 | 0.00012776163684564, 397 | -0.00004188682671835, 398 | 0.00000047087475066, 399 | 0.00002223907604338, 400 | 0.00012340019899460, 401 | -0.00003979136735886, 402 | 0.00000085460127451, 403 | 0.00002183573186750, 404 | 0.00011906191736218, 405 | -0.00003763923634841, 406 | 0.00000123115487193, 407 | 0.00002141940496945, 408 | 0.00011474807090909, 409 | -0.00003543045852146, 410 | 0.00000160173024132, 411 | 0.00002099148600672, 412 | 0.00011046013110490, 413 | -0.00003316485566231, 414 | 0.00000196924989410, 415 | 0.00002055419395066, 416 | 0.00010619972116457, 417 | -0.00003084209693333, 418 | 0.00000233784306012, 419 | 0.00002011030947070, 420 | 0.00010196854951948, 421 | -0.00002846177617369, 422 | 0.00000271216352780, 423 | 0.00001966281504417, 424 | 0.00009776832743991, 425 | -0.00002602350418949, 426 | 0.00000309665311839, 427 | 0.00001921449459223, 428 | 0.00009360068318427, 429 | -0.00002352700194215, 430 | 0.00000349486173768, 431 | 0.00001876755298734, 432 | 0.00008946708563598, 433 | -0.00002097218047562, 434 | 0.00000390892270362, 435 | 0.00001832331428886, 436 | 0.00008536878900225, 437 | -0.00001835919550386, 438 | 0.00000433925568711, 439 | 0.00001788204703476, 440 | 0.00008130680699413, 441 | -0.00001568846847989, 442 | 0.00000478453348329, 443 | 0.00001744294672661, 444 | 0.00007728192045624, 445 | -0.00001296067108661, 446 | 0.00000524190859796, 447 | 0.00001700428236940, 448 | 0.00007329471733949, 449 | -0.00001017667564539, 450 | 0.00000570745734675, 451 | 0.00001656368898911, 452 | 0.00006934565898163, 453 | -0.00000733747908725, 454 | 0.00000617676839677, 455 | 0.00001611856521210, 456 | 0.00006543516262878, 457 | -0.00000444411209664, 458 | 0.00000664558371577, 459 | 0.00001566651779424, 460 | 0.00006156368761569, 461 | -0.00000149754722450, 462 | 0.00000711039514192, 463 | 0.00001520578620954, 464 | 0.00005773181201203, 465 | 0.00000150138014510, 466 | 0.00000756890948889, 467 | 0.00001473558161600, 468 | 0.00005394028793984, 469 | 0.00000455202610480, 470 | 0.00000802031736179, 471 | 0.00001425628579646, 472 | 0.00005019006696838, 473 | 0.00000765395973975, 474 | 0.00000846533199712, 475 | 0.00001376947558063, 476 | 0.00004648229151999, 477 | 0.00001080694877946, 478 | 0.00000890599957790, 479 | 0.00001327776399017, 480 | 0.00004281825338880, 481 | 0.00001401091175410, 482 | 0.00000934531630237, 483 | 0.00001278447714863, 484 | 0.00003919932550162, 485 | 0.00001726584407446, 486 | 0.00000978671504420, 487 | 0.00001229321167701, 488 | 0.00003562687716825, 489 | 0.00002057172935547, 490 | 0.00001023350185472, 491 | 0.00001180733687712, 492 | 0.00003210218564683, 493 | 0.00002392844947086, 494 | 0.00001068832755915, 495 | 0.00001132951632683, 496 | 0.00002862635748689, 497 | 0.00002733570694066, 498 | 0.00001115277192225, 499 | 0.00001086132273266, 500 | 0.00002520027170209, 501 | 0.00003079297130954, 502 | 0.00001162709883791, 503 | 0.00001040300780363, 504 | 0.00002182455356967, 505 | 0.00003429945747641, 506 | 0.00001211021387851, 507 | 0.00000995346703669, 508 | 0.00001849958324073, 509 | 0.00003785413905429, 510 | 0.00001259982457474, 511 | 0.00000951041068693, 512 | 0.00001522553806957, 513 | 0.00004145579451887, 514 | 0.00001309277368142, 515 | 0.00000907072099781, 516 | 0.00001200246243051, 517 | 0.00004510307895318, 518 | 0.00001358549085425, 519 | 0.00000863094666809, 520 | 0.00000883035457118, 521 | 0.00004879461036145, 522 | 0.00001407449214238, 523 | 0.00000818786303326, 524 | 0.00000570925739776, 525 | 0.00005252905738277, 526 | 0.00001455685161516, 527 | 0.00000773901417111, 528 | 0.00000263933941418, 529 | 0.00005630521510001, 530 | 0.00001503057573341, 531 | 0.00000728315328836, 532 | -0.00000037904654441, 533 | 0.00006012205752192, 534 | 0.00001549482749300, 535 | 0.00000682051067062, 536 | -0.00000334533583693, 537 | 0.00006397875892055, 538 | 0.00001594997119625, 539 | 0.00000635284260952, 540 | -0.00000625876015189, 541 | 0.00006787468097718, 542 | 0.00001639743620426, 543 | 0.00000588324673487, 544 | -0.00000911839021545, 545 | 0.00007180932789944, 546 | 0.00001683942500974, 547 | 0.00000541576444685, 548 | -0.00001192320677437, 549 | 0.00007578227652748, 550 | 0.00001727851345405, 551 | 0.00000495482439295, 552 | -0.00001467218922573, 553 | 0.00007979309221496, 554 | 0.00001771720567606, 555 | 0.00000450460703496, 556 | -0.00001736440852846, 557 | 0.00008384124338418, 558 | 0.00001815751143897, 559 | 0.00000406842509121, 560 | -0.00001999911031394, 561 | 0.00008792602780208, 562 | 0.00001860060833958, 563 | 0.00000364821539800, 564 | -0.00002257577553339, 565 | 0.00009204652179140, 566 | 0.00001904663709694, 567 | 0.00000324422393011, 568 | -0.00002509414933439, 569 | 0.00009620156006666, 570 | 0.00001949465700903, 571 | 0.00000285493896756, 572 | -0.00002755423364775, 573 | 0.00010038974920873, 574 | 0.00001994276407345, 575 | 0.00000247729132844, 576 | -0.00002995624446686, 577 | 0.00010460951268018, 578 | 0.00002038834993746, 579 | 0.00000210710038181, 580 | -0.00003230054018234, 581 | 0.00010885916051193, 582 | 0.00002082845938825, 583 | 0.00000173970618845, 584 | -0.00003458753177418, 585 | 0.00011313697308272, 586 | 0.00002126019046056, 587 | 0.00000137069749906, 588 | -0.00003681758848130, 589 | 0.00011744128632411, 590 | 0.00002168107628475, 591 | 0.00000099662740381, 592 | -0.00003899095332546, 593 | 0.00012177056552450, 594 | 0.00002208939204485, 595 | 0.00000061560635804, 596 | -0.00004110768143688, 597 | 0.00012612345669242, 598 | 0.00002248434300285, 599 | 0.00000022767699266, 600 | -0.00004316761071712, 601 | 0.00013049880789191, 602 | 0.00002286610839367, 603 | -0.00000016509506963, 604 | -0.00004517036948966, 605 | 0.00013489565755158, 606 | 0.00002323573814355, 607 | -0.00000055883920967, 608 | -0.00004711542016613, 609 | 0.00013931319177200, 610 | 0.00002359492143357, 611 | -0.00000094838514311, 612 | -0.00004900213244871, 613 | 0.00014375067735056, 614 | 0.00002394566483099, 615 | -0.00000132798932882, 616 | -0.00005082987503663, 617 | 0.00014820738089731, 618 | 0.00002428993033728, 619 | -0.00000169217978983, 620 | -0.00005259811190778, 621 | 0.00015268248647935, 622 | 0.00002462928851280, 623 | -0.00000203659451171, 624 | -0.00005430648845621, 625 | 0.00015717502439853, 626 | 0.00002496463829968, 627 | -0.00000235868456899, 628 | -0.00005595489421688, 629 | 0.00016168382195851, 630 | 0.00002529603399832, 631 | -0.00000265816859525, 632 | -0.00005754349239377, 633 | 0.00016620748368603, 634 | 0.00002562264290042, 635 | -0.00000293715857849, 636 | -0.00005907271140816, 637 | 0.00017074440395830, 638 | 0.00002594283700501, 639 | -0.00000319992387895, 640 | -0.00006054319944780, 641 | 0.00017529281004178, 642 | 0.00002625440210986, 643 | -0.00000345231425678, 644 | -0.00006195574865173, 645 | 0.00017985082892198, 646 | 0.00002655483038681, 647 | -0.00000370091566595, 648 | -0.00006331120024616, 649 | 0.00018441656769783, 650 | 0.00002684165081390, 651 | -0.00000395205654251, 652 | -0.00006461034493453, 653 | 0.00018898819527488, 654 | 0.00002711274718229, 655 | -0.00000421081024200, 656 | -0.00006585383367182, 657 | 0.00019356401292189, 658 | 0.00002736661635981, 659 | -0.00000448014624954, 660 | -0.00006704211247879, 661 | 0.00019814250297658, 662 | 0.00002760252946680, 663 | -0.00000476036684333, 664 | -0.00006817539138438, 665 | 0.00020272234833284, 666 | 0.00002782057396109, 667 | -0.00000504892846415, 668 | -0.00006925365245267, 669 | 0.00020730241979941, 670 | 0.00002802157292951, 671 | -0.00000534069285980, 672 | -0.00007027669592610, 673 | 0.00021188173331227, 674 | 0.00002820689634553, 675 | -0.00000562858959818, 676 | -0.00007124421769586, 677 | 0.00021645938356490, 678 | 0.00002837819491384, 679 | -0.00000590460796831, 680 | -0.00007215590648132, 681 | 0.00022103446419900, 682 | 0.00002853709806704, 683 | -0.00000616098222835, 684 | -0.00007301154600651, 685 | 0.00022560598673352, 686 | 0.00002868492217447, 687 | -0.00000639139822441, 688 | -0.00007381110658648, 689 | 0.00023017281059647, 690 | 0.00002882243253166, 691 | -0.00000659203786509, 692 | -0.00007455481203062, 693 | 0.00023473359493938, 694 | 0.00002894969373709, 695 | -0.00000676229367862, 696 | -0.00007524317142196, 697 | 0.00023928677961643, 698 | 0.00002906602910600, 699 | -0.00000690502762052, 700 | -0.00007587697060287, 701 | 0.00024383059830443, 702 | 0.00002917009300780, 703 | -0.00000702631136423, 704 | -0.00007645722429534, 705 | 0.00024836312189333, 706 | 0.00002926004300891, 707 | -0.00000713466101158, 708 | -0.00007698509578724, 709 | 0.00025288232572514, 710 | 0.00002933378400642, 711 | -0.00000723985671101, 712 | -0.00007746179610812, 713 | 0.00025738617068910, 714 | 0.00002938924630227, 715 | -0.00000735150548082, 716 | -0.00007788847783229, 717 | 0.00026187268612874, 718 | 0.00002942465523572, 719 | -0.00000747755290234, 720 | -0.00007826613957887, 721 | 0.00026634004228744, 722 | 0.00002943875209577, 723 | -0.00000762296810571, 724 | -0.00007859555577038, 725 | 0.00027078660164181, 726 | 0.00002943093413293, 727 | -0.00000778881232257, 728 | -0.00007887724247302, 729 | 0.00027521094169349, 730 | 0.00002940129425255, 731 | -0.00000797185462942, 732 | -0.00007911146472682, 733 | 0.00027961184611781, 734 | 0.00002935055639725, 735 | -0.00000816482463306, 736 | -0.00007929828449304, 737 | 0.00028398826594198, 738 | 0.00002927991835371, 739 | -0.00000835730037261, 740 | -0.00007943764215126, 741 | 0.00028833925692298, 742 | 0.00002919082738590, 743 | -0.00000853713338050, 744 | -0.00007952945931249, 745 | 0.00029266390283559, 746 | 0.00002908472369685, 747 | -0.00000869222580702, 748 | -0.00007957374737317, 749 | 0.00029696123643307, 750 | 0.00002896279089009, 751 | -0.00000881241030457, 752 | -0.00007957070524183, 753 | 0.00030123017010160, 754 | 0.00002882575082006, 755 | -0.00000889115286824, 756 | -0.00007952079119389, 757 | 0.00030546944666504, 758 | 0.00002867373286327, 759 | -0.00000892680852122, 760 | -0.00007942475763949, 761 | 0.00030967761765285, 762 | 0.00002850623591713, 763 | -0.00000892321050688, 764 | -0.00007928364315856, 765 | 0.00031385305210780, 766 | 0.00002832218717697, 767 | -0.00000888946036659, 768 | -0.00007909872263111, 769 | 0.00031799397432861, 770 | 0.00002812008713902, 771 | -0.00000883889820070, 772 | -0.00007887142269049, 773 | 0.00032209852453157, 774 | 0.00002789821752208, 775 | -0.00000878735444740, 776 | -0.00007860321507618, 777 | 0.00032616483294500, 778 | 0.00002765487977366, 779 | -0.00000875089920889, 780 | -0.00007829550393670, 781 | 0.00033019109584694, 782 | 0.00002738862781345, 783 | -0.00000874339508659, 784 | -0.00007794952418789, 785 | 0.00033417564182072, 786 | 0.00002709846018099, 787 | -0.00000877420981885, 788 | -0.00007756626648551, 789 | 0.00033811697806626, 790 | 0.00002678394346970, 791 | -0.00000884644580018, 792 | -0.00007714644043829, 793 | 0.00034201380972298, 794 | 0.00002644524974783, 795 | -0.00000895599147808, 796 | -0.00007669048194796, 797 | 0.00034586502935412, 798 | 0.00002608310389239, 799 | -0.00000909159884822, 800 | -0.00007619860387526, 801 | 0.00034966967836800, 802 | 0.00002569865036904, 803 | -0.00000923605331805, 804 | -0.00007567088260878, 805 | 0.00035342688650125, 806 | 0.00002529326093039, 807 | -0.00000936834472708, 808 | -0.00007510736756519, 809 | 0.00035713579889703, 810 | 0.00002486831321400, 811 | -0.00000946659290357, 812 | -0.00007450819703232, 813 | 0.00036079550225587, 814 | 0.00002442497408045, 815 | -0.00000951135051782, 816 | -0.00007387370264815, 817 | 0.00036440496171972, 818 | 0.00002396402024122, 819 | -0.00000948882080778, 820 | -0.00007320448638201, 821 | 0.00036796297854145, 822 | 0.00002348572256606, 823 | -0.00000939350351230, 824 | -0.00007250145793411, 825 | 0.00037146817543578, 826 | 0.00002298981043657, 827 | -0.00000922982689827, 828 | -0.00007176582639950, 829 | 0.00037491901228183, 830 | 0.00002247552019650, 831 | -0.00000901243570365, 832 | -0.00007099904696615, 833 | 0.00037831383019590, 834 | 0.00002194171902751, 835 | -0.00000876497308638, 836 | -0.00007020273028748, 837 | 0.00038165091762170, 838 | 0.00002138708436978, 839 | -0.00000851739951111, 840 | -0.00006937852793809, 841 | 0.00038492858866229, 842 | 0.00002081031096911, 843 | -0.00000830210666240, 844 | -0.00006852801113838, 845 | 0.00038814526192523, 846 | 0.00002021031392269, 847 | -0.00000814928042014, 848 | -0.00006765256112503, 849 | 0.00039129952797134, 850 | 0.00001958639720269, 851 | -0.00000808211477098, 852 | -0.00006675328794198, 853 | 0.00039439019508120, 854 | 0.00001893836281659, 855 | -0.00000811255400474, 856 | -0.00006583099025147, 857 | 0.00039741630624176, 858 | 0.00001826654509037, 859 | -0.00000823822768544, 860 | -0.00006488616262582, 861 | 0.00040037712453059, 862 | 0.00001757176607602, 863 | -0.00000844113671517, 864 | -0.00006391904959787, 865 | 0.00040327208879652, 866 | 0.00001685522002236, 867 | -0.00000868845672450, 868 | -0.00006292973860541, 869 | 0.00040610074599109, 870 | 0.00001611830540841, 871 | -0.00000893556658837, 872 | -0.00006191827795199, 873 | 0.00040886267002593, 874 | 0.00001536243065315, 875 | -0.00000913111494853, 876 | -0.00006088480195245, 877 | 0.00041155737908572, 878 | 0.00001458882318593, 879 | -0.00000922364303987, 880 | -0.00005982964415799, 881 | 0.00041418426360422, 882 | 0.00001379837061243, 883 | -0.00000916902717137, 884 | -0.00005875342118495, 885 | 0.00041674253556978, 886 | 0.00001299151745159, 887 | -0.00000893782563478, 888 | -0.00005765707398264, 889 | 0.00041923120669578, 890 | 0.00001216823220647, 891 | -0.00000852154177102, 892 | -0.00005654185973923, 893 | 0.00042164909873907, 894 | 0.00001132804872656, 895 | -0.00000793686473773, 896 | -0.00005540929509024, 897 | 0.00042399488451286, 898 | 0.00001047017457718, 899 | -0.00000722712470060, 900 | -0.00005426105873409, 901 | 0.00042626715362550, 902 | 0.00000959364915035, 903 | -0.00000646048622360, 904 | -0.00005309886783972, 905 | 0.00042846449335822, 906 | 0.00000869752701302, 907 | -0.00000572477386787, 908 | -0.00005192434678103, 909 | 0.00043058557290888, 910 | 0.00000778105854193, 911 | -0.00000511923635768, 912 | -0.00005073890809855, 913 | 0.00043262921879680, 914 | 0.00000684384070298, 915 | -0.00000474396122053, 916 | -0.00004954366393231, 917 | 0.00043459447060303, 918 | 0.00000588591571401, 919 | -0.00000468799957141, 920 | -0.00004833938171218, 921 | 0.00043648060919583, 922 | 0.00000490780349028, 923 | -0.00000501750393489, 924 | -0.00004712649128479, 925 | 0.00043828715371114, 926 | 0.00000391046393838, 927 | -0.00000576528423469, 928 | -0.00004590514288243, 929 | 0.00044001382819915, 930 | 0.00000289519577801, 931 | -0.00000692312724152, 932 | -0.00004467530757442, 933 | 0.00044166050331460, 934 | 0.00000186348802925, 935 | -0.00000843800048584, 936 | -0.00004343690526777, 937 | 0.00044322712205264, 938 | 0.00000081684719938, 939 | -0.00001021289043436, 940 | -0.00004218994095880, 941 | 0.00044471362077802, 942 | -0.00000024337346496, 943 | -0.00001211254276680, 944 | -0.00004093462846829, 945 | 0.00044611985733704, 946 | -0.00000131611697806, 947 | -0.00001397383109425, 948 | -0.00003967148257715, 949 | 0.00044744555681275, 950 | -0.00000240071672677, 951 | -0.00001561994012699, 952 | -0.00003840136509398, 953 | 0.00044869028268382, 954 | -0.00000349692821431, 955 | -0.00001687707335001, 956 | -0.00003712547725850, 957 | 0.00044985343721396, 958 | -0.00000460489454300, 959 | -0.00001759204194812, 960 | -0.00003584529899339, 961 | 0.00045093429043439, 962 | -0.00000572505178449, 963 | -0.00001764890717303, 964 | -0.00003456248363731, 965 | 0.00045193203276946, 966 | -0.00000685798936412, 967 | -0.00001698286066809, 968 | -0.00003327872368595, 969 | 0.00045284584284478, 970 | -0.00000800428716416, 971 | -0.00001558974262359, 972 | -0.00003199560767088, 973 | 0.00045367495983721, 974 | -0.00000916435426935, 975 | -0.00001352999884960, 976 | -0.00003071448988851, 977 | 0.00045441874919408, 978 | -0.00001033829369751, 979 | -0.00001092642576037, 980 | -0.00002943639297929, 981 | 0.00045507675173345, 982 | -0.00001152581321584, 983 | -0.00000795568972940, 984 | -0.00002816195857307, 985 | 0.00045564870884155, 986 | -0.00001272619512701, 987 | -0.00000483426541834, 988 | -0.00002689145405479, 989 | 0.00045613456028058, 990 | -0.00001393832884375, 991 | -0.00000180004364490, 992 | -0.00002562483503111, 993 | 0.00045653441541876, 994 | -0.00001516080054705, 995 | 0.00000090865534506, 996 | -0.00002436185457017, 997 | 0.00045684850282870, 998 | -0.00001639202568171, 999 | 0.00000307461878852, 1000 | -0.00002310220304099, 1001 | 0.00045707710653372, 1002 | -0.00001763040375873, 1003 | 0.00000452168933937, 1004 | -0.00002184565751715, 1005 | 0.00045722049920335, 1006 | -0.00001887447182588, 1007 | 0.00000513111739330, 1008 | -0.00002059221800051, 1009 | 0.00045727888300263, 1010 | -0.00002012303346320, 1011 | 0.00000485265906912, 1012 | -0.00001934220946393, 1013 | 0.00045725234752868, 1014 | -0.00002137524414143, 1015 | 0.00000370928822029, 1016 | -0.00001809633368223, 1017 | 0.00045714085152474, 1018 | -0.00002263064060277, 1019 | 0.00000179504072278, 1020 | -0.00001685566229812, 1021 | 0.00045694423127624, 1022 | -0.00002388911052399, 1023 | -0.00000073378847095, 1024 | -0.00001562157144544, 1025 | 0.00045666223435553, 1026 | -0.00002515080778147; -------------------------------------------------------------------------------- /filter/4_tap_equiripple/lane14.coe: -------------------------------------------------------------------------------- 1 | radix=10; 2 | coefdata = 3 | -0.00001431931318200, 4 | 0.00045626874396771, 5 | -0.00002649522700654, 6 | -0.00000386531241240, 7 | -0.00001310378602024, 8 | 0.00045580978488453, 9 | -0.00002776450049532, 10 | -0.00000698549072036, 11 | -0.00001189959587376, 12 | 0.00045526473809469, 13 | -0.00002903771916203, 14 | -0.00001002797380873, 15 | -0.00001070796008578, 16 | 0.00045463360659389, 17 | -0.00003031472221201, 18 | -0.00001276745874769, 19 | -0.00000952972551190, 20 | 0.00045391658546286, 21 | -0.00003159498840030, 22 | -0.00001501309515974, 23 | -0.00000836531706040, 24 | 0.00045311407265600, 25 | -0.00003287761140295, 26 | -0.00001662406027761, 27 | -0.00000721474824306, 28 | 0.00045222665218678, 29 | -0.00003416133211591, 30 | -0.00001751988307974, 31 | -0.00000607769291334, 32 | 0.00045125505187628, 33 | -0.00003544462239169, 34 | -0.00001768467454918, 35 | -0.00000495360800423, 36 | 0.00045020008185451, 37 | -0.00003672580702461, 38 | -0.00001716507072691, 39 | -0.00000384188914644, 40 | 0.00044906256310476, 41 | -0.00003800320517361, 42 | -0.00001606234690732, 43 | -0.00000274203577837, 44 | 0.00044784325703366, 45 | -0.00003927526969173, 46 | -0.00001451974404810, 47 | -0.00000165380059288, 48 | 0.00044654280705948, 49 | -0.00004054070339118, 50 | -0.00001270650067608, 51 | -0.00000057730021757, 52 | 0.00044516170152299, 53 | -0.00004179853499008, 54 | -0.00001080035993899, 55 | 0.00000048693036592, 56 | 0.00044370026408227, 57 | -0.00004304814376702, 58 | -0.00000897039765669, 59 | 0.00000153794880021, 60 | 0.00044215867362495, 61 | -0.00004428922981489, 62 | -0.00000736189215472, 63 | 0.00000257448099671, 64 | 0.00044053701124064, 65 | -0.00004552173503367, 66 | -0.00000608465187600, 67 | 0.00000359505082166, 68 | 0.00043883532762628, 69 | -0.00004674572738334, 70 | -0.00000520577331821, 71 | 0.00000459814479014, 72 | 0.00043705372108661, 73 | -0.00004796126632957, 74 | -0.00000474727492381, 75 | 0.00000558238711473, 76 | 0.00043519241453837, 77 | -0.00004916827006077, 78 | -0.00000468850463262, 79 | 0.00000654669852029, 80 | 0.00043325181990965, 81 | -0.00005036640456660, 82 | -0.00000497271216671, 83 | 0.00000749041433388, 84 | 0.00043123258006246, 85 | -0.00005155501115235, 86 | -0.00000551676661422, 87 | 0.00000841334322500, 88 | 0.00042913558160933, 89 | -0.00005273308298380, 90 | -0.00000622272687585, 91 | 0.00000931575676474, 92 | 0.00042696193626520, 93 | -0.00005389929374158, 94 | -0.00000698986063046, 95 | 0.00001019831039282, 96 | 0.00042471293303846, 97 | -0.00005505207356302, 98 | -0.00000772576049775, 99 | 0.00001106190686184, 100 | 0.00042238996791973, 101 | -0.00005618972035972, 102 | -0.00000835540852157, 103 | 0.00001190752217015, 104 | 0.00041999446111906, 105 | -0.00005731052938175, 106 | -0.00000882735984389, 107 | 0.00001273602001172, 108 | 0.00041752777381490, 109 | -0.00005841292132242, 110 | -0.00000911660933469, 111 | 0.00001354798290230, 112 | 0.00041499113651940, 113 | -0.00005949554968270, 114 | -0.00000922412064029, 115 | 0.00001434358600474, 116 | 0.00041238559950409, 117 | -0.00006055737144673, 118 | -0.00000917338539268, 119 | 0.00001512253353542, 120 | 0.00040971201251017, 121 | -0.00006159767082614, 122 | -0.00000900469710576, 123 | 0.00001588406836690, 124 | 0.00040697103666501, 125 | -0.00006261603302615, 126 | -0.00000876803662286, 127 | 0.00001662705441667, 128 | 0.00040416318678302, 129 | -0.00006361227256897, 130 | -0.00000851555544689, 131 | 0.00001735012028534, 132 | 0.00040128889775080, 133 | -0.00006458632753138, 134 | -0.00000829460742504, 135 | 0.00001805184307595, 136 | 0.00039834860515599, 137 | -0.00006553813609135, 138 | -0.00000814213101104, 139 | 0.00001873094486867, 140 | 0.00039534282824387, 141 | -0.00006646751428960, 142 | -0.00000808094956050, 143 | 0.00001938647197391, 144 | 0.00039227224298680, 145 | -0.00006737405354297, 146 | -0.00000811827071495, 147 | 0.00002001792926400, 148 | 0.00038913773457254, 149 | -0.00006825705328032, 150 | -0.00000824636694785, 151 | 0.00002062534832573, 152 | 0.00038594042172738, 153 | -0.00006911549861426, 154 | -0.00000844514589709, 155 | 0.00002120927796582, 156 | 0.00038268164952170, 157 | -0.00006994808606004, 158 | -0.00000868610365160, 159 | 0.00002177069729638, 160 | 0.00037936295201940, 161 | -0.00007075329302222, 162 | -0.00000893701975489, 163 | 0.00002231086347154, 164 | 0.00037598599062227, 165 | -0.00007152948019549, 166 | -0.00000916671063507, 167 | 0.00002283111633333, 168 | 0.00037255247754854, 169 | -0.00007227501115461, 170 | -0.00000934920728414, 171 | 0.00002333266917331, 172 | 0.00036906409603555, 173 | -0.00007298837095910, 174 | -0.00000946685051506, 175 | 0.00002381641741196, 176 | 0.00036552242924908, 177 | -0.00007366826591906, 178 | -0.00000951198100336, 179 | 0.00002428279477592, 180 | 0.00036192890846259, 181 | -0.00007431368968407, 182 | -0.00000948711369608, 183 | 0.00002473169977209, 184 | 0.00035828478805850, 185 | -0.00007492394604763, 186 | -0.00000940369728231, 187 | 0.00002516250488107, 188 | 0.00035459115076270, 189 | -0.00007549862549388, 190 | -0.00000927974159995, 191 | 0.00002557414844360, 192 | 0.00035084894188255, 193 | -0.00007603753953615, 194 | -0.00000913672701962, 195 | 0.00002596529656068, 196 | 0.00034705902688606, 197 | -0.00007654062324318, 198 | -0.00000899627606418, 199 | 0.00002633455139958, 200 | 0.00034322226310831, 201 | -0.00007700782106610, 202 | -0.00000887706434988, 203 | 0.00002668067479185, 204 | 0.00033933957424089, 205 | -0.00007743897346847, 206 | -0.00000879238044679, 207 | 0.00002700279313082, 208 | 0.00033541201587351, 209 | -0.00007783372158319, 210 | -0.00000874862580093, 211 | 0.00002730055184304, 212 | 0.00033144082177069, 213 | -0.00007819144423854, 214 | -0.00000874489579190, 215 | 0.00002757419486367, 216 | 0.00032742742356433, 217 | -0.00007851123667069, 218 | -0.00000877362373188, 219 | 0.00002782455558135, 220 | 0.00032337344066434, 221 | -0.00007879193384885, 222 | -0.00000882212342526, 223 | 0.00002805295901647, 224 | 0.00031928064180580, 225 | -0.00007903217456056, 226 | -0.00000887475195721, 227 | 0.00002826104856674, 228 | 0.00031515088405353, 229 | -0.00007923049626637, 230 | -0.00000891534627335, 231 | 0.00002845056242767, 232 | 0.00031098603860069, 233 | -0.00007938544615815, 234 | -0.00000892957138996, 235 | 0.00002862309294391, 236 | 0.00030678791478532, 237 | -0.00007949569152188, 238 | -0.00000890685370689, 239 | 0.00002877986536043, 240 | 0.00030255819408484, 241 | -0.00007956011274856, 242 | -0.00000884165185860, 243 | 0.00002892157014085, 244 | 0.00029829838437761, 245 | -0.00007957786509762, 246 | -0.00000873392629470, 247 | 0.00002904827545611, 248 | 0.00029400980170157, 249 | -0.00007954840015750, 250 | -0.00000858879044868, 251 | 0.00002915943467733, 252 | 0.00028969358255529, 253 | -0.00007947144412033, 254 | -0.00000841544320992, 255 | 0.00002925398945484, 256 | 0.00028535072511380, 257 | -0.00007934693654604, 258 | -0.00000822557839731, 259 | 0.00002933055435814, 260 | 0.00028098215328032, 261 | -0.00007917493924271, 262 | -0.00000803152977004, 263 | 0.00002938765631609, 264 | 0.00027658879394911, 265 | -0.00007895552933833, 266 | -0.00000784443282778, 267 | 0.00002942399321020, 268 | 0.00027217165575745, 269 | -0.00007868869290426, 270 | -0.00000767266626188, 271 | 0.00002943867236690, 272 | 0.00026773189728378, 273 | -0.00007837423528341, 274 | -0.00000752078125209, 275 | 0.00002943139201874, 276 | 0.00026327087416087, 277 | -0.00007801172162793, 278 | -0.00000738904553176, 279 | 0.00002940253681613, 280 | 0.00025879015769615, 281 | -0.00007760045648064, 282 | -0.00000727363418421, 283 | 0.00002935317105782, 284 | 0.00025429152185728, 285 | -0.00007713950526370, 286 | -0.00000716740466555, 287 | 0.00002928492863575, 288 | 0.00024977690024701, 289 | -0.00007662775417929, 290 | -0.00000706111296536, 291 | 0.00002919981447064, 292 | 0.00024524831923430, 293 | -0.00007606399924525, 294 | -0.00000694487187170, 295 | 0.00002909994604835, 296 | 0.00024070781703890, 297 | -0.00007544705085252, 298 | -0.00000680962772507, 299 | 0.00002898727340233, 300 | 0.00023615736072773, 301 | -0.00007477583798645, 302 | -0.00000664844077294, 303 | 0.00002886331995659, 304 | 0.00023159877344206, 305 | -0.00007404949642311, 306 | -0.00000645739338114, 307 | 0.00002872898431166, 308 | 0.00022703368267161, 309 | -0.00007326742775180, 310 | -0.00000623601284092, 311 | 0.00002858443455033, 312 | 0.00022246349724759, 313 | -0.00007242932058348, 314 | -0.00000598717125473, 315 | 0.00002842911313412, 316 | 0.00021788941641353, 317 | -0.00007153513108623, 318 | -0.00000571650249422, 319 | 0.00002826185391570, 320 | 0.00021331246949916, 321 | -0.00007058502615870, 322 | -0.00000543144428475, 323 | 0.00002808109567138, 324 | 0.00020873358010201, 325 | -0.00006957929817583, 326 | -0.00000514006273515, 327 | 0.00002788516146319, 328 | 0.00020415364497367, 329 | -0.00006851826447112, 330 | -0.00000484984086931, 331 | 0.00002767256241967, 332 | 0.00019957361557833, 333 | -0.00006740216692723, 334 | -0.00000456660957281, 335 | 0.00002744227990721, 336 | 0.00019499456988206, 337 | -0.00006623108691301, 338 | -0.00000429377052436, 339 | 0.00002719398238295, 340 | 0.00019041776340881, 341 | -0.00006500488836696, 342 | -0.00000403191144646, 343 | 0.00002692814227017, 344 | 0.00018584465174363, 345 | -0.00006372319747084, 346 | -0.00000377885239413, 347 | 0.00002664603273937, 348 | 0.00018127688100116, 349 | -0.00006238542175512, 350 | -0.00000353009725959, 351 | 0.00002634960221090, 352 | 0.00017671624765236, 353 | -0.00006099080548689, 354 | -0.00000327960667701, 355 | 0.00002604124305388, 356 | 0.00017216463377422, 357 | -0.00005953851272023, 358 | -0.00000302076519481, 359 | 0.00002572348750713, 360 | 0.00016762392754571, 361 | -0.00005802772526220, 362 | -0.00000274739261169, 363 | 0.00002539867571761, 364 | 0.00016309594108335, 365 | -0.00005645774064094, 366 | -0.00000245464923612, 367 | 0.00002506864606474, 368 | 0.00015858233814322, 369 | -0.00005482805526867, 370 | -0.00000213970660837, 371 | 0.00002473449566079, 372 | 0.00015408458274076, 373 | -0.00005313842034075, 374 | -0.00000180209485848, 375 | 0.00002439644926852, 376 | 0.00014960391657491, 377 | -0.00005138886223116, 378 | -0.00000144368887385, 379 | 0.00002405385916137, 380 | 0.00014514136876635, 381 | -0.00004957966458273, 382 | -0.00000106834993315, 383 | 0.00002370533893263, 384 | 0.00014069779649211, 385 | -0.00004771131511647, 386 | -0.00000068128934740, 387 | 0.00002334901382904, 388 | 0.00013627395037182, 389 | -0.00004578442551221, 390 | -0.00000028825883596, 391 | 0.00002298285194194, 392 | 0.00013187055465252, 393 | -0.00004379963674013, 394 | 0.00000010530626989, 395 | 0.00002260502737089, 396 | 0.00012748838993561, 397 | -0.00004175752434672, 398 | 0.00000049506283733, 399 | 0.00002221426041807, 400 | 0.00012312836574542, 401 | -0.00003965851811044, 402 | 0.00000087834340443, 403 | 0.00002181008207148, 404 | 0.00011879157173011, 405 | -0.00003750284820932, 406 | 0.00000125446847441, 407 | 0.00002139298035203, 408 | 0.00011447929949225, 409 | -0.00003529052594187, 410 | 0.00000162475166741, 411 | 0.00002096440315420, 412 | 0.00011019303148647, 413 | -0.00003302136174796, 414 | 0.00000199220834337, 415 | 0.00002052661356129, 416 | 0.00010593439842395, 417 | -0.00003069501759579, 418 | 0.00000236102162863, 419 | 0.00002008241610900, 420 | 0.00010170511142840, 421 | -0.00002831108559856, 422 | 0.00000273585360287, 423 | 0.00001963479269783, 424 | 0.00009750687906279, 425 | -0.00002586918078564, 426 | 0.00000312110910603, 427 | 0.00001918650167159, 428 | 0.00009334132169653, 429 | -0.00002336903386562, 430 | 0.00000352026281299, 431 | 0.00001873970058639, 432 | 0.00008920989614417, 433 | -0.00002081056989149, 434 | 0.00000393534700426, 435 | 0.00001829565112586, 436 | 0.00008511384200101, 437 | -0.00001819396094423, 438 | 0.00000436667034446, 439 | 0.00001785455355692, 440 | 0.00008105415784856, 441 | -0.00001551964494825, 442 | 0.00000481280142919, 443 | 0.00001741553952529, 444 | 0.00007703161099160, 445 | -0.00001278830790170, 446 | 0.00000527081057286, 447 | 0.00001697682850381, 448 | 0.00007304677929983, 449 | -0.00001000083236020, 450 | 0.00000573672533942, 451 | 0.00001653602828872, 452 | 0.00006910011883314, 453 | -0.00000715822010859, 454 | 0.00000620612517935, 455 | 0.00001609053735388, 456 | 0.00006519204697687, 457 | -0.00000426150082426, 458 | 0.00000667478241075, 459 | 0.00001563799013267, 460 | 0.00006132302840819, 461 | -0.00000131164059751, 462 | 0.00000713925295005, 463 | 0.00001517667811172, 464 | 0.00005749365073003, 465 | 0.00000169053587294, 466 | 0.00000759733076047, 467 | 0.00001470588147109, 468 | 0.00005370467812850, 469 | 0.00000474439772931, 470 | 0.00000804830292598, 471 | 0.00001422605788362, 472 | 0.00004995707471068, 473 | 0.00000784952726305, 474 | 0.00000849297379734, 475 | 0.00001373885545201, 476 | 0.00004625199376813, 477 | 0.00001100570334781, 478 | 0.00000893346186893, 479 | 0.00001324694274560, 480 | 0.00004259073439492, 481 | 0.00001421285170089, 482 | 0.00000937280661781, 483 | 0.00001275367668894, 484 | 0.00003897467188081, 485 | 0.00001747096967712, 486 | 0.00000981444956085, 487 | 0.00001226265446381, 488 | 0.00003540517233857, 489 | 0.00002078003710612, 490 | 0.00001026167047060, 491 | 0.00001177721466967, 492 | 0.00003188350449048, 493 | 0.00002413992672938, 494 | 0.00001071706388318, 495 | 0.00001129996265401, 496 | 0.00002841076204791, 497 | 0.00002755032777619, 498 | 0.00001118213248905, 499 | 0.00001083239342692, 500 | 0.00002498780858282, 501 | 0.00003101069415178, 502 | 0.00001165705436363, 503 | 0.00001037467281904, 504 | 0.00002161525343329, 505 | 0.00003452022492083, 506 | 0.00001214065350295, 507 | 0.00000992561514349, 508 | 0.00001829346250898, 509 | 0.00003807787983714, 510 | 0.00001263057207917, 511 | 0.00000948286671333, 512 | 0.00001502260257087, 513 | 0.00004168242734951, 514 | 0.00001312361292258, 515 | 0.00000904327336068, 516 | 0.00001180271245568, 517 | 0.00004533251761171, 518 | 0.00001361619636534, 519 | 0.00000860338129109, 520 | 0.00000863379057621, 521 | 0.00004902676928150, 522 | 0.00001410486020073, 523 | 0.00000815999865360, 524 | 0.00000551588549062, 525 | 0.00005276385687030, 526 | 0.00001458672714055, 527 | 0.00000771073366816, 528 | 0.00000244917579030, 529 | 0.00005654258539914, 530 | 0.00001505987113216, 531 | 0.00000725442610748, 532 | -0.00000056597289822, 533 | 0.00006036194111828, 534 | 0.00001552353086971, 535 | 0.00000679140262175, 536 | -0.00000352898259077, 537 | 0.00006422111074340, 538 | 0.00001597814300886, 539 | 0.00000632351113284, 540 | -0.00000643907332920, 541 | 0.00006811946648261, 542 | 0.00001642519518308, 543 | 0.00000585392188766, 544 | -0.00000929530789233, 545 | 0.00007205651933880, 546 | 0.00001686692573692, 547 | 0.00000538671805847, 548 | -0.00001209666423073, 549 | 0.00007603184797961, 550 | 0.00001730591917628, 551 | 0.00000492633172548, 552 | -0.00001484212477378, 553 | 0.00008004501414585, 554 | 0.00001774466053683, 555 | 0.00000447690657767, 556 | -0.00001753076913716, 557 | 0.00008409547756637, 558 | 0.00001818511629078, 559 | 0.00000404168259070, 560 | -0.00002016185617460, 561 | 0.00008818252336785, 562 | 0.00001862840365268, 563 | 0.00000362249778417, 564 | -0.00002273488286844, 565 | 0.00009230521301888, 566 | 0.00001907459533007, 567 | 0.00000321948747726, 568 | -0.00002524961101537, 569 | 0.00009646236623248, 570 | 0.00001952268533527, 571 | 0.00000283103400109, 572 | -0.00002770605751947, 573 | 0.00010065257652624, 574 | 0.00001997071678628, 575 | 0.00000245398333652, 576 | -0.00003010444962384, 577 | 0.00010487425802607, 578 | 0.00002041604843540, 579 | 0.00000208410487587, 580 | -0.00003244515175616, 581 | 0.00010912571637436, 582 | 0.00002085571655893, 583 | 0.00000171673245794, 584 | -0.00003472857502048, 585 | 0.00011340523298126, 586 | 0.00002128683570888, 587 | 0.00000134749487006, 588 | -0.00003695508306525, 589 | 0.00011771114988393, 590 | 0.00002170697744762, 591 | 0.00000097302699543, 592 | -0.00003912490867936, 593 | 0.00012204194244309, 594 | 0.00002211447099344, 595 | 0.00000059155176158, 596 | -0.00004123809390405, 597 | 0.00012639626900857, 598 | 0.00002250858275478, 599 | 0.00000020323874873, 600 | -0.00004329446292760, 601 | 0.00013077299022697, 602 | 0.00002288955087949, 603 | -0.00000018972403471, 604 | -0.00004529363207491, 605 | 0.00013517115530181, 606 | 0.00002325847318887, 607 | -0.00000058336722209, 608 | -0.00004723505556330, 609 | 0.00013958995754191, 610 | 0.00002361706881778, 611 | -0.00000097246161173, 612 | -0.00004911810022414, 613 | 0.00014402866618273, 614 | 0.00002396735227403, 615 | -0.00000135125529591, 616 | -0.00005094213792287, 617 | 0.00014848654503424, 618 | 0.00002431127080778, 619 | -0.00000171432187624, 620 | -0.00005270664163389, 621 | 0.00015296277046065, 622 | 0.00002465036027275, 623 | -0.00000205739411949, 624 | -0.00005441127047683, 625 | 0.00015745636124221, 626 | 0.00002498547061267, 627 | -0.00000237805460226, 628 | -0.00005605593060746, 629 | 0.00016196613100690, 630 | 0.00002531660051402, 631 | -0.00000267617155276, 632 | -0.00005764080245451, 633 | 0.00016649067044137, 634 | 0.00002564286353398, 635 | -0.00000295400246529, 636 | -0.00005916632986570, 637 | 0.00017102836192857, 638 | 0.00002596258784091, 639 | -0.00000321593562584, 640 | -0.00006063317250958, 641 | 0.00017557742431136, 642 | 0.00002627353166998, 643 | -0.00000346789375914, 644 | -0.00006204212849753, 645 | 0.00018013598089957, 646 | 0.00002657317968741, 647 | -0.00000371647664626, 648 | -0.00006339403878393, 649 | 0.00018470214031645, 650 | 0.00002685907412665, 651 | -0.00000396796271990, 652 | -0.00006468968776571, 653 | 0.00018927407785152, 654 | 0.00002712913037680, 655 | -0.00000422731637847, 656 | -0.00006592971518643, 657 | 0.00019385010493794, 658 | 0.00002738189013575, 659 | -0.00000449735331967, 660 | -0.00006711455283478, 661 | 0.00019842871620605, 662 | 0.00002761667560688, 663 | -0.00000477819883137, 664 | -0.00006824439584377, 665 | 0.00020300860699768, 666 | 0.00002783362382116, 667 | -0.00000506713533141, 668 | -0.00006931921319122, 669 | 0.00020758865873195, 670 | 0.00002803359856108, 671 | -0.00000535888044111, 672 | -0.00007033879605664, 673 | 0.00021216789440802, 674 | 0.00002821799574052, 675 | -0.00000564627311516, 676 | -0.00007130283690590, 677 | 0.00021674541106843, 678 | 0.00002838847370988, 679 | -0.00000592128209222, 680 | -0.00007221102743499, 681 | 0.00022132029954263, 682 | 0.00002854665053234, 683 | -0.00000617619776913, 684 | -0.00007306316053750, 685 | 0.00022589156371600, 686 | 0.00002869381434021, 687 | -0.00000640483403788, 688 | -0.00007385922073339, 689 | 0.00023045805163719, 690 | 0.00002883068995837, 691 | -0.00000660355674532, 692 | -0.00007459944913491, 693 | 0.00023501840898042, 694 | 0.00002895729565578, 695 | -0.00000677197288984, 696 | -0.00007528437279809, 697 | 0.00023957106199587, 698 | 0.00002907290968393, 699 | -0.00000691315811794, 700 | -0.00007591479365612, 701 | 0.00024411423262656, 702 | 0.00002917614940546, 703 | -0.00000703336421779, 704 | -0.00007649173835082, 705 | 0.00024864598362083, 706 | 0.00002926514888377, 707 | -0.00000714122445898, 708 | -0.00007701637624394, 709 | 0.00025316428695981, 710 | 0.00002933780632944, 711 | -0.00000724655190906, 712 | -0.00007748991779197, 713 | 0.00025766710543063, 714 | 0.00002939206289907, 715 | -0.00000735889268408, 716 | -0.00007791350855028, 717 | 0.00026215247523138, 718 | 0.00002942617040692, 719 | -0.00000748604187488, 720 | -0.00007828813485374, 721 | 0.00026661857738303, 722 | 0.00002943890801125, 723 | -0.00000763274667220, 724 | -0.00007861455556251, 725 | 0.00027106378745604, 726 | 0.00002942971637376, 727 | -0.00000779980497080, 728 | -0.00007889327039971, 729 | 0.00027548669642653, 730 | 0.00002939873078369, 731 | -0.00000798371917681, 732 | -0.00007912452991244, 733 | 0.00027988609985322, 734 | 0.00002934671025366, 735 | -0.00000817698964869, 736 | -0.00007930838578377, 737 | 0.00028426095734451, 738 | 0.00002927487526021, 739 | -0.00000836904002390, 740 | -0.00007944477406569, 741 | 0.00028861032874163, 742 | 0.00002918468026841, 743 | -0.00000854767051366, 744 | -0.00007953361883019, 745 | 0.00029293329690451, 746 | 0.00002907755647187, 747 | -0.00000870084925825, 748 | -0.00007957494052875, 749 | 0.00029722888893345, 750 | 0.00002895466398324, 751 | -0.00000881858945274, 752 | -0.00007956895251376, 753 | 0.00030149600780214, 754 | 0.00002881669055896, 755 | -0.00000889463182889, 756 | -0.00007951613085340, 757 | 0.00030573338470421, 758 | 0.00002866372626920, 759 | -0.00000892766437458, 760 | -0.00007941724652954, 761 | 0.00030993955918764, 762 | 0.00002849523158102, 763 | -0.00000892186440444, 764 | -0.00007927335476059, 765 | 0.00031411288986650, 766 | 0.00002831010197973, 767 | -0.00000888663675536, 768 | -0.00007908574269003, 769 | 0.00031825159381427, 770 | 0.00002810681770285, 771 | -0.00000883553490937, 772 | -0.00007885584304304, 773 | 0.00032235380837198, 774 | 0.00002788365458934, 775 | -0.00000878447394814, 776 | -0.00007858512660701, 777 | 0.00032641766571244, 778 | 0.00002763892330269, 779 | -0.00000874945788688, 780 | -0.00007827498972733, 781 | 0.00033044136860260, 782 | 0.00002737120051259, 783 | -0.00000874413178762, 784 | -0.00007792665390293, 785 | 0.00033442325568505, 786 | 0.00002707951747704, 787 | -0.00000877751651628, 788 | -0.00007754109286023, 789 | 0.00033836184626956, 790 | 0.00002676347847836, 791 | -0.00000885228151148, 792 | -0.00007711899841844, 793 | 0.00034225585782733, 794 | 0.00002642329258936, 795 | -0.00000896385560515, 796 | -0.00007666079063197, 797 | 0.00034610419362137, 798 | 0.00002605971555703, 799 | -0.00000910057242699, 800 | -0.00007616667098298, 801 | 0.00034990590253581, 802 | 0.00002567391215202, 803 | -0.00000924490718293, 804 | -0.00007563671081206, 805 | 0.00035366011747609, 806 | 0.00002526726110465, 807 | -0.00000937570358531, 808 | -0.00007507096172596, 809 | 0.00035736598204194, 810 | 0.00002484113299497, 811 | -0.00000947113519347, 812 | -0.00007446957124628, 813 | 0.00036102257701531, 814 | 0.00002439667500873, 815 | -0.00000951201707689, 816 | -0.00007383288601210, 817 | 0.00036462885827471, 818 | 0.00002393463485942, 819 | -0.00000948500195205, 820 | -0.00007316152658764, 821 | 0.00036818361603253, 822 | 0.00002345524973905, 823 | -0.00000938517486293, 824 | -0.00007245642211474, 825 | 0.00037168546205223, 826 | 0.00002295821594313, 827 | -0.00000921760938052, 828 | -0.00007171879907002, 829 | 0.00037513284723037, 830 | 0.00002244274241682, 831 | -0.00000899756417396, 832 | -0.00007095012533891, 833 | 0.00037852410727307, 834 | 0.00002190767878706, 835 | -0.00000874917002006, 836 | -0.00007015201764969, 837 | 0.00038185752986636, 838 | 0.00002135169738972, 839 | -0.00000850266362609, 840 | -0.00006932612607881, 841 | 0.00038513143339488, 842 | 0.00002077350100614, 843 | -0.00000829043947487, 844 | -0.00006847401296771, 845 | 0.00038834424541572, 846 | 0.00002017202460830, 847 | -0.00000814238466104, 848 | -0.00006759704460927, 849 | 0.00039149456902731, 850 | 0.00001954660081885, 851 | -0.00000808110564304, 852 | -0.00006669631228904, 853 | 0.00039458122700511, 854 | 0.00001889706473425, 855 | -0.00000811772615569, 856 | -0.00006577259494586, 857 | 0.00039760327684748, 858 | 0.00001822378326979, 859 | -0.00000824891685744, 860 | -0.00006482636948388, 861 | 0.00040055999419886, 862 | 0.00001752760578083, 863 | -0.00000845570539842, 864 | -0.00006385786755460, 865 | 0.00040345082684234, 866 | 0.00001680974461773, 867 | -0.00000870441867071, 868 | -0.00006286717052429, 869 | 0.00040627532587014, 870 | 0.00001607160468986, 871 | -0.00000894984732111, 872 | -0.00006185432843394, 873 | 0.00040903306408276, 874 | 0.00001531458850258, 875 | -0.00000914042658050, 876 | -0.00006081948495710, 877 | 0.00041172355361776, 878 | 0.00001453990642594, 879 | -0.00000922493420711, 880 | -0.00005976298926758, 881 | 0.00041434617497169, 882 | 0.00001374842072142, 883 | -0.00000915995514539, 884 | -0.00005868547753631, 885 | 0.00041690012792858, 886 | 0.00001294054634709, 887 | -0.00000891718976958, 888 | -0.00005758791123926, 889 | 0.00041938441169149, 890 | 0.00001211622267381, 891 | -0.00000848961685103, 892 | -0.00005647156592050, 893 | 0.00042179783721153, 894 | 0.00001127495936215, 895 | -0.00000789558012775, 896 | -0.00005533797155288, 897 | 0.00042413906996421, 898 | 0.00001041594843810, 899 | -0.00000718005008746, 900 | -0.00005418881303876, 901 | 0.00042640669694612, 902 | 0.00000953822475555, 903 | -0.00000641260581326, 904 | -0.00005302580556581, 905 | 0.00042859930812164, 906 | 0.00000864085000711, 907 | -0.00000568205591921, 908 | -0.00005185056352474, 909 | 0.00043071558046640, 910 | 0.00000772309225692, 911 | -0.00000508803101136, 912 | -0.00005066448287397, 913 | 0.00043275435243675, 914 | 0.00000678457404452, 915 | -0.00000473028352924, 916 | -0.00004946865499421, 917 | 0.00043471467818212, 918 | 0.00000582536722366, 919 | -0.00000469677334362, 920 | -0.00004826382546043, 921 | 0.00043659585388002, 922 | 0.00000484602102737, 923 | -0.00000505185282953, 924 | -0.00004705040444689, 925 | 0.00043839741274531, 926 | 0.00000384752009197, 927 | -0.00000582595767605, 928 | -0.00004582852767271, 929 | 0.00044011908991630, 930 | 0.00000283117976064, 931 | -0.00000700813944155, 932 | -0.00004459815907073, 933 | 0.00044176076285301, 934 | 0.00000179849532311, 935 | -0.00000854254167837, 936 | -0.00004335921990008, 937 | 0.00044332237643477, 938 | 0.00000075096854570, 939 | -0.00001032954208226, 940 | -0.00004211172482232, 941 | 0.00044480386409068, 942 | -0.00000031006206598, 943 | -0.00001223179580819, 944 | -0.00004085590418659, 945 | 0.00044620507672670, 946 | -0.00000138356176522, 947 | -0.00001408487183039, 948 | -0.00003959229364810, 949 | 0.00044752572987748, 950 | -0.00000246889008017, 951 | -0.00001571163607646, 952 | -0.00003832177702391, 953 | 0.00044876537662510, 954 | -0.00000356582842521, 955 | -0.00001693906516514, 956 | -0.00003704557527255, 957 | 0.00044992340984103, 958 | -0.00000467454154500, 959 | -0.00001761583061851, 960 | -0.00003576518263033, 961 | 0.00045099909283322, 962 | -0.00000579547954715, 963 | -0.00001762882056339, 964 | -0.00003448225901627, 965 | 0.00045199161319853, 966 | -0.00000692923614385, 967 | -0.00001691679101013, 968 | -0.00003319849459692, 969 | 0.00045290015124081, 970 | -0.00000807638511425, 971 | -0.00001547956651444, 972 | -0.00003191546683332, 973 | 0.00045372395222990, 974 | -0.00000923731998734, 975 | -0.00001338162176873, 976 | -0.00003063451171242, 977 | 0.00045446239135371, 978 | -0.00001041212112597, 979 | -0.00001074943100581, 980 | -0.00002935662894886, 981 | 0.00045511502150298, 982 | -0.00001160046993876, 983 | -0.00000776261295507, 984 | -0.00002808243598569, 985 | 0.00045568159681554, 986 | -0.00001280162257811, 987 | -0.00000463955610587, 988 | -0.00002681217834460, 989 | 0.00045616206875413, 990 | -0.00001401444634617, 991 | -0.00000161880936921, 992 | -0.00002554579536148, 993 | 0.00045655655579973, 994 | -0.00001523751252661, 995 | 0.00000106200104236, 996 | -0.00002428303187698, 997 | 0.00045686529194438, 998 | -0.00001646923092543, 999 | 0.00000318767165381, 1000 | -0.00002302357932463, 1001 | 0.00045708856243237, 1002 | -0.00001770800529604, 1003 | 0.00000458520114529, 1004 | -0.00002176722497646, 1005 | 0.00045722663712541, 1006 | -0.00001895238593340, 1007 | 0.00000513985820893, 1008 | -0.00002051398660927, 1009 | 0.00045727971216525, 1010 | -0.00002020119644538, 1011 | 0.00000480590729899, 1012 | -0.00001926421181190, 1013 | 0.00045724786923549, 1014 | -0.00002145361589118, 1015 | 0.00000361089834534, 1016 | -0.00001801862630612, 1017 | 0.00045713105890121, 1018 | -0.00002270920444476, 1019 | 0.00000165308181195, 1020 | -0.00001677832325531, 1021 | 0.00045692911067259, 1022 | -0.00002396786941034, 1023 | -0.00000090778651949, 1024 | -0.00001554469445379, 1025 | 0.00045664176819446, 1026 | -0.00002522977745934; -------------------------------------------------------------------------------- /filter/8_tap_equiripple/8_tap_equirip_channelizer_resp.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/MazinLab/RFSoC_OPFB/8ab3d5b6136490ebdf3b24953889decdfc3f40ae/filter/8_tap_equiripple/8_tap_equirip_channelizer_resp.png -------------------------------------------------------------------------------- /filter/8_tap_equiripple/8_tap_equirip_image_rej.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/MazinLab/RFSoC_OPFB/8ab3d5b6136490ebdf3b24953889decdfc3f40ae/filter/8_tap_equiripple/8_tap_equirip_image_rej.png -------------------------------------------------------------------------------- /filter/8_tap_equiripple/8_tap_equirip_time_domain.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/MazinLab/RFSoC_OPFB/8ab3d5b6136490ebdf3b24953889decdfc3f40ae/filter/8_tap_equiripple/8_tap_equirip_time_domain.png -------------------------------------------------------------------------------- /learning/Polyphase_Explanantion.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/MazinLab/RFSoC_OPFB/8ab3d5b6136490ebdf3b24953889decdfc3f40ae/learning/Polyphase_Explanantion.pdf -------------------------------------------------------------------------------- /py/images/banner.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/MazinLab/RFSoC_OPFB/8ab3d5b6136490ebdf3b24953889decdfc3f40ae/py/images/banner.png -------------------------------------------------------------------------------- /py/images/direct_loopback.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/MazinLab/RFSoC_OPFB/8ab3d5b6136490ebdf3b24953889decdfc3f40ae/py/images/direct_loopback.png -------------------------------------------------------------------------------- /vivado/build_bitstream.tcl: -------------------------------------------------------------------------------- 1 | set design_name [lindex $argv 0] 2 | 3 | # Open project 4 | open_project ./${design_name}_prj/${design_name}_prj.xpr 5 | open_bd_design ./${design_name}_prj/${design_name}_prj.srcs/sources_1/bd/${design_name}/${design_name}.bd 6 | 7 | # Call implement 8 | launch_runs impl_1 -to_step write_bitstream -jobs 32 9 | wait_on_run impl_1 10 | -------------------------------------------------------------------------------- /vivado/constraints/bitstream.xdc: -------------------------------------------------------------------------------- 1 | # Compress Bitstream and Enable Temp Shutdown 2 | set_property BITSTREAM.GENERAL.COMPRESS TRUE [get_designs impl_1] 3 | set_property BITSTREAM.CONFIG.OVERTEMPSHUTDOWN ENABLE [get_designs impl_1] 4 | -------------------------------------------------------------------------------- /vivado/constraints/clock_net.xdc: -------------------------------------------------------------------------------- 1 | # Promote Clock Nets to Global Clock Buffers 2 | #set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/Clocktree/AXI_100_RESET/interconnect_aresetn[0]}] 3 | #set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/Clocktree/PL_RF_256_Reset/interconnect_aresetn[0]}] 4 | #set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/Clocktree/PL_RF_512_Reset/interconnect_aresetn[0]}] 5 | #set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/photon_pipe/opfb/adc_to_opfb_0/inst/process_lanes_U0/regslice_both_lanes_V_data_V_U/even_delay_Array_ce0}] 6 | #set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/photon_pipe/opfb/adc_to_opfb_0/inst/process_lanes_U0/regslice_both_lanes_V_data_V_U/odd_delay_Array_ce0}] 7 | #set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/photon_pipe/reschan/dds_ddc_center/inst/grp_phase_sincos_LUT_*/accumulator_TVALID_0}] 8 | -------------------------------------------------------------------------------- /vivado/constraints/debug_hub.xdc: -------------------------------------------------------------------------------- 1 | # Debug Hub 2 | set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub] 3 | set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub] 4 | set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub] 5 | connect_debug_port dbg_hub/clk [get_nets clk] -------------------------------------------------------------------------------- /vivado/constraints/false_paths.xdc: -------------------------------------------------------------------------------- 1 | # Set False Paths 2 | set_false_path -from [get_pins {gen3_top_i/Clocktree/AXI_100_RESET/U0/BSR_OUT_DFF[*].*/C}] 3 | 4 | set_false_path -from [get_ports {pps_trig}] 5 | set_false_path -from [get_ports {pps_comp}] 6 | 7 | 8 | #set_false_path -from [get_pins {gen3_top_i/Clocktree/PL_RF_512_Reset/U0/*BSR_OUT_DFF[*].*/C}] -to [get_pins -of_objects [get_cells -hier -regexp .*dactable.*] -leaf -filter {REF_PIN_NAME=~R}] 9 | #set_false_path -from [get_pins {gen3_top_i/Clocktree/PL_RF_512_Reset/U0/*BSR_OUT_DFF[*].*/C}] -to [get_pins -of_objects [get_cells -hier -regexp .*trigger_system.*] -leaf -filter {REF_PIN_NAME=~R}] 10 | #set_false_path -from [get_pins {gen3_top_i/Clocktree/PL_RF_512_Reset/U0/*BSR_OUT_DFF[*].*/C}] -to [get_pins -of_objects [get_cells -hier -regexp .*photon_pipe.*] -leaf -filter {REF_PIN_NAME=~R}] 11 | #set_false_path -from [get_pins {gen3_top_i/Clocktree/PL_RF_512_Reset/U0/*BSR_OUT_DFF[*].*/C}] -to [get_pins -of_objects [get_cells -hier -regexp .*pps_synchronizer_con.*] -leaf -filter {REF_PIN_NAME=~R}] 12 | -------------------------------------------------------------------------------- /vivado/constraints/mts.xdc: -------------------------------------------------------------------------------- 1 | # Constrain MTS Clock Pins 2 | set_property PACKAGE_PIN AP18 [get_ports {PL_SYSREF_clk_p[0]}] 3 | set_property PACKAGE_PIN AN11 [get_ports {PL_CLK_clk_p[0]}] 4 | 5 | set_property IOSTANDARD LVDS [get_ports {PL_CLK_clk_p[0]}] 6 | set_property IOSTANDARD LVDS [get_ports {PL_CLK_clk_n[0]}] 7 | set_property IOSTANDARD LVDS [get_ports {PL_SYSREF_clk_p[0]}] 8 | set_property IOSTANDARD LVDS [get_ports {PL_SYSREF_clk_n[0]}] 9 | 10 | set_property PACKAGE_PIN AH13 [get_ports {pps_trig}] 11 | set_property IOSTANDARD LVCMOS18 [get_ports {pps_trig}] 12 | 13 | set_property PACKAGE_PIN AJ13 [get_ports {pps_comp}] 14 | set_property IOSTANDARD LVCMOS18 [get_ports {pps_comp}] 15 | 16 | # Constrain PL SYSREF and Refclks 17 | create_clock -period 1.953125 -name PL_CLK_clk [get_ports PL_CLK_clk_p] 18 | 19 | set_input_delay -clock [get_clocks PL_CLK_clk] -min -add_delay 2.000 [get_ports PL_SYSREF_clk_p] 20 | set_input_delay -clock [get_clocks PL_CLK_clk] -max -add_delay 2.031 [get_ports PL_SYSREF_clk_p] 21 | 22 | set_max_delay -from [get_pins {gen3_top_i/Clocktree/SynchronizeSYSREF/inst/xsingle/syncstages_ff_reg[1]/C}] 1.0 23 | set_max_delay -from [get_pins {gen3_top_i/Clocktree/SynchronizeSYSREF/inst/xsingle/src_ff_reg/C}] 1.0 24 | set_max_delay -from [get_ports {pps_trig}] 1.0 25 | set_max_delay -from [get_ports {pps_comp}] 1.0 26 | 27 | set_property CLOCK_DEDICATED_ROUTE ANY_CMT_COLUMN [get_nets {gen3_top_i/Clocktree/BUFG_PL_CLK/BUFG_O[0]}] 28 | 29 | -------------------------------------------------------------------------------- /vivado/constraints/pl_ddr4.xdc: -------------------------------------------------------------------------------- 1 | # Constrain DDR4 Clock 2 | set_property LOC MMCM_X0Y4 [get_cells -hier -filter {NAME =~ */u_ddr4_infrastructure/gen_mmcme*.u_mmcme_adv_inst}] 3 | 4 | ## PL ddr4 5 | set_property PACKAGE_PIN G13 [get_ports {sys_clk_ddr4_clk_p[0]}] 6 | create_clock -period 5.000 -name sys_clk_ddr4 [get_ports sys_clk_ddr4_clk_p] 7 | 8 | set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_adr[?]}] 9 | set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_ba[?]}] 10 | set_property IOSTANDARD POD12_DCI [get_ports {ddr4_pl_dm_n[?]}] 11 | set_property IOSTANDARD POD12_DCI [get_ports {ddr4_pl_dq[?]}] 12 | set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr4_pl_dqs_c[?]}] 13 | set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr4_pl_dqs_t[?]}] 14 | set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_odt[?]}] 15 | set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_adr[?]}] 16 | set_property IOSTANDARD SSTL12_DCI [get_ports ddr4_pl_act_n] 17 | set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_ba[?]}] 18 | set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_bg[0]}] 19 | set_property IOSTANDARD DIFF_SSTL12_DCI [get_ports {ddr4_pl_ck_c[0]}] 20 | set_property IOSTANDARD DIFF_SSTL12_DCI [get_ports {ddr4_pl_ck_t[0]}] 21 | set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_cke[0]}] 22 | set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_cs_n[0]}] 23 | set_property IOSTANDARD POD12_DCI [get_ports {ddr4_pl_dm_n[?]}] 24 | set_property IOSTANDARD POD12_DCI [get_ports {ddr4_pl_dq[?]}] 25 | set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr4_pl_dqs_c[?]}] 26 | set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr4_pl_dqs_t[?]}] 27 | set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_odt[?]}] 28 | set_property IOSTANDARD LVCMOS12 [get_ports ddr4_pl_reset_n] 29 | 30 | set_property PACKAGE_PIN B13 [get_ports {ddr4_pl_adr[0]}] 31 | set_property PACKAGE_PIN G6 [get_ports {ddr4_pl_adr[1]}] 32 | set_property PACKAGE_PIN A14 [get_ports {ddr4_pl_adr[2]}] 33 | set_property PACKAGE_PIN F10 [get_ports {ddr4_pl_adr[3]}] 34 | set_property PACKAGE_PIN D14 [get_ports {ddr4_pl_adr[4]}] 35 | set_property PACKAGE_PIN F11 [get_ports {ddr4_pl_adr[5]}] 36 | set_property PACKAGE_PIN J7 [get_ports {ddr4_pl_adr[6]}] 37 | set_property PACKAGE_PIN H13 [get_ports {ddr4_pl_adr[7]}] 38 | set_property PACKAGE_PIN A11 [get_ports {ddr4_pl_adr[8]}] 39 | set_property PACKAGE_PIN H6 [get_ports {ddr4_pl_adr[9]}] 40 | set_property PACKAGE_PIN C15 [get_ports {ddr4_pl_adr[10]}] 41 | set_property PACKAGE_PIN G7 [get_ports {ddr4_pl_adr[11]}] 42 | set_property PACKAGE_PIN D13 [get_ports {ddr4_pl_adr[12]}] 43 | set_property PACKAGE_PIN H11 [get_ports {ddr4_pl_adr[13]}] 44 | set_property PACKAGE_PIN K13 [get_ports {ddr4_pl_adr[14]}] 45 | set_property PACKAGE_PIN F14 [get_ports {ddr4_pl_adr[15]}] 46 | set_property PACKAGE_PIN E13 [get_ports {ddr4_pl_adr[16]}] 47 | set_property PACKAGE_PIN B14 [get_ports ddr4_pl_act_n] 48 | set_property PACKAGE_PIN A12 [get_ports {ddr4_pl_ba[0]}] 49 | set_property PACKAGE_PIN H10 [get_ports {ddr4_pl_ba[1]}] 50 | set_property PACKAGE_PIN H12 [get_ports {ddr4_pl_bg[0]}] 51 | set_property PACKAGE_PIN J11 [get_ports {ddr4_pl_ck_t[0]}] 52 | set_property PACKAGE_PIN J10 [get_ports {ddr4_pl_ck_c[0]}] 53 | set_property PACKAGE_PIN F12 [get_ports {ddr4_pl_cke[0]}] 54 | set_property PACKAGE_PIN E11 [get_ports {ddr4_pl_cs_n[0]}] 55 | set_property PACKAGE_PIN J15 [get_ports {ddr4_pl_dm_n[0]}] 56 | set_property PACKAGE_PIN N14 [get_ports {ddr4_pl_dm_n[1]}] 57 | set_property PACKAGE_PIN D18 [get_ports {ddr4_pl_dm_n[2]}] 58 | set_property PACKAGE_PIN G17 [get_ports {ddr4_pl_dm_n[3]}] 59 | set_property PACKAGE_PIN F21 [get_ports {ddr4_pl_dm_n[4]}] 60 | set_property PACKAGE_PIN J23 [get_ports {ddr4_pl_dm_n[5]}] 61 | set_property PACKAGE_PIN C23 [get_ports {ddr4_pl_dm_n[6]}] 62 | set_property PACKAGE_PIN N20 [get_ports {ddr4_pl_dm_n[7]}] 63 | set_property PACKAGE_PIN K17 [get_ports {ddr4_pl_dq[0]}] 64 | set_property PACKAGE_PIN J16 [get_ports {ddr4_pl_dq[1]}] 65 | set_property PACKAGE_PIN H17 [get_ports {ddr4_pl_dq[2]}] 66 | set_property PACKAGE_PIN H16 [get_ports {ddr4_pl_dq[3]}] 67 | set_property PACKAGE_PIN J18 [get_ports {ddr4_pl_dq[4]}] 68 | set_property PACKAGE_PIN K16 [get_ports {ddr4_pl_dq[5]}] 69 | set_property PACKAGE_PIN J19 [get_ports {ddr4_pl_dq[6]}] 70 | set_property PACKAGE_PIN L17 [get_ports {ddr4_pl_dq[7]}] 71 | set_property PACKAGE_PIN N17 [get_ports {ddr4_pl_dq[8]}] 72 | set_property PACKAGE_PIN N13 [get_ports {ddr4_pl_dq[9]}] 73 | set_property PACKAGE_PIN N15 [get_ports {ddr4_pl_dq[10]}] 74 | set_property PACKAGE_PIN L12 [get_ports {ddr4_pl_dq[11]}] 75 | set_property PACKAGE_PIN M17 [get_ports {ddr4_pl_dq[12]}] 76 | set_property PACKAGE_PIN M13 [get_ports {ddr4_pl_dq[13]}] 77 | set_property PACKAGE_PIN M15 [get_ports {ddr4_pl_dq[14]}] 78 | set_property PACKAGE_PIN M12 [get_ports {ddr4_pl_dq[15]}] 79 | set_property PACKAGE_PIN D16 [get_ports {ddr4_pl_dq[16]}] 80 | set_property PACKAGE_PIN A17 [get_ports {ddr4_pl_dq[17]}] 81 | set_property PACKAGE_PIN C17 [get_ports {ddr4_pl_dq[18]}] 82 | set_property PACKAGE_PIN A19 [get_ports {ddr4_pl_dq[19]}] 83 | set_property PACKAGE_PIN D15 [get_ports {ddr4_pl_dq[20]}] 84 | set_property PACKAGE_PIN C16 [get_ports {ddr4_pl_dq[21]}] 85 | set_property PACKAGE_PIN B19 [get_ports {ddr4_pl_dq[22]}] 86 | set_property PACKAGE_PIN A16 [get_ports {ddr4_pl_dq[23]}] 87 | set_property PACKAGE_PIN G18 [get_ports {ddr4_pl_dq[24]}] 88 | set_property PACKAGE_PIN E16 [get_ports {ddr4_pl_dq[25]}] 89 | set_property PACKAGE_PIN F16 [get_ports {ddr4_pl_dq[26]}] 90 | set_property PACKAGE_PIN G15 [get_ports {ddr4_pl_dq[27]}] 91 | set_property PACKAGE_PIN H18 [get_ports {ddr4_pl_dq[28]}] 92 | set_property PACKAGE_PIN E17 [get_ports {ddr4_pl_dq[29]}] 93 | set_property PACKAGE_PIN E18 [get_ports {ddr4_pl_dq[30]}] 94 | set_property PACKAGE_PIN F15 [get_ports {ddr4_pl_dq[31]}] 95 | set_property PACKAGE_PIN E24 [get_ports {ddr4_pl_dq[32]}] 96 | set_property PACKAGE_PIN D21 [get_ports {ddr4_pl_dq[33]}] 97 | set_property PACKAGE_PIN E22 [get_ports {ddr4_pl_dq[34]}] 98 | set_property PACKAGE_PIN E21 [get_ports {ddr4_pl_dq[35]}] 99 | set_property PACKAGE_PIN E23 [get_ports {ddr4_pl_dq[36]}] 100 | set_property PACKAGE_PIN F20 [get_ports {ddr4_pl_dq[37]}] 101 | set_property PACKAGE_PIN F24 [get_ports {ddr4_pl_dq[38]}] 102 | set_property PACKAGE_PIN G20 [get_ports {ddr4_pl_dq[39]}] 103 | set_property PACKAGE_PIN J21 [get_ports {ddr4_pl_dq[40]}] 104 | set_property PACKAGE_PIN G22 [get_ports {ddr4_pl_dq[41]}] 105 | set_property PACKAGE_PIN K24 [get_ports {ddr4_pl_dq[42]}] 106 | set_property PACKAGE_PIN G23 [get_ports {ddr4_pl_dq[43]}] 107 | set_property PACKAGE_PIN L24 [get_ports {ddr4_pl_dq[44]}] 108 | set_property PACKAGE_PIN H22 [get_ports {ddr4_pl_dq[45]}] 109 | set_property PACKAGE_PIN H23 [get_ports {ddr4_pl_dq[46]}] 110 | set_property PACKAGE_PIN H21 [get_ports {ddr4_pl_dq[47]}] 111 | set_property PACKAGE_PIN C21 [get_ports {ddr4_pl_dq[48]}] 112 | set_property PACKAGE_PIN A24 [get_ports {ddr4_pl_dq[49]}] 113 | set_property PACKAGE_PIN B24 [get_ports {ddr4_pl_dq[50]}] 114 | set_property PACKAGE_PIN A20 [get_ports {ddr4_pl_dq[51]}] 115 | set_property PACKAGE_PIN C22 [get_ports {ddr4_pl_dq[52]}] 116 | set_property PACKAGE_PIN A21 [get_ports {ddr4_pl_dq[53]}] 117 | set_property PACKAGE_PIN C20 [get_ports {ddr4_pl_dq[54]}] 118 | set_property PACKAGE_PIN B20 [get_ports {ddr4_pl_dq[55]}] 119 | set_property PACKAGE_PIN M20 [get_ports {ddr4_pl_dq[56]}] 120 | set_property PACKAGE_PIN L20 [get_ports {ddr4_pl_dq[57]}] 121 | set_property PACKAGE_PIN L22 [get_ports {ddr4_pl_dq[58]}] 122 | set_property PACKAGE_PIN L21 [get_ports {ddr4_pl_dq[59]}] 123 | set_property PACKAGE_PIN N19 [get_ports {ddr4_pl_dq[60]}] 124 | set_property PACKAGE_PIN M19 [get_ports {ddr4_pl_dq[61]}] 125 | set_property PACKAGE_PIN L23 [get_ports {ddr4_pl_dq[62]}] 126 | set_property PACKAGE_PIN L19 [get_ports {ddr4_pl_dq[63]}] 127 | set_property PACKAGE_PIN K19 [get_ports {ddr4_pl_dqs_t[0]}] 128 | set_property PACKAGE_PIN K18 [get_ports {ddr4_pl_dqs_c[0]}] 129 | set_property PACKAGE_PIN L15 [get_ports {ddr4_pl_dqs_t[1]}] 130 | set_property PACKAGE_PIN L14 [get_ports {ddr4_pl_dqs_c[1]}] 131 | set_property PACKAGE_PIN B18 [get_ports {ddr4_pl_dqs_t[2]}] 132 | set_property PACKAGE_PIN B17 [get_ports {ddr4_pl_dqs_c[2]}] 133 | set_property PACKAGE_PIN G19 [get_ports {ddr4_pl_dqs_t[3]}] 134 | set_property PACKAGE_PIN F19 [get_ports {ddr4_pl_dqs_c[3]}] 135 | set_property PACKAGE_PIN D23 [get_ports {ddr4_pl_dqs_t[4]}] 136 | set_property PACKAGE_PIN D24 [get_ports {ddr4_pl_dqs_c[4]}] 137 | set_property PACKAGE_PIN J20 [get_ports {ddr4_pl_dqs_t[5]}] 138 | set_property PACKAGE_PIN H20 [get_ports {ddr4_pl_dqs_c[5]}] 139 | set_property PACKAGE_PIN B22 [get_ports {ddr4_pl_dqs_t[6]}] 140 | set_property PACKAGE_PIN A22 [get_ports {ddr4_pl_dqs_c[6]}] 141 | set_property PACKAGE_PIN K21 [get_ports {ddr4_pl_dqs_t[7]}] 142 | set_property PACKAGE_PIN K22 [get_ports {ddr4_pl_dqs_c[7]}] 143 | set_property PACKAGE_PIN A15 [get_ports {ddr4_pl_odt[0]}] 144 | set_property PACKAGE_PIN E14 [get_ports ddr4_pl_reset_n] 145 | set_property IOSTANDARD DIFF_SSTL12 [get_ports {sys_clk_ddr4_clk_p[0]}] 146 | -------------------------------------------------------------------------------- /vivado/constraints/placement.xdc: -------------------------------------------------------------------------------- 1 | # Placement Guidance 2 | #create_pblock daccdc_spineleft 3 | #resize_pblock [get_pblocks daccdc_spineleft] -add {CLOCKREGION_X2Y4:CLOCKREGION_X3Y5} 4 | #add_cells_to_pblock [get_pblocks daccdc_spineleft] [get_cells -quiet [list gen3_top_i/DACCDC0/axis_clock_converter_0 gen3_top_i/DACCDC1/axis_clock_converter_0]] 5 | 6 | #create_pblock daccdc_spineright 7 | #resize_pblock [get_pblocks daccdc_spineright] -add {CLOCKREGION_X4Y4:CLOCKREGION_X5Y5} 8 | #add_cells_to_pblock [get_pblocks daccdc_spineright] [get_cells -quiet [list gen3_top_i/DACCDC0/axis_dwidth_converter_0 gen3_top_i/DACCDC1/axis_dwidth_converter_0]] 9 | 10 | #create_pblock ddr4_pblock 11 | #add_cells_to_pblock [get_pblocks ddr4_pblock] [get_cells -quiet [list gen3_top_i/capture/ddr4_0]] 12 | #resize_pblock [get_pblocks ddr4_pblock] -add {SLICE_X86Y240:SLICE_X89Y359 SLICE_X38Y180:SLICE_X85Y359} 13 | #resize_pblock [get_pblocks ddr4_pblock] -add {DSP48E2_X16Y96:DSP48E2_X17Y143 DSP48E2_X6Y72:DSP48E2_X15Y143} 14 | #resize_pblock [get_pblocks ddr4_pblock] -add {RAMB18_X4Y72:RAMB18_X8Y143} 15 | #resize_pblock [get_pblocks ddr4_pblock] -add {RAMB36_X4Y36:RAMB36_X8Y71} -------------------------------------------------------------------------------- /vivado/constraints/rgb_leds.xdc: -------------------------------------------------------------------------------- 1 | ## rgbleds 2 | set_property PACKAGE_PIN AN8 [get_ports {rgbleds_6bits[0]}] 3 | set_property PACKAGE_PIN AM7 [get_ports {rgbleds_6bits[1]}] 4 | set_property PACKAGE_PIN AM8 [get_ports {rgbleds_6bits[2]}] 5 | set_property PACKAGE_PIN AT10 [get_ports {rgbleds_6bits[3]}] 6 | set_property PACKAGE_PIN AP8 [get_ports {rgbleds_6bits[4]}] 7 | set_property PACKAGE_PIN AR12 [get_ports {rgbleds_6bits[5]}] 8 | set_property IOSTANDARD LVCMOS18 [get_ports {rgbleds_6bits[?]}] 9 | set_property IOSTANDARD LVCMOS18 [get_ports {rgbleds_6bits[?]}] 10 | 11 | set_false_path -to [get_ports {rgbleds_6bits[?]}] 12 | 13 | -------------------------------------------------------------------------------- /vivado/constraints/synthesis.xdc: -------------------------------------------------------------------------------- 1 | # Synthesis Guidance 2 | #set_property BLOCK_SYNTH.RETIMING 1 [get_cells gen3_top_i/capture/ddr4_0/*] 3 | #set_property BLOCK_SYNTH.STRATEGY {PERFORMANCE_OPTIMIZED} [get_cells gen3_top_i/capture/ddr4_0/*] 4 | 5 | #set_property BLOCK_SYNTH.RETIMING 1 [get_cells {gen3_top_i/rfdc/usp_rf_data_converter_0/*}] 6 | #set_property BLOCK_SYNTH.STRATEGY {PERFORMANCE_OPTIMIZED} [get_cells {gen3_top_i/rfdc/usp_rf_data_converter_0/*}] 7 | 8 | #set_property BLOCK_SYNTH.RETIMING 1 [get_cells {gen3_top_i/DACCDC*/axis_dwidth_converter_0/*}] 9 | #set_property BLOCK_SYNTH.STRATEGY {PERFORMANCE_OPTIMIZED} [get_cells {gen3_top_i/DACCDC*/axis_dwidth_converter_0/*}] 10 | -------------------------------------------------------------------------------- /vivado/write_prj.tcl: -------------------------------------------------------------------------------- 1 | # Vivado 2022.1 2 | 3 | # OPFB Project 4 | 5 | set prj_dir "." 6 | set ip_repo $prj_dir/../ip 7 | 8 | set prj_name "opfb_streaming_prj" 9 | set bd_name "opfb_streaming" 10 | 11 | # create project 12 | create_project $prj_name $prj_dir/$prj_name -part xczu48dr-ffvg1517-2-e 13 | 14 | # Set project properties 15 | set obj [current_project] 16 | set_property -name "board_part_repo_paths" -value "[file normalize "$prj_dir/../board_files"]" -objects $obj 17 | set_property -name "board_part" -value "realdigital.org:rfsoc4x2:part0:1.0" -objects $obj 18 | 19 | # Set IP repository paths 20 | set obj [get_filesets sources_1] 21 | set_property "ip_repo_paths" "[file normalize "${ip_repo}"]" $obj 22 | 23 | # Rebuild user ip_repo's index before adding any source files 24 | update_ip_catalog -rebuild 25 | 26 | # Set 'sources_1' fileset object 27 | set obj [get_filesets sources_1] 28 | set files [list \ 29 | [file normalize "${ip_repo}/wb2axip/rtl/sfifo.v"] \ 30 | [file normalize "${ip_repo}/wb2axip/rtl/axis2mm.v"] \ 31 | [file normalize "${ip_repo}/wb2axip/rtl/skidbuffer.v"] \ 32 | ] 33 | add_files -norecurse -fileset $obj $files 34 | 35 | 36 | source $prj_dir/../bd/$bd_name.tcl 37 | 38 | # Add Constraints 39 | add_files -fileset constrs_1 $prj_dir/constraints/ 40 | 41 | ## Generate HDL Wrapper 42 | make_wrapper -files [get_files ${prj_dir}/${prj_name}/${prj_name}.srcs/sources_1/bd/${bd_name}/${bd_name}.bd] -top 43 | add_files -norecurse ${prj_dir}/${prj_name}/${prj_name}.srcs/sources_1/bd/${bd_name}/hdl/${bd_name}_wrapper.v 44 | update_compile_order -fileset sources_1 45 | 46 | set_property top ${bd_name}_wrapper [current_fileset] 47 | update_compile_order -fileset sources_1 48 | 49 | # Change Synth and Imp Settings for phys-opt (helps axigmem in capture) 50 | set_property strategy Flow_PerfOptimized_high [get_runs synth_1] 51 | set_property STEPS.SYNTH_DESIGN.ARGS.RETIMING true [get_runs synth_1] 52 | set_property strategy Performance_ExtraTimingOpt [get_runs impl_1] 53 | set_property STEPS.PHYS_OPT_DESIGN.ARGS.DIRECTIVE AggressiveExplore [get_runs impl_1] 54 | set_property STEPS.POST_ROUTE_PHYS_OPT_DESIGN.IS_ENABLED true [get_runs impl_1] 55 | set_property STEPS.POST_ROUTE_PHYS_OPT_DESIGN.ARGS.DIRECTIVE AggressiveExplore [get_runs impl_1] 56 | 57 | 58 | #Uncomment below to run through bitstream generation 59 | #update_compile_order -fileset sources_1 60 | #launch_runs impl_1 -to_step write_bitstream -jobs 4 61 | #wait_on_run impl_1 62 | 63 | --------------------------------------------------------------------------------