├── Chapter01 ├── README.md └── src │ └── FullAdder.vhd ├── Chapter02 ├── README.md └── src │ └── ArduinoHysteresis.c.ino ├── Chapter03 ├── README.md └── src │ ├── ControlLoop │ ├── ControlLoop.cpp │ ├── ControlLoop.sln │ ├── ControlLoop.vcxproj │ ├── ControlLoop.vcxproj.filters │ └── ControlLoop.vcxproj.user │ ├── ControlLoop2 │ ├── ControlLoop2.cpp │ ├── ControlLoop2.vcxproj │ ├── ControlLoop2.vcxproj.filters │ └── ControlLoop2.vcxproj.user │ └── main_motor_control.c ├── Chapter04 ├── README.md └── src │ ├── .gitignore │ └── ArtyAdder │ ├── ArtyAdder.cache │ └── wt │ │ └── webtalk_pa.xml │ ├── ArtyAdder.hw │ └── hw_1 │ │ └── hw.xml │ ├── ArtyAdder.ip_user_files │ └── README.txt │ ├── ArtyAdder.runs │ ├── .jobs │ │ ├── vrs_config_1.xml │ │ ├── vrs_config_2.xml │ │ ├── vrs_config_3.xml │ │ ├── vrs_config_4.xml │ │ ├── vrs_config_5.xml │ │ └── vrs_config_6.xml │ ├── impl_1 │ │ ├── ARTY_ADDER.tcl │ │ ├── ARTY_ADDER.vdi │ │ ├── ARTY_ADDER_16888.backup.vdi │ │ ├── ARTY_ADDER_bus_skew_routed.pb │ │ ├── ARTY_ADDER_bus_skew_routed.rpt │ │ ├── ARTY_ADDER_clock_utilization_routed.rpt │ │ ├── ARTY_ADDER_control_sets_placed.rpt │ │ ├── ARTY_ADDER_drc_opted.pb │ │ ├── ARTY_ADDER_drc_opted.rpt │ │ ├── ARTY_ADDER_drc_routed.pb │ │ ├── ARTY_ADDER_drc_routed.rpt │ │ ├── ARTY_ADDER_io_placed.rpt │ │ ├── ARTY_ADDER_methodology_drc_routed.pb │ │ ├── ARTY_ADDER_methodology_drc_routed.rpt │ │ ├── ARTY_ADDER_opt.dcp │ │ ├── ARTY_ADDER_physopt.dcp │ │ ├── ARTY_ADDER_placed.dcp │ │ ├── ARTY_ADDER_power_routed.rpt │ │ ├── ARTY_ADDER_power_summary_routed.pb │ │ ├── ARTY_ADDER_route_status.pb │ │ ├── ARTY_ADDER_route_status.rpt │ │ ├── ARTY_ADDER_routed.dcp │ │ ├── ARTY_ADDER_timing_summary_routed.pb │ │ ├── ARTY_ADDER_timing_summary_routed.rpt │ │ ├── ARTY_ADDER_utilization_placed.pb │ │ ├── ARTY_ADDER_utilization_placed.rpt │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── init_design.pb │ │ ├── opt_design.pb │ │ ├── phys_opt_design.pb │ │ ├── place_design.pb │ │ ├── route_design.pb │ │ ├── usage_statistics_webtalk.xml │ │ ├── vivado.jou │ │ ├── vivado.pb │ │ ├── vivado_16888.backup.jou │ │ ├── vivado_18524.backup.jou │ │ └── write_bitstream.pb │ └── synth_1 │ │ ├── .Xil │ │ └── ARTY_ADDER_propImpl.xdc │ │ ├── ARTY_ADDER.dcp │ │ ├── ARTY_ADDER.tcl │ │ ├── ARTY_ADDER.vds │ │ ├── ARTY_ADDER_utilization_synth.pb │ │ ├── ARTY_ADDER_utilization_synth.rpt │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── vivado.jou │ │ └── vivado.pb │ ├── ArtyAdder.sim │ └── sim_1 │ │ └── behav │ │ └── xsim │ │ ├── ADDER4.tcl │ │ ├── ADDER4_TESTBENCH.tcl │ │ ├── ADDER4_TESTBENCH_vhdl.prj │ │ ├── ADDER4_vhdl.prj │ │ ├── webtalk.jou │ │ ├── webtalk_10920.backup.jou │ │ ├── webtalk_13144.backup.jou │ │ ├── webtalk_21592.backup.jou │ │ ├── xelab.pb │ │ ├── xsim.dir │ │ ├── ADDER4_TESTBENCH_behav │ │ │ ├── Compile_Options.txt │ │ │ ├── TempBreakPointFile.txt │ │ │ ├── obj │ │ │ │ └── xsim_1.c │ │ │ └── xsim.mem │ │ └── ADDER4_behav │ │ │ ├── Compile_Options.txt │ │ │ ├── TempBreakPointFile.txt │ │ │ ├── obj │ │ │ └── xsim_1.c │ │ │ └── xsim.mem │ │ └── xvhdl.pb │ ├── ArtyAdder.srcs │ ├── constrs_1 │ │ └── new │ │ │ └── Arty-A7-100.xdc │ ├── sim_1 │ │ └── new │ │ │ └── Adder4TestBench.vhd │ └── sources_1 │ │ └── new │ │ ├── Adder4.vhd │ │ ├── ArtyAdder.vhd │ │ └── FullAdder.vhd │ └── ArtyAdder.xpr ├── Chapter05 ├── README.md └── src │ ├── Adder4LUT.vhd │ ├── Adder4Native.vhd │ ├── ArtyAdder.vhd │ ├── ArtyAdder4HLSWrapper.vhd │ ├── ArtyAdderHLS.cpp │ ├── oscilloscope-fpga │ ├── .gitignore │ ├── oscilloscope-fpga.cache │ │ └── wt │ │ │ └── webtalk_pa.xml │ ├── oscilloscope-fpga.runs │ │ ├── design_1_auto_ds_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_ds_1_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_ds_2_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_ds_3_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_ds_4_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_ds_5_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_ds_6_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_pc_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_pc_1_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_pc_2_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_pc_3_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_pc_4_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_pc_5_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_pc_6_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_pc_7_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_us_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_us_1_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_auto_us_2_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_axi_ethernetlite_0_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_axi_gpio_0_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_axi_gpio_1_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_axi_quad_spi_0_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_axi_timer_0_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_axi_uartlite_0_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_clk_wiz_0_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_dlmb_bram_if_cntlr_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_dlmb_v10_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_ilmb_bram_if_cntlr_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_ilmb_v10_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_lmb_bram_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_mdm_1_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_microblaze_0_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_microblaze_0_axi_intc_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_mig_7series_0_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_rst_mig_7series_0_83M_0_synth_1 │ │ │ └── vivado.pb │ │ ├── design_1_xbar_0_synth_1 │ │ │ └── vivado.pb │ │ ├── impl_1 │ │ │ ├── init_design.pb │ │ │ ├── opt_design.pb │ │ │ ├── phys_opt_design.pb │ │ │ ├── place_design.pb │ │ │ ├── route_design.pb │ │ │ └── write_bitstream.pb │ │ └── synth_1 │ │ │ └── vivado.pb │ ├── oscilloscope-fpga.srcs │ │ ├── constrs_1 │ │ │ └── new │ │ │ │ └── arty.xdc │ │ └── sources_1 │ │ │ └── bd │ │ │ └── design_1 │ │ │ ├── design_1.bd │ │ │ ├── design_1.bxml │ │ │ ├── hdl │ │ │ └── design_1_wrapper.vhd │ │ │ ├── ip │ │ │ ├── design_1_auto_ds_0 │ │ │ │ ├── design_1_auto_ds_0.xci │ │ │ │ └── design_1_auto_ds_0.xml │ │ │ ├── design_1_auto_ds_1 │ │ │ │ ├── design_1_auto_ds_1.xci │ │ │ │ └── design_1_auto_ds_1.xml │ │ │ ├── design_1_auto_ds_2 │ │ │ │ ├── design_1_auto_ds_2.xci │ │ │ │ └── design_1_auto_ds_2.xml │ │ │ ├── design_1_auto_ds_3 │ │ │ │ ├── design_1_auto_ds_3.xci │ │ │ │ └── design_1_auto_ds_3.xml │ │ │ ├── design_1_auto_ds_4 │ │ │ │ ├── design_1_auto_ds_4.xci │ │ │ │ └── design_1_auto_ds_4.xml │ │ │ ├── design_1_auto_ds_5 │ │ │ │ ├── design_1_auto_ds_5.xci │ │ │ │ └── design_1_auto_ds_5.xml │ │ │ ├── design_1_auto_ds_6 │ │ │ │ ├── design_1_auto_ds_6.xci │ │ │ │ └── design_1_auto_ds_6.xml │ │ │ ├── design_1_auto_pc_0 │ │ │ │ ├── design_1_auto_pc_0.xci │ │ │ │ └── design_1_auto_pc_0.xml │ │ │ ├── design_1_auto_pc_1 │ │ │ │ ├── design_1_auto_pc_1.xci │ │ │ │ └── design_1_auto_pc_1.xml │ │ │ ├── design_1_auto_pc_2 │ │ │ │ ├── design_1_auto_pc_2.xci │ │ │ │ └── design_1_auto_pc_2.xml │ │ │ ├── design_1_auto_pc_3 │ │ │ │ ├── design_1_auto_pc_3.xci │ │ │ │ └── design_1_auto_pc_3.xml │ │ │ ├── design_1_auto_pc_4 │ │ │ │ ├── design_1_auto_pc_4.xci │ │ │ │ └── design_1_auto_pc_4.xml │ │ │ ├── design_1_auto_pc_5 │ │ │ │ ├── design_1_auto_pc_5.xci │ │ │ │ └── design_1_auto_pc_5.xml │ │ │ ├── design_1_auto_pc_6 │ │ │ │ ├── design_1_auto_pc_6.xci │ │ │ │ └── design_1_auto_pc_6.xml │ │ │ ├── design_1_auto_pc_7 │ │ │ │ ├── design_1_auto_pc_7.xci │ │ │ │ └── design_1_auto_pc_7.xml │ │ │ ├── design_1_auto_us_0 │ │ │ │ ├── design_1_auto_us_0.xci │ │ │ │ └── design_1_auto_us_0.xml │ │ │ ├── design_1_auto_us_1 │ │ │ │ ├── design_1_auto_us_1.xci │ │ │ │ └── design_1_auto_us_1.xml │ │ │ ├── design_1_auto_us_2 │ │ │ │ ├── design_1_auto_us_2.xci │ │ │ │ └── design_1_auto_us_2.xml │ │ │ ├── design_1_axi_ethernetlite_0_0 │ │ │ │ ├── design_1_axi_ethernetlite_0_0.xci │ │ │ │ └── design_1_axi_ethernetlite_0_0.xml │ │ │ ├── design_1_axi_gpio_0_0 │ │ │ │ ├── design_1_axi_gpio_0_0.xci │ │ │ │ └── design_1_axi_gpio_0_0.xml │ │ │ ├── design_1_axi_gpio_1_0 │ │ │ │ ├── design_1_axi_gpio_1_0.xci │ │ │ │ └── design_1_axi_gpio_1_0.xml │ │ │ ├── design_1_axi_quad_spi_0_0 │ │ │ │ ├── design_1_axi_quad_spi_0_0.xci │ │ │ │ └── design_1_axi_quad_spi_0_0.xml │ │ │ ├── design_1_axi_timer_0_0 │ │ │ │ ├── design_1_axi_timer_0_0.xci │ │ │ │ └── design_1_axi_timer_0_0.xml │ │ │ ├── design_1_axi_uartlite_0_0 │ │ │ │ ├── design_1_axi_uartlite_0_0.xci │ │ │ │ └── design_1_axi_uartlite_0_0.xml │ │ │ ├── design_1_clk_wiz_0_0 │ │ │ │ ├── design_1_clk_wiz_0_0.xci │ │ │ │ └── design_1_clk_wiz_0_0.xml │ │ │ ├── design_1_dlmb_bram_if_cntlr_0 │ │ │ │ ├── design_1_dlmb_bram_if_cntlr_0.xci │ │ │ │ └── design_1_dlmb_bram_if_cntlr_0.xml │ │ │ ├── design_1_dlmb_v10_0 │ │ │ │ ├── design_1_dlmb_v10_0.xci │ │ │ │ └── design_1_dlmb_v10_0.xml │ │ │ ├── design_1_ilmb_bram_if_cntlr_0 │ │ │ │ ├── design_1_ilmb_bram_if_cntlr_0.xci │ │ │ │ └── design_1_ilmb_bram_if_cntlr_0.xml │ │ │ ├── design_1_ilmb_v10_0 │ │ │ │ ├── design_1_ilmb_v10_0.xci │ │ │ │ └── design_1_ilmb_v10_0.xml │ │ │ ├── design_1_lmb_bram_0 │ │ │ │ ├── design_1_lmb_bram_0.xci │ │ │ │ └── design_1_lmb_bram_0.xml │ │ │ ├── design_1_mdm_1_0 │ │ │ │ ├── design_1_mdm_1_0.xci │ │ │ │ └── design_1_mdm_1_0.xml │ │ │ ├── design_1_microblaze_0_0 │ │ │ │ ├── design_1_microblaze_0_0.xci │ │ │ │ └── design_1_microblaze_0_0.xml │ │ │ ├── design_1_microblaze_0_axi_intc_0 │ │ │ │ ├── design_1_microblaze_0_axi_intc_0.xci │ │ │ │ └── design_1_microblaze_0_axi_intc_0.xml │ │ │ ├── design_1_microblaze_0_axi_periph_0 │ │ │ │ ├── design_1_microblaze_0_axi_periph_0.xci │ │ │ │ └── design_1_microblaze_0_axi_periph_0.xml │ │ │ ├── design_1_microblaze_0_xlconcat_0 │ │ │ │ ├── design_1_microblaze_0_xlconcat_0.xci │ │ │ │ └── design_1_microblaze_0_xlconcat_0.xml │ │ │ ├── design_1_mig_7series_0_0 │ │ │ │ ├── board.prj │ │ │ │ ├── design_1_mig_7series_0_0.xci │ │ │ │ ├── design_1_mig_7series_0_0.xml │ │ │ │ ├── design_1_mig_7series_0_0 │ │ │ │ │ ├── datasheet.txt │ │ │ │ │ ├── docs │ │ │ │ │ │ └── phy_only_support_readme.txt │ │ │ │ │ ├── example_design │ │ │ │ │ │ ├── par │ │ │ │ │ │ │ ├── example_top.xdc │ │ │ │ │ │ │ └── readme.txt │ │ │ │ │ │ ├── rtl │ │ │ │ │ │ │ ├── example_top.v │ │ │ │ │ │ │ └── traffic_gen │ │ │ │ │ │ │ │ ├── mig_7series_v4_2_axi4_tg.v │ │ │ │ │ │ │ │ ├── mig_7series_v4_2_axi4_wrapper.v │ │ │ │ │ │ │ │ ├── mig_7series_v4_2_cmd_prbs_gen_axi.v │ │ │ │ │ │ │ │ ├── mig_7series_v4_2_data_gen_chk.v │ │ │ │ │ │ │ │ └── mig_7series_v4_2_tg.v │ │ │ │ │ │ └── sim │ │ │ │ │ │ │ ├── readme.txt │ │ │ │ │ │ │ ├── sim_tb_top.v │ │ │ │ │ │ │ ├── wiredly.v │ │ │ │ │ │ │ ├── xsim_files.prj │ │ │ │ │ │ │ └── xsim_options.tcl │ │ │ │ │ └── mig.prj │ │ │ │ └── design_1_mig_7series_0_0_xmdf.tcl │ │ │ ├── design_1_rst_mig_7series_0_83M_0 │ │ │ │ ├── design_1_rst_mig_7series_0_83M_0.xci │ │ │ │ └── design_1_rst_mig_7series_0_83M_0.xml │ │ │ └── design_1_xbar_0 │ │ │ │ ├── design_1_xbar_0.xci │ │ │ │ └── design_1_xbar_0.xml │ │ │ └── ui │ │ │ └── bd_1f5defd0.ui │ └── oscilloscope-fpga.xpr │ ├── oscilloscope-software │ ├── .analytics │ ├── .metadata │ │ ├── .lock │ │ ├── .log │ │ ├── .plugins │ │ │ ├── com.xilinx.sdk.targetmanager │ │ │ │ └── dialog_settings.xml │ │ │ ├── com.xilinx.sdk.utils │ │ │ │ └── dialog_settings.xml │ │ │ ├── com.xilinx.sdsoc.ui │ │ │ │ └── dialog_settings.xml │ │ │ ├── com.xilinx.sdx.core │ │ │ │ └── dialog_settings.xml │ │ │ ├── com.xilinx.sdx.npw │ │ │ │ └── dialog_settings.xml │ │ │ ├── org.eclipse.cdt.core │ │ │ │ ├── .log │ │ │ │ ├── design_1_wrapper.1602200225195.pdom │ │ │ │ ├── oscilloscope-software.1602200224962.pdom │ │ │ │ └── oscilloscope-software_system.1602200225207.pdom │ │ │ ├── org.eclipse.cdt.make.core │ │ │ │ ├── .log │ │ │ │ ├── oscilloscope-software.sc │ │ │ │ ├── specs.c │ │ │ │ └── specs.cpp │ │ │ ├── org.eclipse.cdt.ui │ │ │ │ ├── design_1_wrapper.build.log │ │ │ │ ├── dialog_settings.xml │ │ │ │ ├── global-build.log │ │ │ │ ├── oscilloscope-software.build.log │ │ │ │ └── oscilloscope-software_system.build.log │ │ │ ├── org.eclipse.core.resources │ │ │ │ ├── .projects │ │ │ │ │ ├── design_1_wrapper │ │ │ │ │ │ ├── .indexes │ │ │ │ │ │ │ └── properties.index │ │ │ │ │ │ └── .markers │ │ │ │ │ ├── oscilloscope-software │ │ │ │ │ │ └── .indexes │ │ │ │ │ │ │ └── properties.index │ │ │ │ │ └── oscilloscope-software_system │ │ │ │ │ │ └── .indexes │ │ │ │ │ │ └── properties.index │ │ │ │ ├── .root │ │ │ │ │ ├── .indexes │ │ │ │ │ │ ├── history.version │ │ │ │ │ │ ├── properties.index │ │ │ │ │ │ └── properties.version │ │ │ │ │ ├── .markers │ │ │ │ │ └── 1.tree │ │ │ │ └── .safetable │ │ │ │ │ └── org.eclipse.core.resources │ │ │ ├── org.eclipse.core.runtime │ │ │ │ └── .settings │ │ │ │ │ ├── com.sdkterminal.prefs │ │ │ │ │ ├── org.eclipse.cdt.core.prj-design_1_wrapper.prefs │ │ │ │ │ ├── org.eclipse.cdt.core.prj-oscilloscope-software.prefs │ │ │ │ │ ├── org.eclipse.cdt.core.prj-oscilloscope-software_system.prefs │ │ │ │ │ ├── org.eclipse.cdt.debug.core.prefs │ │ │ │ │ ├── org.eclipse.cdt.managedbuilder.core.prefs │ │ │ │ │ ├── org.eclipse.cdt.ui.prefs │ │ │ │ │ ├── org.eclipse.core.resources.prefs │ │ │ │ │ ├── org.eclipse.debug.core.prefs │ │ │ │ │ ├── org.eclipse.debug.ui.prefs │ │ │ │ │ ├── org.eclipse.jdt.ui.prefs │ │ │ │ │ ├── org.eclipse.launchbar.core.prefs │ │ │ │ │ ├── org.eclipse.rse.core.prefs │ │ │ │ │ ├── org.eclipse.rse.ui.prefs │ │ │ │ │ ├── org.eclipse.team.ui.prefs │ │ │ │ │ ├── org.eclipse.ui.editors.prefs │ │ │ │ │ ├── org.eclipse.ui.prefs │ │ │ │ │ └── org.eclipse.ui.workbench.prefs │ │ │ ├── org.eclipse.debug.core │ │ │ │ └── .launches │ │ │ │ │ └── SystemDebugger_oscilloscope-software_system.launch │ │ │ ├── org.eclipse.debug.ui │ │ │ │ ├── dialog_settings.xml │ │ │ │ └── launchConfigurationHistory.xml │ │ │ ├── org.eclipse.e4.ui.workbench.swt │ │ │ │ └── dialog_settings.xml │ │ │ ├── org.eclipse.e4.workbench │ │ │ │ └── workbench.xmi │ │ │ ├── org.eclipse.jdt.core │ │ │ │ ├── assumedExternalFilesCache │ │ │ │ ├── externalFilesCache │ │ │ │ ├── index.db │ │ │ │ ├── nonChainingJarsCache │ │ │ │ └── variablesAndContainers.dat │ │ │ ├── org.eclipse.jdt.ui │ │ │ │ ├── OpenTypeHistory.xml │ │ │ │ └── QualifiedTypeNameHistory.xml │ │ │ ├── org.eclipse.pde.core │ │ │ │ └── .cache │ │ │ │ │ └── clean-cache.properties │ │ │ ├── org.eclipse.rse.core │ │ │ │ ├── .log │ │ │ │ ├── initializerMarks │ │ │ │ │ └── org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark │ │ │ │ └── profiles │ │ │ │ │ └── PRF.desktop-2sm91gv_32563 │ │ │ │ │ ├── FP.local.files_0 │ │ │ │ │ └── node.properties │ │ │ │ │ ├── H.local_16 │ │ │ │ │ └── node.properties │ │ │ │ │ └── node.properties │ │ │ ├── org.eclipse.rse.ui │ │ │ │ └── .log │ │ │ ├── org.eclipse.tcf.debug.ui │ │ │ │ └── memview.xml │ │ │ ├── org.eclipse.tcf.debug │ │ │ │ └── peers.ini │ │ │ ├── org.eclipse.tm.terminal.view.ui │ │ │ │ └── .executables │ │ │ │ │ └── data.properties │ │ │ ├── org.eclipse.ui.ide │ │ │ │ └── dialog_settings.xml │ │ │ └── org.eclipse.ui.workbench │ │ │ │ └── dialog_settings.xml │ │ └── version.ini │ ├── .sdk │ │ └── launch_scripts │ │ │ └── single_application_debug │ │ │ └── systemdebugger_oscilloscope-software_system_standalone.tcl │ ├── IDE.log │ ├── RemoteSystemsTempFiles │ │ └── .project │ ├── design_1_wrapper.xsa │ ├── design_1_wrapper │ │ ├── .cproject │ │ ├── .log │ │ │ └── design_1_wrapper_.build.ui.log │ │ ├── .project │ │ ├── bitstream │ │ │ └── design_1_wrapper.bit │ │ ├── hw │ │ │ ├── board │ │ │ │ └── arty-a7-100 │ │ │ │ │ ├── board.xml │ │ │ │ │ ├── part0_pins.xml │ │ │ │ │ └── preset.xml │ │ │ ├── design_1_wrapper.bit │ │ │ ├── design_1_wrapper.mmi │ │ │ └── design_1_wrapper.xsa │ │ ├── logs │ │ │ └── platform.log │ │ ├── microblaze_0 │ │ │ └── domain_microblaze_0 │ │ │ │ └── bsp │ │ │ │ ├── Makefile │ │ │ │ ├── microblaze_0 │ │ │ │ ├── include │ │ │ │ │ ├── FreeRTOS.h │ │ │ │ │ ├── FreeRTOSConfig.h │ │ │ │ │ ├── FreeRTOSSTMTrace.h │ │ │ │ │ ├── StackMacros.h │ │ │ │ │ ├── _profile_timer_hw.h │ │ │ │ │ ├── arch │ │ │ │ │ │ ├── cc.h │ │ │ │ │ │ ├── cpu.h │ │ │ │ │ │ ├── perf.h │ │ │ │ │ │ └── sys_arch.h │ │ │ │ │ ├── atomic.h │ │ │ │ │ ├── bspconfig.h │ │ │ │ │ ├── croutine.h │ │ │ │ │ ├── deprecated_definitions.h │ │ │ │ │ ├── event_groups.h │ │ │ │ │ ├── fsl.h │ │ │ │ │ ├── list.h │ │ │ │ │ ├── lwip │ │ │ │ │ │ ├── altcp.h │ │ │ │ │ │ ├── altcp_tcp.h │ │ │ │ │ │ ├── altcp_tls.h │ │ │ │ │ │ ├── api.h │ │ │ │ │ │ ├── apps │ │ │ │ │ │ │ ├── FILES │ │ │ │ │ │ │ ├── altcp_proxyconnect.h │ │ │ │ │ │ │ ├── altcp_tls_mbedtls_opts.h │ │ │ │ │ │ │ ├── fs.h │ │ │ │ │ │ │ ├── http_client.h │ │ │ │ │ │ │ ├── httpd.h │ │ │ │ │ │ │ ├── httpd_opts.h │ │ │ │ │ │ │ ├── lwiperf.h │ │ │ │ │ │ │ ├── mdns.h │ │ │ │ │ │ │ ├── mdns_opts.h │ │ │ │ │ │ │ ├── mdns_priv.h │ │ │ │ │ │ │ ├── mqtt.h │ │ │ │ │ │ │ ├── mqtt_opts.h │ │ │ │ │ │ │ ├── mqtt_priv.h │ │ │ │ │ │ │ ├── netbiosns.h │ │ │ │ │ │ │ ├── netbiosns_opts.h │ │ │ │ │ │ │ ├── smtp.h │ │ │ │ │ │ │ ├── smtp_opts.h │ │ │ │ │ │ │ ├── snmp.h │ │ │ │ │ │ │ ├── snmp_core.h │ │ │ │ │ │ │ ├── snmp_mib2.h │ │ │ │ │ │ │ ├── snmp_opts.h │ │ │ │ │ │ │ ├── snmp_scalar.h │ │ │ │ │ │ │ ├── snmp_snmpv2_framework.h │ │ │ │ │ │ │ ├── snmp_snmpv2_usm.h │ │ │ │ │ │ │ ├── snmp_table.h │ │ │ │ │ │ │ ├── snmp_threadsync.h │ │ │ │ │ │ │ ├── snmpv3.h │ │ │ │ │ │ │ ├── sntp.h │ │ │ │ │ │ │ ├── sntp_opts.h │ │ │ │ │ │ │ ├── tftp_opts.h │ │ │ │ │ │ │ └── tftp_server.h │ │ │ │ │ │ ├── arch.h │ │ │ │ │ │ ├── autoip.h │ │ │ │ │ │ ├── debug.h │ │ │ │ │ │ ├── def.h │ │ │ │ │ │ ├── dhcp.h │ │ │ │ │ │ ├── dhcp6.h │ │ │ │ │ │ ├── dns.h │ │ │ │ │ │ ├── err.h │ │ │ │ │ │ ├── errno.h │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ ├── ethip6.h │ │ │ │ │ │ ├── icmp.h │ │ │ │ │ │ ├── icmp6.h │ │ │ │ │ │ ├── if_api.h │ │ │ │ │ │ ├── igmp.h │ │ │ │ │ │ ├── inet.h │ │ │ │ │ │ ├── inet_chksum.h │ │ │ │ │ │ ├── init.h │ │ │ │ │ │ ├── init.h.cmake.in │ │ │ │ │ │ ├── ip.h │ │ │ │ │ │ ├── ip4.h │ │ │ │ │ │ ├── ip4_addr.h │ │ │ │ │ │ ├── ip4_frag.h │ │ │ │ │ │ ├── ip6.h │ │ │ │ │ │ ├── ip6_addr.h │ │ │ │ │ │ ├── ip6_frag.h │ │ │ │ │ │ ├── ip6_zone.h │ │ │ │ │ │ ├── ip_addr.h │ │ │ │ │ │ ├── mem.h │ │ │ │ │ │ ├── memp.h │ │ │ │ │ │ ├── mld6.h │ │ │ │ │ │ ├── nd6.h │ │ │ │ │ │ ├── netbuf.h │ │ │ │ │ │ ├── netdb.h │ │ │ │ │ │ ├── netif.h │ │ │ │ │ │ ├── netifapi.h │ │ │ │ │ │ ├── opt.h │ │ │ │ │ │ ├── pbuf.h │ │ │ │ │ │ ├── priv │ │ │ │ │ │ │ ├── altcp_priv.h │ │ │ │ │ │ │ ├── api_msg.h │ │ │ │ │ │ │ ├── mem_priv.h │ │ │ │ │ │ │ ├── memp_priv.h │ │ │ │ │ │ │ ├── memp_std.h │ │ │ │ │ │ │ ├── nd6_priv.h │ │ │ │ │ │ │ ├── raw_priv.h │ │ │ │ │ │ │ ├── sockets_priv.h │ │ │ │ │ │ │ ├── tcp_priv.h │ │ │ │ │ │ │ └── tcpip_priv.h │ │ │ │ │ │ ├── prot │ │ │ │ │ │ │ ├── autoip.h │ │ │ │ │ │ │ ├── dhcp.h │ │ │ │ │ │ │ ├── dhcp6.h │ │ │ │ │ │ │ ├── dns.h │ │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ │ ├── ethernet.h │ │ │ │ │ │ │ ├── iana.h │ │ │ │ │ │ │ ├── icmp.h │ │ │ │ │ │ │ ├── icmp6.h │ │ │ │ │ │ │ ├── ieee.h │ │ │ │ │ │ │ ├── igmp.h │ │ │ │ │ │ │ ├── ip.h │ │ │ │ │ │ │ ├── ip4.h │ │ │ │ │ │ │ ├── ip6.h │ │ │ │ │ │ │ ├── mld6.h │ │ │ │ │ │ │ ├── nd6.h │ │ │ │ │ │ │ ├── tcp.h │ │ │ │ │ │ │ └── udp.h │ │ │ │ │ │ ├── raw.h │ │ │ │ │ │ ├── sio.h │ │ │ │ │ │ ├── snmp.h │ │ │ │ │ │ ├── sockets.h │ │ │ │ │ │ ├── stats.h │ │ │ │ │ │ ├── sys.h │ │ │ │ │ │ ├── tcp.h │ │ │ │ │ │ ├── tcpbase.h │ │ │ │ │ │ ├── tcpip.h │ │ │ │ │ │ ├── timeouts.h │ │ │ │ │ │ └── udp.h │ │ │ │ │ ├── lwipopts.h │ │ │ │ │ ├── mb_interface.h │ │ │ │ │ ├── mblaze_nt_types.h │ │ │ │ │ ├── message_buffer.h │ │ │ │ │ ├── microblaze_exceptions_g.h │ │ │ │ │ ├── microblaze_exceptions_i.h │ │ │ │ │ ├── microblaze_instructions.h │ │ │ │ │ ├── microblaze_interrupts_i.h │ │ │ │ │ ├── microblaze_sleep.h │ │ │ │ │ ├── mpu_prototypes.h │ │ │ │ │ ├── mpu_wrappers.h │ │ │ │ │ ├── netif │ │ │ │ │ │ ├── bridgeif.h │ │ │ │ │ │ ├── bridgeif_opts.h │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ ├── ethernet.h │ │ │ │ │ │ ├── ieee802154.h │ │ │ │ │ │ ├── lowpan6.h │ │ │ │ │ │ ├── lowpan6_ble.h │ │ │ │ │ │ ├── lowpan6_common.h │ │ │ │ │ │ ├── lowpan6_opts.h │ │ │ │ │ │ ├── ppp │ │ │ │ │ │ │ ├── ccp.h │ │ │ │ │ │ │ ├── chap-md5.h │ │ │ │ │ │ │ ├── chap-new.h │ │ │ │ │ │ │ ├── chap_ms.h │ │ │ │ │ │ │ ├── eap.h │ │ │ │ │ │ │ ├── ecp.h │ │ │ │ │ │ │ ├── eui64.h │ │ │ │ │ │ │ ├── fsm.h │ │ │ │ │ │ │ ├── ipcp.h │ │ │ │ │ │ │ ├── ipv6cp.h │ │ │ │ │ │ │ ├── lcp.h │ │ │ │ │ │ │ ├── magic.h │ │ │ │ │ │ │ ├── mppe.h │ │ │ │ │ │ │ ├── polarssl │ │ │ │ │ │ │ │ ├── arc4.h │ │ │ │ │ │ │ │ ├── des.h │ │ │ │ │ │ │ │ ├── md4.h │ │ │ │ │ │ │ │ ├── md5.h │ │ │ │ │ │ │ │ └── sha1.h │ │ │ │ │ │ │ ├── ppp.h │ │ │ │ │ │ │ ├── ppp_impl.h │ │ │ │ │ │ │ ├── ppp_opts.h │ │ │ │ │ │ │ ├── pppapi.h │ │ │ │ │ │ │ ├── pppcrypt.h │ │ │ │ │ │ │ ├── pppdebug.h │ │ │ │ │ │ │ ├── pppoe.h │ │ │ │ │ │ │ ├── pppol2tp.h │ │ │ │ │ │ │ ├── pppos.h │ │ │ │ │ │ │ ├── upap.h │ │ │ │ │ │ │ └── vj.h │ │ │ │ │ │ ├── slipif.h │ │ │ │ │ │ ├── xadapter.h │ │ │ │ │ │ ├── xaxiemacif.h │ │ │ │ │ │ ├── xemacliteif.h │ │ │ │ │ │ ├── xemacpsif.h │ │ │ │ │ │ ├── xlltemacif.h │ │ │ │ │ │ ├── xpqueue.h │ │ │ │ │ │ ├── xtopology.h │ │ │ │ │ │ └── zepif.h │ │ │ │ │ ├── portable.h │ │ │ │ │ ├── portmacro.h │ │ │ │ │ ├── profile.h │ │ │ │ │ ├── projdefs.h │ │ │ │ │ ├── pvr.h │ │ │ │ │ ├── queue.h │ │ │ │ │ ├── semphr.h │ │ │ │ │ ├── sleep.h │ │ │ │ │ ├── stack_macros.h │ │ │ │ │ ├── stream_buffer.h │ │ │ │ │ ├── task.h │ │ │ │ │ ├── timers.h │ │ │ │ │ ├── xbasic_types.h │ │ │ │ │ ├── xbram.h │ │ │ │ │ ├── xbram_hw.h │ │ │ │ │ ├── xdebug.h │ │ │ │ │ ├── xemaclite.h │ │ │ │ │ ├── xemaclite_i.h │ │ │ │ │ ├── xemaclite_l.h │ │ │ │ │ ├── xenv.h │ │ │ │ │ ├── xenv_standalone.h │ │ │ │ │ ├── xgpio.h │ │ │ │ │ ├── xgpio_i.h │ │ │ │ │ ├── xgpio_l.h │ │ │ │ │ ├── xil_assert.h │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ ├── xil_cache_vxworks.h │ │ │ │ │ ├── xil_clocking.h │ │ │ │ │ ├── xil_exception.h │ │ │ │ │ ├── xil_hal.h │ │ │ │ │ ├── xil_io.h │ │ │ │ │ ├── xil_macroback.h │ │ │ │ │ ├── xil_mem.h │ │ │ │ │ ├── xil_misc_psreset_api.h │ │ │ │ │ ├── xil_printf.h │ │ │ │ │ ├── xil_testcache.h │ │ │ │ │ ├── xil_testio.h │ │ │ │ │ ├── xil_testmem.h │ │ │ │ │ ├── xil_types.h │ │ │ │ │ ├── xil_util.h │ │ │ │ │ ├── xintc.h │ │ │ │ │ ├── xintc_i.h │ │ │ │ │ ├── xintc_l.h │ │ │ │ │ ├── xio.h │ │ │ │ │ ├── xlwipconfig.h │ │ │ │ │ ├── xparameters.h │ │ │ │ │ ├── xplatform_info.h │ │ │ │ │ ├── xspi.h │ │ │ │ │ ├── xspi_i.h │ │ │ │ │ ├── xspi_l.h │ │ │ │ │ ├── xstatus.h │ │ │ │ │ ├── xtmrctr.h │ │ │ │ │ ├── xtmrctr_i.h │ │ │ │ │ ├── xtmrctr_l.h │ │ │ │ │ ├── xuartlite.h │ │ │ │ │ ├── xuartlite_i.h │ │ │ │ │ └── xuartlite_l.h │ │ │ │ ├── lib │ │ │ │ │ ├── libc.a │ │ │ │ │ ├── libfreertos.a │ │ │ │ │ ├── libgcc.a │ │ │ │ │ ├── libgloss.a │ │ │ │ │ └── libm.a │ │ │ │ └── libsrc │ │ │ │ │ ├── bram_v4_4 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xbram.c │ │ │ │ │ │ ├── xbram.h │ │ │ │ │ │ ├── xbram_g.c │ │ │ │ │ │ ├── xbram_hw.h │ │ │ │ │ │ ├── xbram_intr.c │ │ │ │ │ │ ├── xbram_selftest.c │ │ │ │ │ │ └── xbram_sinit.c │ │ │ │ │ ├── cpu_v2_11 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── fsl.h │ │ │ │ │ │ ├── xio.c │ │ │ │ │ │ └── xio.h │ │ │ │ │ ├── emaclite_v4_5 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xemaclite.c │ │ │ │ │ │ ├── xemaclite.h │ │ │ │ │ │ ├── xemaclite_g.c │ │ │ │ │ │ ├── xemaclite_i.h │ │ │ │ │ │ ├── xemaclite_intr.c │ │ │ │ │ │ ├── xemaclite_l.c │ │ │ │ │ │ ├── xemaclite_l.h │ │ │ │ │ │ ├── xemaclite_selftest.c │ │ │ │ │ │ └── xemaclite_sinit.c │ │ │ │ │ ├── freertos10_xilinx_v1_6 │ │ │ │ │ └── src │ │ │ │ │ │ ├── FreeRTOS.h │ │ │ │ │ │ ├── FreeRTOSConfig.h │ │ │ │ │ │ ├── FreeRTOSSTMTrace.h │ │ │ │ │ │ ├── License │ │ │ │ │ │ └── license.txt │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── Makefile_dep │ │ │ │ │ │ ├── Makefile_microblaze │ │ │ │ │ │ ├── Makefile_ps7_cortexa9 │ │ │ │ │ │ ├── Makefile_psu_cortexa53 │ │ │ │ │ │ ├── Makefile_psu_cortexr5 │ │ │ │ │ │ ├── Source │ │ │ │ │ │ ├── croutine.c │ │ │ │ │ │ ├── event_groups.c │ │ │ │ │ │ ├── include │ │ │ │ │ │ │ ├── FreeRTOS.h │ │ │ │ │ │ │ ├── StackMacros.h │ │ │ │ │ │ │ ├── atomic.h │ │ │ │ │ │ │ ├── croutine.h │ │ │ │ │ │ │ ├── deprecated_definitions.h │ │ │ │ │ │ │ ├── event_groups.h │ │ │ │ │ │ │ ├── list.h │ │ │ │ │ │ │ ├── message_buffer.h │ │ │ │ │ │ │ ├── mpu_prototypes.h │ │ │ │ │ │ │ ├── mpu_wrappers.h │ │ │ │ │ │ │ ├── portable.h │ │ │ │ │ │ │ ├── projdefs.h │ │ │ │ │ │ │ ├── queue.h │ │ │ │ │ │ │ ├── semphr.h │ │ │ │ │ │ │ ├── stack_macros.h │ │ │ │ │ │ │ ├── stdint.readme │ │ │ │ │ │ │ ├── stream_buffer.h │ │ │ │ │ │ │ ├── task.h │ │ │ │ │ │ │ └── timers.h │ │ │ │ │ │ ├── list.c │ │ │ │ │ │ ├── portable │ │ │ │ │ │ │ ├── GCC │ │ │ │ │ │ │ │ ├── ARM_CA53 │ │ │ │ │ │ │ │ │ ├── port.c │ │ │ │ │ │ │ │ │ ├── portASM.S │ │ │ │ │ │ │ │ │ ├── portZynqUltrascale.c │ │ │ │ │ │ │ │ │ ├── port_asm_vectors.S │ │ │ │ │ │ │ │ │ └── portmacro.h │ │ │ │ │ │ │ │ ├── ARM_CA9 │ │ │ │ │ │ │ │ │ ├── port.c │ │ │ │ │ │ │ │ │ ├── portASM.S │ │ │ │ │ │ │ │ │ ├── portZynq7000.c │ │ │ │ │ │ │ │ │ ├── port_asm_vectors.S │ │ │ │ │ │ │ │ │ └── portmacro.h │ │ │ │ │ │ │ │ ├── ARM_CR5 │ │ │ │ │ │ │ │ │ ├── port.c │ │ │ │ │ │ │ │ │ ├── portASM.S │ │ │ │ │ │ │ │ │ ├── portZynqUltrascale.c │ │ │ │ │ │ │ │ │ ├── port_asm_vectors.S │ │ │ │ │ │ │ │ │ └── portmacro.h │ │ │ │ │ │ │ │ └── MicroBlazeV9 │ │ │ │ │ │ │ │ │ ├── port.c │ │ │ │ │ │ │ │ │ ├── port_exceptions.c │ │ │ │ │ │ │ │ │ ├── portasm.S │ │ │ │ │ │ │ │ │ ├── portmacro.h │ │ │ │ │ │ │ │ │ └── portmicroblaze.c │ │ │ │ │ │ │ └── MemMang │ │ │ │ │ │ │ │ ├── ReadMe.url │ │ │ │ │ │ │ │ ├── heap_1.c │ │ │ │ │ │ │ │ ├── heap_2.c │ │ │ │ │ │ │ │ ├── heap_3.c │ │ │ │ │ │ │ │ ├── heap_4.c │ │ │ │ │ │ │ │ └── heap_5.c │ │ │ │ │ │ ├── queue.c │ │ │ │ │ │ ├── readme.txt │ │ │ │ │ │ ├── stream_buffer.c │ │ │ │ │ │ ├── tasks.c │ │ │ │ │ │ └── timers.c │ │ │ │ │ │ ├── StackMacros.h │ │ │ │ │ │ ├── atomic.h │ │ │ │ │ │ ├── croutine.h │ │ │ │ │ │ ├── deprecated_definitions.h │ │ │ │ │ │ ├── event_groups.c │ │ │ │ │ │ ├── event_groups.h │ │ │ │ │ │ ├── heap_4.c │ │ │ │ │ │ ├── inbyte.c │ │ │ │ │ │ ├── list.c │ │ │ │ │ │ ├── list.h │ │ │ │ │ │ ├── message_buffer.h │ │ │ │ │ │ ├── microblaze_exceptions_g.h │ │ │ │ │ │ ├── mpu_prototypes.h │ │ │ │ │ │ ├── mpu_wrappers.h │ │ │ │ │ │ ├── outbyte.c │ │ │ │ │ │ ├── port.c │ │ │ │ │ │ ├── port_exceptions.c │ │ │ │ │ │ ├── portable.h │ │ │ │ │ │ ├── portasm.S │ │ │ │ │ │ ├── portasm.o │ │ │ │ │ │ ├── portmacro.h │ │ │ │ │ │ ├── portmicroblaze.c │ │ │ │ │ │ ├── projdefs.h │ │ │ │ │ │ ├── queue.c │ │ │ │ │ │ ├── queue.h │ │ │ │ │ │ ├── semphr.h │ │ │ │ │ │ ├── stack_macros.h │ │ │ │ │ │ ├── stream_buffer.h │ │ │ │ │ │ ├── task.h │ │ │ │ │ │ ├── tasks.c │ │ │ │ │ │ ├── timers.c │ │ │ │ │ │ └── timers.h │ │ │ │ │ ├── gpio_v4_6 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xgpio.c │ │ │ │ │ │ ├── xgpio.h │ │ │ │ │ │ ├── xgpio_extra.c │ │ │ │ │ │ ├── xgpio_g.c │ │ │ │ │ │ ├── xgpio_i.h │ │ │ │ │ │ ├── xgpio_intr.c │ │ │ │ │ │ ├── xgpio_l.h │ │ │ │ │ │ ├── xgpio_selftest.c │ │ │ │ │ │ └── xgpio_sinit.c │ │ │ │ │ ├── intc_v3_11 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xintc.c │ │ │ │ │ │ ├── xintc.h │ │ │ │ │ │ ├── xintc_g.c │ │ │ │ │ │ ├── xintc_i.h │ │ │ │ │ │ ├── xintc_intr.c │ │ │ │ │ │ ├── xintc_l.c │ │ │ │ │ │ ├── xintc_l.h │ │ │ │ │ │ ├── xintc_options.c │ │ │ │ │ │ └── xintc_selftest.c │ │ │ │ │ ├── lwip211_v1_2 │ │ │ │ │ └── src │ │ │ │ │ │ ├── ChangeLog │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── Makefile.adapter │ │ │ │ │ │ ├── Makefile.config │ │ │ │ │ │ ├── Makefile.lwip │ │ │ │ │ │ ├── contrib │ │ │ │ │ │ └── ports │ │ │ │ │ │ │ └── xilinx │ │ │ │ │ │ │ ├── include │ │ │ │ │ │ │ ├── arch │ │ │ │ │ │ │ │ ├── cc.h │ │ │ │ │ │ │ │ ├── cpu.h │ │ │ │ │ │ │ │ ├── perf.h │ │ │ │ │ │ │ │ └── sys_arch.h │ │ │ │ │ │ │ ├── lwipopts.h │ │ │ │ │ │ │ ├── netif │ │ │ │ │ │ │ │ ├── xadapter.h │ │ │ │ │ │ │ │ ├── xaxiemacif.h │ │ │ │ │ │ │ │ ├── xemacliteif.h │ │ │ │ │ │ │ │ ├── xemacpsif.h │ │ │ │ │ │ │ │ ├── xlltemacif.h │ │ │ │ │ │ │ │ ├── xpqueue.h │ │ │ │ │ │ │ │ └── xtopology.h │ │ │ │ │ │ │ └── xlwipconfig.h │ │ │ │ │ │ │ ├── netif │ │ │ │ │ │ │ ├── xadapter.c │ │ │ │ │ │ │ ├── xaxiemacif.c │ │ │ │ │ │ │ ├── xaxiemacif_dma.c │ │ │ │ │ │ │ ├── xaxiemacif_fifo.c │ │ │ │ │ │ │ ├── xaxiemacif_fifo.h │ │ │ │ │ │ │ ├── xaxiemacif_hw.c │ │ │ │ │ │ │ ├── xaxiemacif_hw.h │ │ │ │ │ │ │ ├── xaxiemacif_mcdma.c │ │ │ │ │ │ │ ├── xaxiemacif_physpeed.c │ │ │ │ │ │ │ ├── xemac_ieee_reg.h │ │ │ │ │ │ │ ├── xemacliteif.c │ │ │ │ │ │ │ ├── xemacpsif.c │ │ │ │ │ │ │ ├── xemacpsif_dma.c │ │ │ │ │ │ │ ├── xemacpsif_hw.c │ │ │ │ │ │ │ ├── xemacpsif_hw.h │ │ │ │ │ │ │ ├── xemacpsif_physpeed.c │ │ │ │ │ │ │ ├── xpqueue.c │ │ │ │ │ │ │ └── xtopology_g.c │ │ │ │ │ │ │ ├── sys_arch.c │ │ │ │ │ │ │ └── sys_arch_raw.c │ │ │ │ │ │ └── lwip-2.1.1 │ │ │ │ │ │ ├── CHANGELOG │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ ├── COPYING │ │ │ │ │ │ ├── FEATURES │ │ │ │ │ │ ├── FILES │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── UPGRADING │ │ │ │ │ │ └── src │ │ │ │ │ │ ├── FILES │ │ │ │ │ │ ├── Filelists.cmake │ │ │ │ │ │ ├── Filelists.mk │ │ │ │ │ │ ├── api │ │ │ │ │ │ ├── api_lib.c │ │ │ │ │ │ ├── api_msg.c │ │ │ │ │ │ ├── err.c │ │ │ │ │ │ ├── if_api.c │ │ │ │ │ │ ├── netbuf.c │ │ │ │ │ │ ├── netdb.c │ │ │ │ │ │ ├── netifapi.c │ │ │ │ │ │ ├── sockets.c │ │ │ │ │ │ └── tcpip.c │ │ │ │ │ │ ├── apps │ │ │ │ │ │ ├── altcp_tls │ │ │ │ │ │ │ ├── altcp_tls_mbedtls.c │ │ │ │ │ │ │ ├── altcp_tls_mbedtls_mem.c │ │ │ │ │ │ │ ├── altcp_tls_mbedtls_mem.h │ │ │ │ │ │ │ └── altcp_tls_mbedtls_structs.h │ │ │ │ │ │ ├── http │ │ │ │ │ │ │ ├── altcp_proxyconnect.c │ │ │ │ │ │ │ ├── fs.c │ │ │ │ │ │ │ ├── fs │ │ │ │ │ │ │ │ ├── 404.html │ │ │ │ │ │ │ │ ├── img │ │ │ │ │ │ │ │ │ └── sics.gif │ │ │ │ │ │ │ │ └── index.html │ │ │ │ │ │ │ ├── fsdata.c │ │ │ │ │ │ │ ├── fsdata.h │ │ │ │ │ │ │ ├── http_client.c │ │ │ │ │ │ │ ├── httpd.c │ │ │ │ │ │ │ ├── httpd_structs.h │ │ │ │ │ │ │ └── makefsdata │ │ │ │ │ │ │ │ ├── makefsdata │ │ │ │ │ │ │ │ ├── makefsdata.c │ │ │ │ │ │ │ │ ├── readme.txt │ │ │ │ │ │ │ │ └── tinydir.h │ │ │ │ │ │ ├── lwiperf │ │ │ │ │ │ │ └── lwiperf.c │ │ │ │ │ │ ├── mdns │ │ │ │ │ │ │ └── mdns.c │ │ │ │ │ │ ├── mqtt │ │ │ │ │ │ │ └── mqtt.c │ │ │ │ │ │ ├── netbiosns │ │ │ │ │ │ │ └── netbiosns.c │ │ │ │ │ │ ├── smtp │ │ │ │ │ │ │ └── smtp.c │ │ │ │ │ │ ├── snmp │ │ │ │ │ │ │ ├── snmp_asn1.c │ │ │ │ │ │ │ ├── snmp_asn1.h │ │ │ │ │ │ │ ├── snmp_core.c │ │ │ │ │ │ │ ├── snmp_core_priv.h │ │ │ │ │ │ │ ├── snmp_mib2.c │ │ │ │ │ │ │ ├── snmp_mib2_icmp.c │ │ │ │ │ │ │ ├── snmp_mib2_interfaces.c │ │ │ │ │ │ │ ├── snmp_mib2_ip.c │ │ │ │ │ │ │ ├── snmp_mib2_snmp.c │ │ │ │ │ │ │ ├── snmp_mib2_system.c │ │ │ │ │ │ │ ├── snmp_mib2_tcp.c │ │ │ │ │ │ │ ├── snmp_mib2_udp.c │ │ │ │ │ │ │ ├── snmp_msg.c │ │ │ │ │ │ │ ├── snmp_msg.h │ │ │ │ │ │ │ ├── snmp_netconn.c │ │ │ │ │ │ │ ├── snmp_pbuf_stream.c │ │ │ │ │ │ │ ├── snmp_pbuf_stream.h │ │ │ │ │ │ │ ├── snmp_raw.c │ │ │ │ │ │ │ ├── snmp_scalar.c │ │ │ │ │ │ │ ├── snmp_snmpv2_framework.c │ │ │ │ │ │ │ ├── snmp_snmpv2_usm.c │ │ │ │ │ │ │ ├── snmp_table.c │ │ │ │ │ │ │ ├── snmp_threadsync.c │ │ │ │ │ │ │ ├── snmp_traps.c │ │ │ │ │ │ │ ├── snmpv3.c │ │ │ │ │ │ │ ├── snmpv3_mbedtls.c │ │ │ │ │ │ │ └── snmpv3_priv.h │ │ │ │ │ │ ├── sntp │ │ │ │ │ │ │ └── sntp.c │ │ │ │ │ │ └── tftp │ │ │ │ │ │ │ └── tftp_server.c │ │ │ │ │ │ ├── core │ │ │ │ │ │ ├── altcp.c │ │ │ │ │ │ ├── altcp_alloc.c │ │ │ │ │ │ ├── altcp_tcp.c │ │ │ │ │ │ ├── def.c │ │ │ │ │ │ ├── dns.c │ │ │ │ │ │ ├── inet_chksum.c │ │ │ │ │ │ ├── init.c │ │ │ │ │ │ ├── ip.c │ │ │ │ │ │ ├── ipv4 │ │ │ │ │ │ │ ├── autoip.c │ │ │ │ │ │ │ ├── dhcp.c │ │ │ │ │ │ │ ├── etharp.c │ │ │ │ │ │ │ ├── icmp.c │ │ │ │ │ │ │ ├── igmp.c │ │ │ │ │ │ │ ├── ip4.c │ │ │ │ │ │ │ ├── ip4_addr.c │ │ │ │ │ │ │ └── ip4_frag.c │ │ │ │ │ │ ├── ipv6 │ │ │ │ │ │ │ ├── dhcp6.c │ │ │ │ │ │ │ ├── ethip6.c │ │ │ │ │ │ │ ├── icmp6.c │ │ │ │ │ │ │ ├── inet6.c │ │ │ │ │ │ │ ├── ip6.c │ │ │ │ │ │ │ ├── ip6_addr.c │ │ │ │ │ │ │ ├── ip6_frag.c │ │ │ │ │ │ │ ├── mld6.c │ │ │ │ │ │ │ └── nd6.c │ │ │ │ │ │ ├── mem.c │ │ │ │ │ │ ├── memp.c │ │ │ │ │ │ ├── netif.c │ │ │ │ │ │ ├── pbuf.c │ │ │ │ │ │ ├── raw.c │ │ │ │ │ │ ├── stats.c │ │ │ │ │ │ ├── sys.c │ │ │ │ │ │ ├── tcp.c │ │ │ │ │ │ ├── tcp_in.c │ │ │ │ │ │ ├── tcp_out.c │ │ │ │ │ │ ├── timeouts.c │ │ │ │ │ │ └── udp.c │ │ │ │ │ │ ├── include │ │ │ │ │ │ ├── compat │ │ │ │ │ │ │ ├── posix │ │ │ │ │ │ │ │ ├── arpa │ │ │ │ │ │ │ │ │ └── inet.h │ │ │ │ │ │ │ │ ├── net │ │ │ │ │ │ │ │ │ └── if.h │ │ │ │ │ │ │ │ ├── netdb.h │ │ │ │ │ │ │ │ └── sys │ │ │ │ │ │ │ │ │ └── socket.h │ │ │ │ │ │ │ └── stdc │ │ │ │ │ │ │ │ └── errno.h │ │ │ │ │ │ ├── lwip │ │ │ │ │ │ │ ├── altcp.h │ │ │ │ │ │ │ ├── altcp_tcp.h │ │ │ │ │ │ │ ├── altcp_tls.h │ │ │ │ │ │ │ ├── api.h │ │ │ │ │ │ │ ├── apps │ │ │ │ │ │ │ │ ├── FILES │ │ │ │ │ │ │ │ ├── altcp_proxyconnect.h │ │ │ │ │ │ │ │ ├── altcp_tls_mbedtls_opts.h │ │ │ │ │ │ │ │ ├── fs.h │ │ │ │ │ │ │ │ ├── http_client.h │ │ │ │ │ │ │ │ ├── httpd.h │ │ │ │ │ │ │ │ ├── httpd_opts.h │ │ │ │ │ │ │ │ ├── lwiperf.h │ │ │ │ │ │ │ │ ├── mdns.h │ │ │ │ │ │ │ │ ├── mdns_opts.h │ │ │ │ │ │ │ │ ├── mdns_priv.h │ │ │ │ │ │ │ │ ├── mqtt.h │ │ │ │ │ │ │ │ ├── mqtt_opts.h │ │ │ │ │ │ │ │ ├── mqtt_priv.h │ │ │ │ │ │ │ │ ├── netbiosns.h │ │ │ │ │ │ │ │ ├── netbiosns_opts.h │ │ │ │ │ │ │ │ ├── smtp.h │ │ │ │ │ │ │ │ ├── smtp_opts.h │ │ │ │ │ │ │ │ ├── snmp.h │ │ │ │ │ │ │ │ ├── snmp_core.h │ │ │ │ │ │ │ │ ├── snmp_mib2.h │ │ │ │ │ │ │ │ ├── snmp_opts.h │ │ │ │ │ │ │ │ ├── snmp_scalar.h │ │ │ │ │ │ │ │ ├── snmp_snmpv2_framework.h │ │ │ │ │ │ │ │ ├── snmp_snmpv2_usm.h │ │ │ │ │ │ │ │ ├── snmp_table.h │ │ │ │ │ │ │ │ ├── snmp_threadsync.h │ │ │ │ │ │ │ │ ├── snmpv3.h │ │ │ │ │ │ │ │ ├── sntp.h │ │ │ │ │ │ │ │ ├── sntp_opts.h │ │ │ │ │ │ │ │ ├── tftp_opts.h │ │ │ │ │ │ │ │ └── tftp_server.h │ │ │ │ │ │ │ ├── arch.h │ │ │ │ │ │ │ ├── autoip.h │ │ │ │ │ │ │ ├── debug.h │ │ │ │ │ │ │ ├── def.h │ │ │ │ │ │ │ ├── dhcp.h │ │ │ │ │ │ │ ├── dhcp6.h │ │ │ │ │ │ │ ├── dns.h │ │ │ │ │ │ │ ├── err.h │ │ │ │ │ │ │ ├── errno.h │ │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ │ ├── ethip6.h │ │ │ │ │ │ │ ├── icmp.h │ │ │ │ │ │ │ ├── icmp6.h │ │ │ │ │ │ │ ├── if_api.h │ │ │ │ │ │ │ ├── igmp.h │ │ │ │ │ │ │ ├── inet.h │ │ │ │ │ │ │ ├── inet_chksum.h │ │ │ │ │ │ │ ├── init.h │ │ │ │ │ │ │ ├── init.h.cmake.in │ │ │ │ │ │ │ ├── ip.h │ │ │ │ │ │ │ ├── ip4.h │ │ │ │ │ │ │ ├── ip4_addr.h │ │ │ │ │ │ │ ├── ip4_frag.h │ │ │ │ │ │ │ ├── ip6.h │ │ │ │ │ │ │ ├── ip6_addr.h │ │ │ │ │ │ │ ├── ip6_frag.h │ │ │ │ │ │ │ ├── ip6_zone.h │ │ │ │ │ │ │ ├── ip_addr.h │ │ │ │ │ │ │ ├── mem.h │ │ │ │ │ │ │ ├── memp.h │ │ │ │ │ │ │ ├── mld6.h │ │ │ │ │ │ │ ├── nd6.h │ │ │ │ │ │ │ ├── netbuf.h │ │ │ │ │ │ │ ├── netdb.h │ │ │ │ │ │ │ ├── netif.h │ │ │ │ │ │ │ ├── netifapi.h │ │ │ │ │ │ │ ├── opt.h │ │ │ │ │ │ │ ├── pbuf.h │ │ │ │ │ │ │ ├── priv │ │ │ │ │ │ │ │ ├── altcp_priv.h │ │ │ │ │ │ │ │ ├── api_msg.h │ │ │ │ │ │ │ │ ├── mem_priv.h │ │ │ │ │ │ │ │ ├── memp_priv.h │ │ │ │ │ │ │ │ ├── memp_std.h │ │ │ │ │ │ │ │ ├── nd6_priv.h │ │ │ │ │ │ │ │ ├── raw_priv.h │ │ │ │ │ │ │ │ ├── sockets_priv.h │ │ │ │ │ │ │ │ ├── tcp_priv.h │ │ │ │ │ │ │ │ └── tcpip_priv.h │ │ │ │ │ │ │ ├── prot │ │ │ │ │ │ │ │ ├── autoip.h │ │ │ │ │ │ │ │ ├── dhcp.h │ │ │ │ │ │ │ │ ├── dhcp6.h │ │ │ │ │ │ │ │ ├── dns.h │ │ │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ │ │ ├── ethernet.h │ │ │ │ │ │ │ │ ├── iana.h │ │ │ │ │ │ │ │ ├── icmp.h │ │ │ │ │ │ │ │ ├── icmp6.h │ │ │ │ │ │ │ │ ├── ieee.h │ │ │ │ │ │ │ │ ├── igmp.h │ │ │ │ │ │ │ │ ├── ip.h │ │ │ │ │ │ │ │ ├── ip4.h │ │ │ │ │ │ │ │ ├── ip6.h │ │ │ │ │ │ │ │ ├── mld6.h │ │ │ │ │ │ │ │ ├── nd6.h │ │ │ │ │ │ │ │ ├── tcp.h │ │ │ │ │ │ │ │ └── udp.h │ │ │ │ │ │ │ ├── raw.h │ │ │ │ │ │ │ ├── sio.h │ │ │ │ │ │ │ ├── snmp.h │ │ │ │ │ │ │ ├── sockets.h │ │ │ │ │ │ │ ├── stats.h │ │ │ │ │ │ │ ├── sys.h │ │ │ │ │ │ │ ├── tcp.h │ │ │ │ │ │ │ ├── tcpbase.h │ │ │ │ │ │ │ ├── tcpip.h │ │ │ │ │ │ │ ├── timeouts.h │ │ │ │ │ │ │ └── udp.h │ │ │ │ │ │ └── netif │ │ │ │ │ │ │ ├── bridgeif.h │ │ │ │ │ │ │ ├── bridgeif_opts.h │ │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ │ ├── ethernet.h │ │ │ │ │ │ │ ├── ieee802154.h │ │ │ │ │ │ │ ├── lowpan6.h │ │ │ │ │ │ │ ├── lowpan6_ble.h │ │ │ │ │ │ │ ├── lowpan6_common.h │ │ │ │ │ │ │ ├── lowpan6_opts.h │ │ │ │ │ │ │ ├── ppp │ │ │ │ │ │ │ ├── ccp.h │ │ │ │ │ │ │ ├── chap-md5.h │ │ │ │ │ │ │ ├── chap-new.h │ │ │ │ │ │ │ ├── chap_ms.h │ │ │ │ │ │ │ ├── eap.h │ │ │ │ │ │ │ ├── ecp.h │ │ │ │ │ │ │ ├── eui64.h │ │ │ │ │ │ │ ├── fsm.h │ │ │ │ │ │ │ ├── ipcp.h │ │ │ │ │ │ │ ├── ipv6cp.h │ │ │ │ │ │ │ ├── lcp.h │ │ │ │ │ │ │ ├── magic.h │ │ │ │ │ │ │ ├── mppe.h │ │ │ │ │ │ │ ├── polarssl │ │ │ │ │ │ │ │ ├── arc4.h │ │ │ │ │ │ │ │ ├── des.h │ │ │ │ │ │ │ │ ├── md4.h │ │ │ │ │ │ │ │ ├── md5.h │ │ │ │ │ │ │ │ └── sha1.h │ │ │ │ │ │ │ ├── ppp.h │ │ │ │ │ │ │ ├── ppp_impl.h │ │ │ │ │ │ │ ├── ppp_opts.h │ │ │ │ │ │ │ ├── pppapi.h │ │ │ │ │ │ │ ├── pppcrypt.h │ │ │ │ │ │ │ ├── pppdebug.h │ │ │ │ │ │ │ ├── pppoe.h │ │ │ │ │ │ │ ├── pppol2tp.h │ │ │ │ │ │ │ ├── pppos.h │ │ │ │ │ │ │ ├── upap.h │ │ │ │ │ │ │ └── vj.h │ │ │ │ │ │ │ ├── slipif.h │ │ │ │ │ │ │ └── zepif.h │ │ │ │ │ │ └── netif │ │ │ │ │ │ ├── FILES │ │ │ │ │ │ ├── bridgeif.c │ │ │ │ │ │ ├── bridgeif_fdb.c │ │ │ │ │ │ ├── ethernet.c │ │ │ │ │ │ ├── lowpan6.c │ │ │ │ │ │ ├── lowpan6_ble.c │ │ │ │ │ │ ├── lowpan6_common.c │ │ │ │ │ │ ├── ppp │ │ │ │ │ │ ├── PPPD_FOLLOWUP │ │ │ │ │ │ ├── auth.c │ │ │ │ │ │ ├── ccp.c │ │ │ │ │ │ ├── chap-md5.c │ │ │ │ │ │ ├── chap-new.c │ │ │ │ │ │ ├── chap_ms.c │ │ │ │ │ │ ├── demand.c │ │ │ │ │ │ ├── eap.c │ │ │ │ │ │ ├── ecp.c │ │ │ │ │ │ ├── eui64.c │ │ │ │ │ │ ├── fsm.c │ │ │ │ │ │ ├── ipcp.c │ │ │ │ │ │ ├── ipv6cp.c │ │ │ │ │ │ ├── lcp.c │ │ │ │ │ │ ├── magic.c │ │ │ │ │ │ ├── mppe.c │ │ │ │ │ │ ├── multilink.c │ │ │ │ │ │ ├── polarssl │ │ │ │ │ │ │ ├── README │ │ │ │ │ │ │ ├── arc4.c │ │ │ │ │ │ │ ├── des.c │ │ │ │ │ │ │ ├── md4.c │ │ │ │ │ │ │ ├── md5.c │ │ │ │ │ │ │ └── sha1.c │ │ │ │ │ │ ├── ppp.c │ │ │ │ │ │ ├── pppapi.c │ │ │ │ │ │ ├── pppcrypt.c │ │ │ │ │ │ ├── pppoe.c │ │ │ │ │ │ ├── pppol2tp.c │ │ │ │ │ │ ├── pppos.c │ │ │ │ │ │ ├── upap.c │ │ │ │ │ │ ├── utils.c │ │ │ │ │ │ └── vj.c │ │ │ │ │ │ ├── slipif.c │ │ │ │ │ │ └── zepif.c │ │ │ │ │ ├── mig_7series_v2_2 │ │ │ │ │ └── src │ │ │ │ │ │ └── xmig_7series.h │ │ │ │ │ ├── spi_v4_6 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xspi.c │ │ │ │ │ │ ├── xspi.h │ │ │ │ │ │ ├── xspi_g.c │ │ │ │ │ │ ├── xspi_i.h │ │ │ │ │ │ ├── xspi_l.h │ │ │ │ │ │ ├── xspi_options.c │ │ │ │ │ │ ├── xspi_selftest.c │ │ │ │ │ │ ├── xspi_sinit.c │ │ │ │ │ │ └── xspi_stats.c │ │ │ │ │ ├── standalone_v7_2 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile_depends │ │ │ │ │ │ ├── _exit.c │ │ │ │ │ │ ├── arm │ │ │ │ │ │ ├── ARMv8 │ │ │ │ │ │ │ ├── 32bit │ │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ │ ├── asm_vectors.S │ │ │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ │ │ ├── cpu_init.S │ │ │ │ │ │ │ │ │ └── xil-crt0.S │ │ │ │ │ │ │ │ ├── platform │ │ │ │ │ │ │ │ │ ├── ZynqMP │ │ │ │ │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ │ │ │ │ ├── translation_table.S │ │ │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ │ │ └── versal │ │ │ │ │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ │ ├── sleep.c │ │ │ │ │ │ │ │ ├── usleep.c │ │ │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ │ │ ├── xil_cache_l.h │ │ │ │ │ │ │ │ ├── xil_mmu.c │ │ │ │ │ │ │ │ ├── xil_mmu.h │ │ │ │ │ │ │ │ ├── xparameters_ps.h │ │ │ │ │ │ │ │ ├── xpseudo_asm.h │ │ │ │ │ │ │ │ ├── xreg_cortexa53.h │ │ │ │ │ │ │ │ ├── xtime_l.c │ │ │ │ │ │ │ │ └── xtime_l.h │ │ │ │ │ │ │ ├── 64bit │ │ │ │ │ │ │ │ ├── armclang │ │ │ │ │ │ │ │ │ ├── ARM_argv_veneer.c │ │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ │ ├── _sys_close.c │ │ │ │ │ │ │ │ │ ├── _sys_exit.c │ │ │ │ │ │ │ │ │ ├── _sys_iserror.c │ │ │ │ │ │ │ │ │ ├── _sys_istty.c │ │ │ │ │ │ │ │ │ ├── _sys_open.c │ │ │ │ │ │ │ │ │ ├── _sys_read.c │ │ │ │ │ │ │ │ │ ├── _sys_write.c │ │ │ │ │ │ │ │ │ ├── asm_vectors.S │ │ │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ │ │ └── xpseudo_asm_armclang.h │ │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ │ ├── asm_vectors.S │ │ │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ │ │ ├── initialise_monitor_handles.c │ │ │ │ │ │ │ │ │ └── xil-crt0.S │ │ │ │ │ │ │ │ ├── platform │ │ │ │ │ │ │ │ │ ├── ZynqMP │ │ │ │ │ │ │ │ │ │ ├── armclang │ │ │ │ │ │ │ │ │ │ │ └── translation_table.S │ │ │ │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ │ │ │ └── translation_table.S │ │ │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ │ │ └── versal │ │ │ │ │ │ │ │ │ │ ├── armclang │ │ │ │ │ │ │ │ │ │ └── translation_table.S │ │ │ │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ │ │ └── translation_table.S │ │ │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ │ ├── sleep.c │ │ │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ │ │ ├── xil_errata.h │ │ │ │ │ │ │ │ ├── xil_mmu.c │ │ │ │ │ │ │ │ ├── xil_mmu.h │ │ │ │ │ │ │ │ ├── xil_smc.c │ │ │ │ │ │ │ │ ├── xil_smc.h │ │ │ │ │ │ │ │ ├── xpseudo_asm.h │ │ │ │ │ │ │ │ ├── xpvxenconsole │ │ │ │ │ │ │ │ │ ├── arm64_ops.h │ │ │ │ │ │ │ │ │ ├── hypercall.S │ │ │ │ │ │ │ │ │ ├── hypercall.h │ │ │ │ │ │ │ │ │ ├── xen.h │ │ │ │ │ │ │ │ │ ├── xen_console.c │ │ │ │ │ │ │ │ │ ├── xen_console.h │ │ │ │ │ │ │ │ │ ├── xen_events.c │ │ │ │ │ │ │ │ │ └── xen_events.h │ │ │ │ │ │ │ │ ├── xreg_cortexa53.h │ │ │ │ │ │ │ │ ├── xtime_l.c │ │ │ │ │ │ │ │ └── xtime_l.h │ │ │ │ │ │ │ └── includes_ps │ │ │ │ │ │ │ │ └── platform │ │ │ │ │ │ │ │ ├── Versal │ │ │ │ │ │ │ │ ├── xfpd_slave_xmpu.h │ │ │ │ │ │ │ │ ├── xfpd_slcr.h │ │ │ │ │ │ │ │ ├── xfpd_slcr_secure.h │ │ │ │ │ │ │ │ ├── xlpd_iou_secure_slcr.h │ │ │ │ │ │ │ │ ├── xlpd_iou_slcr.h │ │ │ │ │ │ │ │ ├── xlpd_slcr.h │ │ │ │ │ │ │ │ ├── xlpd_slcr_secure.h │ │ │ │ │ │ │ │ ├── xlpd_xppu.h │ │ │ │ │ │ │ │ ├── xocm_xmpu.h │ │ │ │ │ │ │ │ ├── xpmc_iou_secure_slcr.h │ │ │ │ │ │ │ │ ├── xpmc_iou_slcr.h │ │ │ │ │ │ │ │ ├── xpmc_xmpu.h │ │ │ │ │ │ │ │ └── xpmc_xppu.h │ │ │ │ │ │ │ │ └── ZynqMP │ │ │ │ │ │ │ │ ├── xddr_xmpu0_cfg.h │ │ │ │ │ │ │ │ ├── xddr_xmpu1_cfg.h │ │ │ │ │ │ │ │ ├── xddr_xmpu2_cfg.h │ │ │ │ │ │ │ │ ├── xddr_xmpu3_cfg.h │ │ │ │ │ │ │ │ ├── xddr_xmpu4_cfg.h │ │ │ │ │ │ │ │ ├── xddr_xmpu5_cfg.h │ │ │ │ │ │ │ │ ├── xfpd_slcr.h │ │ │ │ │ │ │ │ ├── xfpd_slcr_secure.h │ │ │ │ │ │ │ │ ├── xfpd_xmpu_cfg.h │ │ │ │ │ │ │ │ ├── xfpd_xmpu_sink.h │ │ │ │ │ │ │ │ ├── xiou_secure_slcr.h │ │ │ │ │ │ │ │ ├── xiou_slcr.h │ │ │ │ │ │ │ │ ├── xlpd_slcr.h │ │ │ │ │ │ │ │ ├── xlpd_slcr_secure.h │ │ │ │ │ │ │ │ ├── xlpd_xppu.h │ │ │ │ │ │ │ │ ├── xlpd_xppu_sink.h │ │ │ │ │ │ │ │ └── xocm_xmpu_cfg.h │ │ │ │ │ │ ├── common │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ ├── _exit.c │ │ │ │ │ │ │ │ ├── _open.c │ │ │ │ │ │ │ │ ├── _sbrk.c │ │ │ │ │ │ │ │ ├── abort.c │ │ │ │ │ │ │ │ ├── close.c │ │ │ │ │ │ │ │ ├── cpputest_time.c │ │ │ │ │ │ │ │ ├── errno.c │ │ │ │ │ │ │ │ ├── fcntl.c │ │ │ │ │ │ │ │ ├── fstat.c │ │ │ │ │ │ │ │ ├── getpid.c │ │ │ │ │ │ │ │ ├── isatty.c │ │ │ │ │ │ │ │ ├── kill.c │ │ │ │ │ │ │ │ ├── lseek.c │ │ │ │ │ │ │ │ ├── open.c │ │ │ │ │ │ │ │ ├── read.c │ │ │ │ │ │ │ │ ├── sbrk.c │ │ │ │ │ │ │ │ ├── unlink.c │ │ │ │ │ │ │ │ ├── write.c │ │ │ │ │ │ │ │ └── xpseudo_asm_gcc.h │ │ │ │ │ │ │ ├── iccarm │ │ │ │ │ │ │ │ ├── abort.c │ │ │ │ │ │ │ │ ├── clock.c │ │ │ │ │ │ │ │ ├── close.c │ │ │ │ │ │ │ │ ├── exit.c │ │ │ │ │ │ │ │ ├── low_level_init.c │ │ │ │ │ │ │ │ ├── lseek.c │ │ │ │ │ │ │ │ ├── open.c │ │ │ │ │ │ │ │ ├── read.c │ │ │ │ │ │ │ │ ├── remove.c │ │ │ │ │ │ │ │ ├── write.c │ │ │ │ │ │ │ │ ├── xpseudo_asm_iccarm.c │ │ │ │ │ │ │ │ └── xpseudo_asm_iccarm.h │ │ │ │ │ │ │ ├── putnum.c │ │ │ │ │ │ │ ├── vectors.c │ │ │ │ │ │ │ ├── vectors.h │ │ │ │ │ │ │ ├── xil_exception.c │ │ │ │ │ │ │ ├── xil_exception.h │ │ │ │ │ │ │ ├── xil_sleeptimer.c │ │ │ │ │ │ │ └── xil_sleeptimer.h │ │ │ │ │ │ ├── cortexa9 │ │ │ │ │ │ │ ├── armcc │ │ │ │ │ │ │ │ ├── ARM_argv_veneer.c │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ ├── _sys_close.c │ │ │ │ │ │ │ │ ├── _sys_exit.c │ │ │ │ │ │ │ │ ├── _sys_iserror.c │ │ │ │ │ │ │ │ ├── _sys_istty.c │ │ │ │ │ │ │ │ ├── _sys_open.c │ │ │ │ │ │ │ │ ├── _sys_read.c │ │ │ │ │ │ │ │ ├── _sys_write.c │ │ │ │ │ │ │ │ ├── asm_vectors.s │ │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ │ ├── translation_table.s │ │ │ │ │ │ │ │ ├── xpseudo_asm_rvct.c │ │ │ │ │ │ │ │ └── xpseudo_asm_rvct.h │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ ├── asm_vectors.S │ │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ │ ├── cpu_init.S │ │ │ │ │ │ │ │ ├── translation_table.S │ │ │ │ │ │ │ │ └── xil-crt0.S │ │ │ │ │ │ │ ├── iccarm │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ ├── asm_vectors.s │ │ │ │ │ │ │ │ ├── boot.s │ │ │ │ │ │ │ │ └── translation_table.s │ │ │ │ │ │ │ ├── sleep.c │ │ │ │ │ │ │ ├── smc.h │ │ │ │ │ │ │ ├── usleep.c │ │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ │ ├── xil_cache_l.h │ │ │ │ │ │ │ ├── xil_errata.h │ │ │ │ │ │ │ ├── xil_misc_psreset_api.c │ │ │ │ │ │ │ ├── xil_misc_psreset_api.h │ │ │ │ │ │ │ ├── xil_mmu.c │ │ │ │ │ │ │ ├── xil_mmu.h │ │ │ │ │ │ │ ├── xl2cc.h │ │ │ │ │ │ │ ├── xl2cc_counter.c │ │ │ │ │ │ │ ├── xl2cc_counter.h │ │ │ │ │ │ │ ├── xparameters_ps.h │ │ │ │ │ │ │ ├── xpm_counter.c │ │ │ │ │ │ │ ├── xpm_counter.h │ │ │ │ │ │ │ ├── xpseudo_asm.h │ │ │ │ │ │ │ ├── xreg_cortexa9.h │ │ │ │ │ │ │ ├── xtime_l.c │ │ │ │ │ │ │ └── xtime_l.h │ │ │ │ │ │ └── cortexr5 │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ ├── asm_vectors.S │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ ├── cpu_init.S │ │ │ │ │ │ │ └── xil-crt0.S │ │ │ │ │ │ │ ├── iccarm │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ ├── asm_vectors.s │ │ │ │ │ │ │ └── boot.s │ │ │ │ │ │ │ ├── platform │ │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ │ ├── ZynqMP │ │ │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ │ │ ├── mpu.c │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ └── versal │ │ │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ │ │ ├── mpu.c │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ ├── sleep.c │ │ │ │ │ │ │ ├── usleep.c │ │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ │ ├── xil_mmu.h │ │ │ │ │ │ │ ├── xil_mpu.c │ │ │ │ │ │ │ ├── xil_mpu.h │ │ │ │ │ │ │ ├── xpm_counter.c │ │ │ │ │ │ │ ├── xpm_counter.h │ │ │ │ │ │ │ ├── xpseudo_asm.h │ │ │ │ │ │ │ ├── xreg_cortexr5.h │ │ │ │ │ │ │ ├── xtime_l.c │ │ │ │ │ │ │ └── xtime_l.h │ │ │ │ │ │ ├── bspconfig.h │ │ │ │ │ │ ├── changelog.txt │ │ │ │ │ │ ├── clocking │ │ │ │ │ │ ├── xil_clocking.c │ │ │ │ │ │ └── xil_clocking.h │ │ │ │ │ │ ├── common │ │ │ │ │ │ ├── clocking │ │ │ │ │ │ │ ├── xil_clocking.c │ │ │ │ │ │ │ └── xil_clocking.h │ │ │ │ │ │ ├── print.c │ │ │ │ │ │ ├── sleep.h │ │ │ │ │ │ ├── xbasic_types.h │ │ │ │ │ │ ├── xdebug.h │ │ │ │ │ │ ├── xenv.h │ │ │ │ │ │ ├── xenv_standalone.h │ │ │ │ │ │ ├── xil_assert.c │ │ │ │ │ │ ├── xil_assert.h │ │ │ │ │ │ ├── xil_cache_vxworks.h │ │ │ │ │ │ ├── xil_hal.h │ │ │ │ │ │ ├── xil_io.c │ │ │ │ │ │ ├── xil_io.h │ │ │ │ │ │ ├── xil_macroback.h │ │ │ │ │ │ ├── xil_mem.c │ │ │ │ │ │ ├── xil_mem.h │ │ │ │ │ │ ├── xil_printf.c │ │ │ │ │ │ ├── xil_printf.h │ │ │ │ │ │ ├── xil_sleepcommon.c │ │ │ │ │ │ ├── xil_testcache.c │ │ │ │ │ │ ├── xil_testcache.h │ │ │ │ │ │ ├── xil_testio.c │ │ │ │ │ │ ├── xil_testio.h │ │ │ │ │ │ ├── xil_testmem.c │ │ │ │ │ │ ├── xil_testmem.h │ │ │ │ │ │ ├── xil_types.h │ │ │ │ │ │ ├── xil_util.c │ │ │ │ │ │ ├── xil_util.h │ │ │ │ │ │ ├── xplatform_info.c │ │ │ │ │ │ ├── xplatform_info.h │ │ │ │ │ │ └── xstatus.h │ │ │ │ │ │ ├── config.make │ │ │ │ │ │ ├── errno.c │ │ │ │ │ │ ├── fcntl.c │ │ │ │ │ │ ├── fsl.h │ │ │ │ │ │ ├── hw_exception_handler.S │ │ │ │ │ │ ├── inbyte.c │ │ │ │ │ │ ├── mb_interface.h │ │ │ │ │ │ ├── microblaze │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── _exit.c │ │ │ │ │ │ ├── errno.c │ │ │ │ │ │ ├── fcntl.c │ │ │ │ │ │ ├── fsl.h │ │ │ │ │ │ ├── hw_exception_handler.S │ │ │ │ │ │ ├── mb_interface.h │ │ │ │ │ │ ├── microblaze_disable_dcache.S │ │ │ │ │ │ ├── microblaze_disable_exceptions.S │ │ │ │ │ │ ├── microblaze_disable_icache.S │ │ │ │ │ │ ├── microblaze_disable_interrupts.S │ │ │ │ │ │ ├── microblaze_enable_dcache.S │ │ │ │ │ │ ├── microblaze_enable_exceptions.S │ │ │ │ │ │ ├── microblaze_enable_icache.S │ │ │ │ │ │ ├── microblaze_enable_interrupts.S │ │ │ │ │ │ ├── microblaze_exception_handler.c │ │ │ │ │ │ ├── microblaze_exceptions_g.h │ │ │ │ │ │ ├── microblaze_exceptions_i.h │ │ │ │ │ │ ├── microblaze_flush_cache_ext.S │ │ │ │ │ │ ├── microblaze_flush_cache_ext_range.S │ │ │ │ │ │ ├── microblaze_flush_dcache.S │ │ │ │ │ │ ├── microblaze_flush_dcache_range.S │ │ │ │ │ │ ├── microblaze_init_dcache_range.S │ │ │ │ │ │ ├── microblaze_init_icache_range.S │ │ │ │ │ │ ├── microblaze_instructions.h │ │ │ │ │ │ ├── microblaze_interrupt_handler.c │ │ │ │ │ │ ├── microblaze_interrupts_g.c │ │ │ │ │ │ ├── microblaze_interrupts_i.h │ │ │ │ │ │ ├── microblaze_invalidate_cache_ext.S │ │ │ │ │ │ ├── microblaze_invalidate_cache_ext_range.S │ │ │ │ │ │ ├── microblaze_invalidate_dcache.S │ │ │ │ │ │ ├── microblaze_invalidate_dcache_range.S │ │ │ │ │ │ ├── microblaze_invalidate_icache.S │ │ │ │ │ │ ├── microblaze_invalidate_icache_range.S │ │ │ │ │ │ ├── microblaze_scrub.S │ │ │ │ │ │ ├── microblaze_sleep.c │ │ │ │ │ │ ├── microblaze_sleep.h │ │ │ │ │ │ ├── microblaze_update_dcache.S │ │ │ │ │ │ ├── microblaze_update_icache.S │ │ │ │ │ │ ├── pvr.c │ │ │ │ │ │ ├── pvr.h │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ ├── xil_exception.c │ │ │ │ │ │ ├── xil_exception.h │ │ │ │ │ │ ├── xil_misc_psreset_api.c │ │ │ │ │ │ └── xil_misc_psreset_api.h │ │ │ │ │ │ ├── microblaze_disable_dcache.S │ │ │ │ │ │ ├── microblaze_disable_exceptions.S │ │ │ │ │ │ ├── microblaze_disable_icache.S │ │ │ │ │ │ ├── microblaze_disable_interrupts.S │ │ │ │ │ │ ├── microblaze_enable_dcache.S │ │ │ │ │ │ ├── microblaze_enable_exceptions.S │ │ │ │ │ │ ├── microblaze_enable_icache.S │ │ │ │ │ │ ├── microblaze_enable_interrupts.S │ │ │ │ │ │ ├── microblaze_exception_handler.c │ │ │ │ │ │ ├── microblaze_exceptions_g.h │ │ │ │ │ │ ├── microblaze_exceptions_i.h │ │ │ │ │ │ ├── microblaze_flush_cache_ext.S │ │ │ │ │ │ ├── microblaze_flush_cache_ext_range.S │ │ │ │ │ │ ├── microblaze_flush_dcache.S │ │ │ │ │ │ ├── microblaze_flush_dcache_range.S │ │ │ │ │ │ ├── microblaze_init_dcache_range.S │ │ │ │ │ │ ├── microblaze_init_icache_range.S │ │ │ │ │ │ ├── microblaze_instructions.h │ │ │ │ │ │ ├── microblaze_interrupts_g.c │ │ │ │ │ │ ├── microblaze_interrupts_i.h │ │ │ │ │ │ ├── microblaze_invalidate_cache_ext.S │ │ │ │ │ │ ├── microblaze_invalidate_cache_ext_range.S │ │ │ │ │ │ ├── microblaze_invalidate_dcache.S │ │ │ │ │ │ ├── microblaze_invalidate_dcache_range.S │ │ │ │ │ │ ├── microblaze_invalidate_icache.S │ │ │ │ │ │ ├── microblaze_invalidate_icache_range.S │ │ │ │ │ │ ├── microblaze_scrub.S │ │ │ │ │ │ ├── microblaze_sleep.c │ │ │ │ │ │ ├── microblaze_sleep.h │ │ │ │ │ │ ├── microblaze_update_dcache.S │ │ │ │ │ │ ├── microblaze_update_icache.S │ │ │ │ │ │ ├── outbyte.c │ │ │ │ │ │ ├── print.c │ │ │ │ │ │ ├── profile │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── _profile_clean.c │ │ │ │ │ │ ├── _profile_init.c │ │ │ │ │ │ ├── _profile_timer_hw.c │ │ │ │ │ │ ├── _profile_timer_hw.h │ │ │ │ │ │ ├── dummy.S │ │ │ │ │ │ ├── mblaze_nt_types.h │ │ │ │ │ │ ├── profile.h │ │ │ │ │ │ ├── profile_cg.c │ │ │ │ │ │ ├── profile_config.h │ │ │ │ │ │ ├── profile_hist.c │ │ │ │ │ │ ├── profile_mcount_arm.S │ │ │ │ │ │ ├── profile_mcount_mb.S │ │ │ │ │ │ └── profile_mcount_ppc.S │ │ │ │ │ │ ├── pvr.c │ │ │ │ │ │ ├── pvr.h │ │ │ │ │ │ ├── sleep.h │ │ │ │ │ │ ├── xbasic_types.h │ │ │ │ │ │ ├── xdebug.h │ │ │ │ │ │ ├── xenv.h │ │ │ │ │ │ ├── xenv_standalone.h │ │ │ │ │ │ ├── xil_assert.c │ │ │ │ │ │ ├── xil_assert.h │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ ├── xil_cache_vxworks.h │ │ │ │ │ │ ├── xil_clocking.c │ │ │ │ │ │ ├── xil_clocking.h │ │ │ │ │ │ ├── xil_exception.c │ │ │ │ │ │ ├── xil_exception.h │ │ │ │ │ │ ├── xil_hal.h │ │ │ │ │ │ ├── xil_io.c │ │ │ │ │ │ ├── xil_io.h │ │ │ │ │ │ ├── xil_macroback.h │ │ │ │ │ │ ├── xil_mem.c │ │ │ │ │ │ ├── xil_mem.h │ │ │ │ │ │ ├── xil_misc_psreset_api.c │ │ │ │ │ │ ├── xil_misc_psreset_api.h │ │ │ │ │ │ ├── xil_printf.c │ │ │ │ │ │ ├── xil_printf.h │ │ │ │ │ │ ├── xil_sleepcommon.c │ │ │ │ │ │ ├── xil_testcache.c │ │ │ │ │ │ ├── xil_testcache.h │ │ │ │ │ │ ├── xil_testio.c │ │ │ │ │ │ ├── xil_testio.h │ │ │ │ │ │ ├── xil_testmem.c │ │ │ │ │ │ ├── xil_testmem.h │ │ │ │ │ │ ├── xil_types.h │ │ │ │ │ │ ├── xil_util.c │ │ │ │ │ │ ├── xil_util.h │ │ │ │ │ │ ├── xplatform_info.c │ │ │ │ │ │ ├── xplatform_info.h │ │ │ │ │ │ └── xstatus.h │ │ │ │ │ ├── tmrctr_v4_6 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xtmrctr.c │ │ │ │ │ │ ├── xtmrctr.h │ │ │ │ │ │ ├── xtmrctr_g.c │ │ │ │ │ │ ├── xtmrctr_i.h │ │ │ │ │ │ ├── xtmrctr_intr.c │ │ │ │ │ │ ├── xtmrctr_l.c │ │ │ │ │ │ ├── xtmrctr_l.h │ │ │ │ │ │ ├── xtmrctr_options.c │ │ │ │ │ │ ├── xtmrctr_selftest.c │ │ │ │ │ │ ├── xtmrctr_sinit.c │ │ │ │ │ │ └── xtmrctr_stats.c │ │ │ │ │ └── uartlite_v3_4 │ │ │ │ │ └── src │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── xuartlite.c │ │ │ │ │ ├── xuartlite.h │ │ │ │ │ ├── xuartlite_g.c │ │ │ │ │ ├── xuartlite_i.h │ │ │ │ │ ├── xuartlite_intr.c │ │ │ │ │ ├── xuartlite_l.c │ │ │ │ │ ├── xuartlite_l.h │ │ │ │ │ ├── xuartlite_selftest.c │ │ │ │ │ ├── xuartlite_sinit.c │ │ │ │ │ └── xuartlite_stats.c │ │ │ │ └── system.mss │ │ └── platform.spr │ ├── oscilloscope-software │ │ ├── .cproject │ │ ├── .project │ │ ├── Debug │ │ │ ├── makefile │ │ │ ├── objects.mk │ │ │ ├── oscilloscope-software_Debug.build.ui.log │ │ │ ├── sources.mk │ │ │ └── src │ │ │ │ └── subdir.mk │ │ ├── _ide │ │ │ ├── bitstream │ │ │ │ ├── design_1_wrapper.bit │ │ │ │ └── design_1_wrapper.mmi │ │ │ └── hwspec.checksum │ │ ├── oscilloscope-software.prj │ │ └── src │ │ │ ├── README.txt │ │ │ ├── echo.c │ │ │ ├── iic_phyreset.c │ │ │ ├── lscript.ld │ │ │ ├── main.c │ │ │ └── platform_config.h │ └── oscilloscope-software_system │ │ ├── .cproject │ │ ├── .project │ │ ├── Debug │ │ ├── makefile │ │ └── oscilloscope-software_system_Debug.build.ui.log │ │ └── oscilloscope-software_system.sprj │ └── set_drive_letter.bat ├── Chapter06 ├── README.md └── src │ └── oscilloscope-circuit │ ├── .gitignore │ ├── ADC.sch │ ├── Analog Inputs.sch │ ├── Calibration.sch │ ├── Footprints.pretty │ ├── Littelfuse-GTCC23-XXXM-R01-2-Series-GDT.kicad_mod │ └── PinHeader_2x06_P2.54mm_renumbered.kicad_mod │ ├── Oscilloscope-cache.lib │ ├── Oscilloscope.csv │ ├── Oscilloscope.kicad_pcb │ ├── Oscilloscope.pro │ ├── Oscilloscope.sch │ ├── Oscilloscope.xlsx │ ├── PMOD Ports.sch │ ├── Power Supply.sch │ ├── SPI.sch │ ├── Schematic Symbols.dcm │ ├── Schematic Symbols.lib │ ├── fp-lib-table │ └── sym-lib-table ├── Chapter07 └── README.md ├── Chapter08 ├── README.md └── src │ ├── oscilloscope-fpga │ ├── .gitignore │ ├── oscilloscope-fpga.cache │ │ └── wt │ │ │ └── webtalk_pa.xml │ ├── oscilloscope-fpga.srcs │ │ ├── constrs_1 │ │ │ └── new │ │ │ │ └── arty.xdc │ │ └── sources_1 │ │ │ ├── bd │ │ │ ├── design_1 │ │ │ │ ├── design_1.bd │ │ │ │ ├── design_1.bxml │ │ │ │ ├── hdl │ │ │ │ │ └── design_1_wrapper.vhd │ │ │ │ ├── ip │ │ │ │ │ ├── design_1_adc_interface_0_0 │ │ │ │ │ │ ├── design_1_adc_interface_0_0.xci │ │ │ │ │ │ └── design_1_adc_interface_0_0.xml │ │ │ │ │ ├── design_1_auto_ds_0 │ │ │ │ │ │ ├── design_1_auto_ds_0.xci │ │ │ │ │ │ └── design_1_auto_ds_0.xml │ │ │ │ │ ├── design_1_auto_ds_1 │ │ │ │ │ │ ├── design_1_auto_ds_1.xci │ │ │ │ │ │ └── design_1_auto_ds_1.xml │ │ │ │ │ ├── design_1_auto_ds_2 │ │ │ │ │ │ ├── design_1_auto_ds_2.xci │ │ │ │ │ │ └── design_1_auto_ds_2.xml │ │ │ │ │ ├── design_1_auto_ds_3 │ │ │ │ │ │ ├── design_1_auto_ds_3.xci │ │ │ │ │ │ └── design_1_auto_ds_3.xml │ │ │ │ │ ├── design_1_auto_ds_4 │ │ │ │ │ │ ├── design_1_auto_ds_4.xci │ │ │ │ │ │ └── design_1_auto_ds_4.xml │ │ │ │ │ ├── design_1_auto_ds_5 │ │ │ │ │ │ ├── design_1_auto_ds_5.xci │ │ │ │ │ │ └── design_1_auto_ds_5.xml │ │ │ │ │ ├── design_1_auto_ds_6 │ │ │ │ │ │ ├── design_1_auto_ds_6.xci │ │ │ │ │ │ └── design_1_auto_ds_6.xml │ │ │ │ │ ├── design_1_auto_pc_0 │ │ │ │ │ │ ├── design_1_auto_pc_0.xci │ │ │ │ │ │ └── design_1_auto_pc_0.xml │ │ │ │ │ ├── design_1_auto_pc_1 │ │ │ │ │ │ ├── design_1_auto_pc_1.xci │ │ │ │ │ │ └── design_1_auto_pc_1.xml │ │ │ │ │ ├── design_1_auto_pc_2 │ │ │ │ │ │ ├── design_1_auto_pc_2.xci │ │ │ │ │ │ └── design_1_auto_pc_2.xml │ │ │ │ │ ├── design_1_auto_pc_3 │ │ │ │ │ │ ├── design_1_auto_pc_3.xci │ │ │ │ │ │ └── design_1_auto_pc_3.xml │ │ │ │ │ ├── design_1_auto_pc_4 │ │ │ │ │ │ ├── design_1_auto_pc_4.xci │ │ │ │ │ │ └── design_1_auto_pc_4.xml │ │ │ │ │ ├── design_1_auto_pc_5 │ │ │ │ │ │ ├── design_1_auto_pc_5.xci │ │ │ │ │ │ └── design_1_auto_pc_5.xml │ │ │ │ │ ├── design_1_auto_pc_6 │ │ │ │ │ │ ├── design_1_auto_pc_6.xci │ │ │ │ │ │ └── design_1_auto_pc_6.xml │ │ │ │ │ ├── design_1_auto_pc_7 │ │ │ │ │ │ ├── design_1_auto_pc_7.xci │ │ │ │ │ │ └── design_1_auto_pc_7.xml │ │ │ │ │ ├── design_1_auto_us_0 │ │ │ │ │ │ ├── design_1_auto_us_0.xci │ │ │ │ │ │ └── design_1_auto_us_0.xml │ │ │ │ │ ├── design_1_auto_us_1 │ │ │ │ │ │ ├── design_1_auto_us_1.xci │ │ │ │ │ │ └── design_1_auto_us_1.xml │ │ │ │ │ ├── design_1_auto_us_2 │ │ │ │ │ │ ├── design_1_auto_us_2.xci │ │ │ │ │ │ └── design_1_auto_us_2.xml │ │ │ │ │ ├── design_1_axi_ethernetlite_0_0 │ │ │ │ │ │ ├── design_1_axi_ethernetlite_0_0.xci │ │ │ │ │ │ └── design_1_axi_ethernetlite_0_0.xml │ │ │ │ │ ├── design_1_axi_gpio_0_0 │ │ │ │ │ │ ├── design_1_axi_gpio_0_0.xci │ │ │ │ │ │ └── design_1_axi_gpio_0_0.xml │ │ │ │ │ ├── design_1_axi_gpio_1_0 │ │ │ │ │ │ ├── design_1_axi_gpio_1_0.xci │ │ │ │ │ │ └── design_1_axi_gpio_1_0.xml │ │ │ │ │ ├── design_1_axi_quad_spi_0_0 │ │ │ │ │ │ ├── design_1_axi_quad_spi_0_0.xci │ │ │ │ │ │ └── design_1_axi_quad_spi_0_0.xml │ │ │ │ │ ├── design_1_axi_timer_0_0 │ │ │ │ │ │ ├── design_1_axi_timer_0_0.xci │ │ │ │ │ │ └── design_1_axi_timer_0_0.xml │ │ │ │ │ ├── design_1_axi_uartlite_0_0 │ │ │ │ │ │ ├── design_1_axi_uartlite_0_0.xci │ │ │ │ │ │ └── design_1_axi_uartlite_0_0.xml │ │ │ │ │ ├── design_1_clk_wiz_0_0 │ │ │ │ │ │ ├── design_1_clk_wiz_0_0.xci │ │ │ │ │ │ └── design_1_clk_wiz_0_0.xml │ │ │ │ │ ├── design_1_dlmb_bram_if_cntlr_0 │ │ │ │ │ │ ├── design_1_dlmb_bram_if_cntlr_0.xci │ │ │ │ │ │ └── design_1_dlmb_bram_if_cntlr_0.xml │ │ │ │ │ ├── design_1_dlmb_v10_0 │ │ │ │ │ │ ├── design_1_dlmb_v10_0.xci │ │ │ │ │ │ └── design_1_dlmb_v10_0.xml │ │ │ │ │ ├── design_1_ilmb_bram_if_cntlr_0 │ │ │ │ │ │ ├── design_1_ilmb_bram_if_cntlr_0.xci │ │ │ │ │ │ └── design_1_ilmb_bram_if_cntlr_0.xml │ │ │ │ │ ├── design_1_ilmb_v10_0 │ │ │ │ │ │ ├── design_1_ilmb_v10_0.xci │ │ │ │ │ │ └── design_1_ilmb_v10_0.xml │ │ │ │ │ ├── design_1_lmb_bram_0 │ │ │ │ │ │ ├── design_1_lmb_bram_0.xci │ │ │ │ │ │ └── design_1_lmb_bram_0.xml │ │ │ │ │ ├── design_1_mdm_1_0 │ │ │ │ │ │ ├── design_1_mdm_1_0.xci │ │ │ │ │ │ └── design_1_mdm_1_0.xml │ │ │ │ │ ├── design_1_microblaze_0_0 │ │ │ │ │ │ ├── design_1_microblaze_0_0.xci │ │ │ │ │ │ └── design_1_microblaze_0_0.xml │ │ │ │ │ ├── design_1_microblaze_0_axi_intc_0 │ │ │ │ │ │ ├── design_1_microblaze_0_axi_intc_0.xci │ │ │ │ │ │ └── design_1_microblaze_0_axi_intc_0.xml │ │ │ │ │ ├── design_1_microblaze_0_axi_periph_0 │ │ │ │ │ │ ├── design_1_microblaze_0_axi_periph_0.xci │ │ │ │ │ │ └── design_1_microblaze_0_axi_periph_0.xml │ │ │ │ │ ├── design_1_microblaze_0_xlconcat_0 │ │ │ │ │ │ ├── design_1_microblaze_0_xlconcat_0.xci │ │ │ │ │ │ └── design_1_microblaze_0_xlconcat_0.xml │ │ │ │ │ ├── design_1_mig_7series_0_0 │ │ │ │ │ │ ├── board.prj │ │ │ │ │ │ ├── design_1_mig_7series_0_0.xci │ │ │ │ │ │ ├── design_1_mig_7series_0_0.xml │ │ │ │ │ │ ├── design_1_mig_7series_0_0 │ │ │ │ │ │ │ ├── datasheet.txt │ │ │ │ │ │ │ ├── docs │ │ │ │ │ │ │ │ └── phy_only_support_readme.txt │ │ │ │ │ │ │ ├── example_design │ │ │ │ │ │ │ │ ├── par │ │ │ │ │ │ │ │ │ ├── example_top.xdc │ │ │ │ │ │ │ │ │ └── readme.txt │ │ │ │ │ │ │ │ ├── rtl │ │ │ │ │ │ │ │ │ ├── example_top.v │ │ │ │ │ │ │ │ │ └── traffic_gen │ │ │ │ │ │ │ │ │ │ ├── mig_7series_v4_2_axi4_tg.v │ │ │ │ │ │ │ │ │ │ ├── mig_7series_v4_2_axi4_wrapper.v │ │ │ │ │ │ │ │ │ │ ├── mig_7series_v4_2_cmd_prbs_gen_axi.v │ │ │ │ │ │ │ │ │ │ ├── mig_7series_v4_2_data_gen_chk.v │ │ │ │ │ │ │ │ │ │ └── mig_7series_v4_2_tg.v │ │ │ │ │ │ │ │ └── sim │ │ │ │ │ │ │ │ │ ├── readme.txt │ │ │ │ │ │ │ │ │ ├── sim_tb_top.v │ │ │ │ │ │ │ │ │ ├── wiredly.v │ │ │ │ │ │ │ │ │ ├── xsim_files.prj │ │ │ │ │ │ │ │ │ └── xsim_options.tcl │ │ │ │ │ │ │ └── mig.prj │ │ │ │ │ │ └── design_1_mig_7series_0_0_xmdf.tcl │ │ │ │ │ ├── design_1_rst_mig_7series_0_83M_0 │ │ │ │ │ │ ├── design_1_rst_mig_7series_0_83M_0.xci │ │ │ │ │ │ └── design_1_rst_mig_7series_0_83M_0.xml │ │ │ │ │ └── design_1_xbar_0 │ │ │ │ │ │ ├── design_1_xbar_0.xci │ │ │ │ │ │ └── design_1_xbar_0.xml │ │ │ │ └── ui │ │ │ │ │ └── bd_1f5defd0.ui │ │ │ └── mref │ │ │ │ └── adc_interface │ │ │ │ ├── component.xml │ │ │ │ └── xgui │ │ │ │ └── adc_interface_v1_0.tcl │ │ │ └── new │ │ │ └── adc_interface.vhd │ └── oscilloscope-fpga.xpr │ ├── oscilloscope-software │ ├── .analytics │ ├── .metadata │ │ ├── .lock │ │ ├── .log │ │ ├── .plugins │ │ │ ├── com.xilinx.sdk.targetmanager │ │ │ │ └── dialog_settings.xml │ │ │ ├── com.xilinx.sdk.utils │ │ │ │ └── dialog_settings.xml │ │ │ ├── com.xilinx.sdsoc.ui │ │ │ │ └── dialog_settings.xml │ │ │ ├── com.xilinx.sdx.core │ │ │ │ └── dialog_settings.xml │ │ │ ├── com.xilinx.sdx.npw │ │ │ │ └── dialog_settings.xml │ │ │ ├── org.eclipse.cdt.core │ │ │ │ ├── .log │ │ │ │ ├── design_1_wrapper.1602200225195.pdom │ │ │ │ ├── oscilloscope-software.1602200224962.pdom │ │ │ │ └── oscilloscope-software_system.1602200225207.pdom │ │ │ ├── org.eclipse.cdt.make.core │ │ │ │ ├── .log │ │ │ │ ├── oscilloscope-software.sc │ │ │ │ ├── specs.c │ │ │ │ └── specs.cpp │ │ │ ├── org.eclipse.cdt.ui │ │ │ │ ├── design_1_wrapper.build.log │ │ │ │ ├── dialog_settings.xml │ │ │ │ ├── global-build.log │ │ │ │ ├── oscilloscope-software.build.log │ │ │ │ └── oscilloscope-software_system.build.log │ │ │ ├── org.eclipse.core.resources │ │ │ │ ├── .history │ │ │ │ │ ├── 0 │ │ │ │ │ │ └── 40fe1e7c660a001b1ed7e30b387b628b │ │ │ │ │ ├── 3 │ │ │ │ │ │ └── e03c6a42670a001b16b6999a935592f8 │ │ │ │ │ ├── 17 │ │ │ │ │ │ └── f0c20216600a001b1178c375fe30b58b │ │ │ │ │ ├── 19 │ │ │ │ │ │ └── 407a7242670a001b16b6999a935592f8 │ │ │ │ │ ├── 31 │ │ │ │ │ │ ├── 30613d05670a001b16b6999a935592f8 │ │ │ │ │ │ └── f0b59501670a001b16b6999a935592f8 │ │ │ │ │ ├── 42 │ │ │ │ │ │ └── 10455455ec09001b11638946bec6587d │ │ │ │ │ ├── 48 │ │ │ │ │ │ └── c0f5a6a8ef09001b11638946bec6587d │ │ │ │ │ ├── 50 │ │ │ │ │ │ └── 60023db65d0a001b13fbb9287cd0c643 │ │ │ │ │ ├── 51 │ │ │ │ │ │ └── 40623e7b660a001b1ed7e30b387b628b │ │ │ │ │ ├── 53 │ │ │ │ │ │ └── e026eeeaec09001b11638946bec6587d │ │ │ │ │ ├── 55 │ │ │ │ │ │ └── 80930616600a001b1178c375fe30b58b │ │ │ │ │ ├── 57 │ │ │ │ │ │ └── 80f90cebec09001b11638946bec6587d │ │ │ │ │ ├── 62 │ │ │ │ │ │ └── 30781553660a001b1ed7e30b387b628b │ │ │ │ │ ├── 64 │ │ │ │ │ │ └── d04f34b65d0a001b13fbb9287cd0c643 │ │ │ │ │ ├── 65 │ │ │ │ │ │ └── 30b4f1ebec09001b11638946bec6587d │ │ │ │ │ ├── 66 │ │ │ │ │ │ ├── 00921253660a001b1ed7e30b387b628b │ │ │ │ │ │ └── 107709ebec09001b11638946bec6587d │ │ │ │ │ ├── 67 │ │ │ │ │ │ └── d03aa2a8ef09001b11638946bec6587d │ │ │ │ │ ├── 76 │ │ │ │ │ │ └── 40ff8755ec09001b11638946bec6587d │ │ │ │ │ ├── 78 │ │ │ │ │ │ └── 806090a8ef09001b11638946bec6587d │ │ │ │ │ ├── 85 │ │ │ │ │ │ └── 104f3305670a001b16b6999a935592f8 │ │ │ │ │ ├── 88 │ │ │ │ │ │ └── 70b37c42670a001b16b6999a935592f8 │ │ │ │ │ ├── 89 │ │ │ │ │ │ └── 502904b7650a001b1b69f0814255e526 │ │ │ │ │ ├── 91 │ │ │ │ │ │ └── 805efa15600a001b1178c375fe30b58b │ │ │ │ │ ├── 1f │ │ │ │ │ │ └── 70dd0816600a001b1178c375fe30b58b │ │ │ │ │ ├── 2d │ │ │ │ │ │ └── 100346b65d0a001b13fbb9287cd0c643 │ │ │ │ │ ├── 2f │ │ │ │ │ │ └── c0229f86ec09001b11638946bec6587d │ │ │ │ │ ├── 3a │ │ │ │ │ │ └── 905436c0ec09001b11638946bec6587d │ │ │ │ │ ├── 6e │ │ │ │ │ │ └── c0738ca9ef09001b11638946bec6587d │ │ │ │ │ ├── 6f │ │ │ │ │ │ └── 50798f55ec09001b11638946bec6587d │ │ │ │ │ ├── 7d │ │ │ │ │ │ └── 5089eeb7650a001b1b69f0814255e526 │ │ │ │ │ ├── 8a │ │ │ │ │ │ └── 70f49487ec09001b11638946bec6587d │ │ │ │ │ ├── 8b │ │ │ │ │ │ └── d08701ebec09001b11638946bec6587d │ │ │ │ │ ├── 8e │ │ │ │ │ │ ├── 10ae7b56ec09001b11638946bec6587d │ │ │ │ │ │ └── c073f753660a001b1ed7e30b387b628b │ │ │ │ │ ├── 9a │ │ │ │ │ │ └── 606c2505670a001b16b6999a935592f8 │ │ │ │ │ ├── 9c │ │ │ │ │ │ └── 504490a9ef09001b11638946bec6587d │ │ │ │ │ ├── 9d │ │ │ │ │ │ └── e0670ae8ec09001b11638946bec6587d │ │ │ │ │ ├── 9f │ │ │ │ │ │ └── 1000eb16600a001b1178c375fe30b58b │ │ │ │ │ ├── a4 │ │ │ │ │ │ └── 108b8628ec09001b11638946bec6587d │ │ │ │ │ ├── a9 │ │ │ │ │ │ └── 2075197b660a001b1ed7e30b387b628b │ │ │ │ │ ├── b0 │ │ │ │ │ │ └── f0c40353660a001b1ed7e30b387b628b │ │ │ │ │ ├── b5 │ │ │ │ │ │ └── 90c4af86ec09001b11638946bec6587d │ │ │ │ │ ├── b6 │ │ │ │ │ │ └── e035feb6650a001b1b69f0814255e526 │ │ │ │ │ ├── b8 │ │ │ │ │ │ └── 904bebebec09001b11638946bec6587d │ │ │ │ │ ├── bc │ │ │ │ │ │ └── d0df8686ec09001b11638946bec6587d │ │ │ │ │ ├── bf │ │ │ │ │ │ └── 808009b7650a001b1b69f0814255e526 │ │ │ │ │ ├── c │ │ │ │ │ │ ├── 10828087ec09001b11638946bec6587d │ │ │ │ │ │ └── 30ac407b660a001b1ed7e30b387b628b │ │ │ │ │ ├── c4 │ │ │ │ │ │ └── e0f72d05670a001b16b6999a935592f8 │ │ │ │ │ ├── cb │ │ │ │ │ │ └── 004ff2b6650a001b1b69f0814255e526 │ │ │ │ │ ├── d │ │ │ │ │ │ └── c0ce42b65d0a001b13fbb9287cd0c643 │ │ │ │ │ ├── d6 │ │ │ │ │ │ └── 90582106670a001b16b6999a935592f8 │ │ │ │ │ ├── d7 │ │ │ │ │ │ └── b0c6b518ec09001b11638946bec6587d │ │ │ │ │ ├── e5 │ │ │ │ │ │ └── b05c2e7b660a001b1ed7e30b387b628b │ │ │ │ │ ├── ea │ │ │ │ │ │ └── 80416b86ec09001b11638946bec6587d │ │ │ │ │ ├── f │ │ │ │ │ │ ├── 70c05f43670a001b16b6999a935592f8 │ │ │ │ │ │ └── c0b8eab7650a001b1b69f0814255e526 │ │ │ │ │ ├── f1 │ │ │ │ │ │ └── d0229355ec09001b11638946bec6587d │ │ │ │ │ ├── f2 │ │ │ │ │ │ └── d08da9a8ef09001b11638946bec6587d │ │ │ │ │ ├── f3 │ │ │ │ │ │ └── 10d70d53660a001b1ed7e30b387b628b │ │ │ │ │ ├── f8 │ │ │ │ │ │ └── b0de7a42670a001b16b6999a935592f8 │ │ │ │ │ └── fe │ │ │ │ │ │ └── c0cc7f56ec09001b11638946bec6587d │ │ │ │ ├── .projects │ │ │ │ │ ├── design_1_wrapper │ │ │ │ │ │ ├── .indexes │ │ │ │ │ │ │ └── properties.index │ │ │ │ │ │ └── .markers │ │ │ │ │ ├── oscilloscope-software │ │ │ │ │ │ └── .indexes │ │ │ │ │ │ │ ├── e4 │ │ │ │ │ │ │ ├── history.index │ │ │ │ │ │ │ └── properties.index │ │ │ │ │ │ │ ├── history.index │ │ │ │ │ │ │ └── properties.index │ │ │ │ │ └── oscilloscope-software_system │ │ │ │ │ │ └── .indexes │ │ │ │ │ │ ├── history.index │ │ │ │ │ │ └── properties.index │ │ │ │ ├── .root │ │ │ │ │ ├── .indexes │ │ │ │ │ │ ├── history.version │ │ │ │ │ │ ├── properties.index │ │ │ │ │ │ └── properties.version │ │ │ │ │ ├── .markers │ │ │ │ │ └── 9.tree │ │ │ │ └── .safetable │ │ │ │ │ └── org.eclipse.core.resources │ │ │ ├── org.eclipse.core.runtime │ │ │ │ └── .settings │ │ │ │ │ ├── com.sdkterminal.prefs │ │ │ │ │ ├── org.eclipse.cdt.core.prefs │ │ │ │ │ ├── org.eclipse.cdt.core.prj-design_1_wrapper.prefs │ │ │ │ │ ├── org.eclipse.cdt.core.prj-oscilloscope-software.prefs │ │ │ │ │ ├── org.eclipse.cdt.core.prj-oscilloscope-software_system.prefs │ │ │ │ │ ├── org.eclipse.cdt.debug.core.prefs │ │ │ │ │ ├── org.eclipse.cdt.managedbuilder.core.prefs │ │ │ │ │ ├── org.eclipse.cdt.ui.prefs │ │ │ │ │ ├── org.eclipse.core.resources.prefs │ │ │ │ │ ├── org.eclipse.debug.core.prefs │ │ │ │ │ ├── org.eclipse.debug.ui.prefs │ │ │ │ │ ├── org.eclipse.jdt.ui.prefs │ │ │ │ │ ├── org.eclipse.launchbar.core.prefs │ │ │ │ │ ├── org.eclipse.rse.core.prefs │ │ │ │ │ ├── org.eclipse.rse.ui.prefs │ │ │ │ │ ├── org.eclipse.team.ui.prefs │ │ │ │ │ ├── org.eclipse.ui.editors.prefs │ │ │ │ │ ├── org.eclipse.ui.ide.prefs │ │ │ │ │ ├── org.eclipse.ui.prefs │ │ │ │ │ └── org.eclipse.ui.workbench.prefs │ │ │ ├── org.eclipse.debug.core │ │ │ │ └── .launches │ │ │ │ │ └── SystemDebugger_oscilloscope-software_system.launch │ │ │ ├── org.eclipse.debug.ui │ │ │ │ ├── dialog_settings.xml │ │ │ │ └── launchConfigurationHistory.xml │ │ │ ├── org.eclipse.e4.ui.workbench.swt │ │ │ │ └── dialog_settings.xml │ │ │ ├── org.eclipse.e4.workbench │ │ │ │ └── workbench.xmi │ │ │ ├── org.eclipse.jdt.core │ │ │ │ ├── assumedExternalFilesCache │ │ │ │ ├── externalFilesCache │ │ │ │ ├── index.db │ │ │ │ ├── nonChainingJarsCache │ │ │ │ └── variablesAndContainers.dat │ │ │ ├── org.eclipse.jdt.ui │ │ │ │ ├── OpenTypeHistory.xml │ │ │ │ └── QualifiedTypeNameHistory.xml │ │ │ ├── org.eclipse.pde.core │ │ │ │ └── .cache │ │ │ │ │ └── clean-cache.properties │ │ │ ├── org.eclipse.rse.core │ │ │ │ ├── .log │ │ │ │ ├── initializerMarks │ │ │ │ │ └── org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark │ │ │ │ └── profiles │ │ │ │ │ └── PRF.desktop-2sm91gv_32563 │ │ │ │ │ ├── FP.local.files_0 │ │ │ │ │ └── node.properties │ │ │ │ │ ├── H.local_16 │ │ │ │ │ └── node.properties │ │ │ │ │ └── node.properties │ │ │ ├── org.eclipse.rse.ui │ │ │ │ └── .log │ │ │ ├── org.eclipse.tcf.cdt.ui │ │ │ │ └── dialog_settings.xml │ │ │ ├── org.eclipse.tcf.debug.ui │ │ │ │ └── memview.xml │ │ │ ├── org.eclipse.tcf.debug │ │ │ │ └── peers.ini │ │ │ ├── org.eclipse.tm.terminal.view.ui │ │ │ │ └── .executables │ │ │ │ │ └── data.properties │ │ │ ├── org.eclipse.ui.ide │ │ │ │ └── dialog_settings.xml │ │ │ ├── org.eclipse.ui.workbench.texteditor │ │ │ │ └── dialog_settings.xml │ │ │ └── org.eclipse.ui.workbench │ │ │ │ ├── dialog_settings.xml │ │ │ │ └── workingsets.xml │ │ └── version.ini │ ├── .sdk │ │ └── launch_scripts │ │ │ └── single_application_debug │ │ │ └── systemdebugger_oscilloscope-software_system_standalone.tcl │ ├── IDE.log │ ├── RemoteSystemsTempFiles │ │ └── .project │ ├── design_1_wrapper.xsa │ ├── design_1_wrapper │ │ ├── .cproject │ │ ├── .log │ │ │ └── design_1_wrapper_.build.ui.log │ │ ├── .project │ │ ├── bitstream │ │ │ └── design_1_wrapper.bit │ │ ├── hw │ │ │ ├── board │ │ │ │ └── arty-a7-100 │ │ │ │ │ ├── board.xml │ │ │ │ │ ├── part0_pins.xml │ │ │ │ │ └── preset.xml │ │ │ ├── design_1_wrapper.bit │ │ │ ├── design_1_wrapper.mmi │ │ │ └── design_1_wrapper.xsa │ │ ├── logs │ │ │ └── platform.log │ │ ├── microblaze_0 │ │ │ └── domain_microblaze_0 │ │ │ │ └── bsp │ │ │ │ ├── Makefile │ │ │ │ ├── microblaze_0 │ │ │ │ ├── include │ │ │ │ │ ├── FreeRTOS.h │ │ │ │ │ ├── FreeRTOSConfig.h │ │ │ │ │ ├── FreeRTOSSTMTrace.h │ │ │ │ │ ├── StackMacros.h │ │ │ │ │ ├── _profile_timer_hw.h │ │ │ │ │ ├── arch │ │ │ │ │ │ ├── cc.h │ │ │ │ │ │ ├── cpu.h │ │ │ │ │ │ ├── perf.h │ │ │ │ │ │ └── sys_arch.h │ │ │ │ │ ├── atomic.h │ │ │ │ │ ├── bspconfig.h │ │ │ │ │ ├── croutine.h │ │ │ │ │ ├── deprecated_definitions.h │ │ │ │ │ ├── event_groups.h │ │ │ │ │ ├── fsl.h │ │ │ │ │ ├── list.h │ │ │ │ │ ├── lwip │ │ │ │ │ │ ├── altcp.h │ │ │ │ │ │ ├── altcp_tcp.h │ │ │ │ │ │ ├── altcp_tls.h │ │ │ │ │ │ ├── api.h │ │ │ │ │ │ ├── apps │ │ │ │ │ │ │ ├── FILES │ │ │ │ │ │ │ ├── altcp_proxyconnect.h │ │ │ │ │ │ │ ├── altcp_tls_mbedtls_opts.h │ │ │ │ │ │ │ ├── fs.h │ │ │ │ │ │ │ ├── http_client.h │ │ │ │ │ │ │ ├── httpd.h │ │ │ │ │ │ │ ├── httpd_opts.h │ │ │ │ │ │ │ ├── lwiperf.h │ │ │ │ │ │ │ ├── mdns.h │ │ │ │ │ │ │ ├── mdns_opts.h │ │ │ │ │ │ │ ├── mdns_priv.h │ │ │ │ │ │ │ ├── mqtt.h │ │ │ │ │ │ │ ├── mqtt_opts.h │ │ │ │ │ │ │ ├── mqtt_priv.h │ │ │ │ │ │ │ ├── netbiosns.h │ │ │ │ │ │ │ ├── netbiosns_opts.h │ │ │ │ │ │ │ ├── smtp.h │ │ │ │ │ │ │ ├── smtp_opts.h │ │ │ │ │ │ │ ├── snmp.h │ │ │ │ │ │ │ ├── snmp_core.h │ │ │ │ │ │ │ ├── snmp_mib2.h │ │ │ │ │ │ │ ├── snmp_opts.h │ │ │ │ │ │ │ ├── snmp_scalar.h │ │ │ │ │ │ │ ├── snmp_snmpv2_framework.h │ │ │ │ │ │ │ ├── snmp_snmpv2_usm.h │ │ │ │ │ │ │ ├── snmp_table.h │ │ │ │ │ │ │ ├── snmp_threadsync.h │ │ │ │ │ │ │ ├── snmpv3.h │ │ │ │ │ │ │ ├── sntp.h │ │ │ │ │ │ │ ├── sntp_opts.h │ │ │ │ │ │ │ ├── tftp_opts.h │ │ │ │ │ │ │ └── tftp_server.h │ │ │ │ │ │ ├── arch.h │ │ │ │ │ │ ├── autoip.h │ │ │ │ │ │ ├── debug.h │ │ │ │ │ │ ├── def.h │ │ │ │ │ │ ├── dhcp.h │ │ │ │ │ │ ├── dhcp6.h │ │ │ │ │ │ ├── dns.h │ │ │ │ │ │ ├── err.h │ │ │ │ │ │ ├── errno.h │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ ├── ethip6.h │ │ │ │ │ │ ├── icmp.h │ │ │ │ │ │ ├── icmp6.h │ │ │ │ │ │ ├── if_api.h │ │ │ │ │ │ ├── igmp.h │ │ │ │ │ │ ├── inet.h │ │ │ │ │ │ ├── inet_chksum.h │ │ │ │ │ │ ├── init.h │ │ │ │ │ │ ├── init.h.cmake.in │ │ │ │ │ │ ├── ip.h │ │ │ │ │ │ ├── ip4.h │ │ │ │ │ │ ├── ip4_addr.h │ │ │ │ │ │ ├── ip4_frag.h │ │ │ │ │ │ ├── ip6.h │ │ │ │ │ │ ├── ip6_addr.h │ │ │ │ │ │ ├── ip6_frag.h │ │ │ │ │ │ ├── ip6_zone.h │ │ │ │ │ │ ├── ip_addr.h │ │ │ │ │ │ ├── mem.h │ │ │ │ │ │ ├── memp.h │ │ │ │ │ │ ├── mld6.h │ │ │ │ │ │ ├── nd6.h │ │ │ │ │ │ ├── netbuf.h │ │ │ │ │ │ ├── netdb.h │ │ │ │ │ │ ├── netif.h │ │ │ │ │ │ ├── netifapi.h │ │ │ │ │ │ ├── opt.h │ │ │ │ │ │ ├── pbuf.h │ │ │ │ │ │ ├── priv │ │ │ │ │ │ │ ├── altcp_priv.h │ │ │ │ │ │ │ ├── api_msg.h │ │ │ │ │ │ │ ├── mem_priv.h │ │ │ │ │ │ │ ├── memp_priv.h │ │ │ │ │ │ │ ├── memp_std.h │ │ │ │ │ │ │ ├── nd6_priv.h │ │ │ │ │ │ │ ├── raw_priv.h │ │ │ │ │ │ │ ├── sockets_priv.h │ │ │ │ │ │ │ ├── tcp_priv.h │ │ │ │ │ │ │ └── tcpip_priv.h │ │ │ │ │ │ ├── prot │ │ │ │ │ │ │ ├── autoip.h │ │ │ │ │ │ │ ├── dhcp.h │ │ │ │ │ │ │ ├── dhcp6.h │ │ │ │ │ │ │ ├── dns.h │ │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ │ ├── ethernet.h │ │ │ │ │ │ │ ├── iana.h │ │ │ │ │ │ │ ├── icmp.h │ │ │ │ │ │ │ ├── icmp6.h │ │ │ │ │ │ │ ├── ieee.h │ │ │ │ │ │ │ ├── igmp.h │ │ │ │ │ │ │ ├── ip.h │ │ │ │ │ │ │ ├── ip4.h │ │ │ │ │ │ │ ├── ip6.h │ │ │ │ │ │ │ ├── mld6.h │ │ │ │ │ │ │ ├── nd6.h │ │ │ │ │ │ │ ├── tcp.h │ │ │ │ │ │ │ └── udp.h │ │ │ │ │ │ ├── raw.h │ │ │ │ │ │ ├── sio.h │ │ │ │ │ │ ├── snmp.h │ │ │ │ │ │ ├── sockets.h │ │ │ │ │ │ ├── stats.h │ │ │ │ │ │ ├── sys.h │ │ │ │ │ │ ├── tcp.h │ │ │ │ │ │ ├── tcpbase.h │ │ │ │ │ │ ├── tcpip.h │ │ │ │ │ │ ├── timeouts.h │ │ │ │ │ │ └── udp.h │ │ │ │ │ ├── lwipopts.h │ │ │ │ │ ├── mb_interface.h │ │ │ │ │ ├── mblaze_nt_types.h │ │ │ │ │ ├── message_buffer.h │ │ │ │ │ ├── microblaze_exceptions_g.h │ │ │ │ │ ├── microblaze_exceptions_i.h │ │ │ │ │ ├── microblaze_instructions.h │ │ │ │ │ ├── microblaze_interrupts_i.h │ │ │ │ │ ├── microblaze_sleep.h │ │ │ │ │ ├── mpu_prototypes.h │ │ │ │ │ ├── mpu_wrappers.h │ │ │ │ │ ├── netif │ │ │ │ │ │ ├── bridgeif.h │ │ │ │ │ │ ├── bridgeif_opts.h │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ ├── ethernet.h │ │ │ │ │ │ ├── ieee802154.h │ │ │ │ │ │ ├── lowpan6.h │ │ │ │ │ │ ├── lowpan6_ble.h │ │ │ │ │ │ ├── lowpan6_common.h │ │ │ │ │ │ ├── lowpan6_opts.h │ │ │ │ │ │ ├── ppp │ │ │ │ │ │ │ ├── ccp.h │ │ │ │ │ │ │ ├── chap-md5.h │ │ │ │ │ │ │ ├── chap-new.h │ │ │ │ │ │ │ ├── chap_ms.h │ │ │ │ │ │ │ ├── eap.h │ │ │ │ │ │ │ ├── ecp.h │ │ │ │ │ │ │ ├── eui64.h │ │ │ │ │ │ │ ├── fsm.h │ │ │ │ │ │ │ ├── ipcp.h │ │ │ │ │ │ │ ├── ipv6cp.h │ │ │ │ │ │ │ ├── lcp.h │ │ │ │ │ │ │ ├── magic.h │ │ │ │ │ │ │ ├── mppe.h │ │ │ │ │ │ │ ├── polarssl │ │ │ │ │ │ │ │ ├── arc4.h │ │ │ │ │ │ │ │ ├── des.h │ │ │ │ │ │ │ │ ├── md4.h │ │ │ │ │ │ │ │ ├── md5.h │ │ │ │ │ │ │ │ └── sha1.h │ │ │ │ │ │ │ ├── ppp.h │ │ │ │ │ │ │ ├── ppp_impl.h │ │ │ │ │ │ │ ├── ppp_opts.h │ │ │ │ │ │ │ ├── pppapi.h │ │ │ │ │ │ │ ├── pppcrypt.h │ │ │ │ │ │ │ ├── pppdebug.h │ │ │ │ │ │ │ ├── pppoe.h │ │ │ │ │ │ │ ├── pppol2tp.h │ │ │ │ │ │ │ ├── pppos.h │ │ │ │ │ │ │ ├── upap.h │ │ │ │ │ │ │ └── vj.h │ │ │ │ │ │ ├── slipif.h │ │ │ │ │ │ ├── xadapter.h │ │ │ │ │ │ ├── xaxiemacif.h │ │ │ │ │ │ ├── xemacliteif.h │ │ │ │ │ │ ├── xemacpsif.h │ │ │ │ │ │ ├── xlltemacif.h │ │ │ │ │ │ ├── xpqueue.h │ │ │ │ │ │ ├── xtopology.h │ │ │ │ │ │ └── zepif.h │ │ │ │ │ ├── portable.h │ │ │ │ │ ├── portmacro.h │ │ │ │ │ ├── profile.h │ │ │ │ │ ├── projdefs.h │ │ │ │ │ ├── pvr.h │ │ │ │ │ ├── queue.h │ │ │ │ │ ├── semphr.h │ │ │ │ │ ├── sleep.h │ │ │ │ │ ├── stack_macros.h │ │ │ │ │ ├── stream_buffer.h │ │ │ │ │ ├── task.h │ │ │ │ │ ├── timers.h │ │ │ │ │ ├── xbasic_types.h │ │ │ │ │ ├── xbram.h │ │ │ │ │ ├── xbram_hw.h │ │ │ │ │ ├── xdebug.h │ │ │ │ │ ├── xemaclite.h │ │ │ │ │ ├── xemaclite_i.h │ │ │ │ │ ├── xemaclite_l.h │ │ │ │ │ ├── xenv.h │ │ │ │ │ ├── xenv_standalone.h │ │ │ │ │ ├── xgpio.h │ │ │ │ │ ├── xgpio_i.h │ │ │ │ │ ├── xgpio_l.h │ │ │ │ │ ├── xil_assert.h │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ ├── xil_cache_vxworks.h │ │ │ │ │ ├── xil_clocking.h │ │ │ │ │ ├── xil_exception.h │ │ │ │ │ ├── xil_hal.h │ │ │ │ │ ├── xil_io.h │ │ │ │ │ ├── xil_macroback.h │ │ │ │ │ ├── xil_mem.h │ │ │ │ │ ├── xil_misc_psreset_api.h │ │ │ │ │ ├── xil_printf.h │ │ │ │ │ ├── xil_testcache.h │ │ │ │ │ ├── xil_testio.h │ │ │ │ │ ├── xil_testmem.h │ │ │ │ │ ├── xil_types.h │ │ │ │ │ ├── xil_util.h │ │ │ │ │ ├── xintc.h │ │ │ │ │ ├── xintc_i.h │ │ │ │ │ ├── xintc_l.h │ │ │ │ │ ├── xio.h │ │ │ │ │ ├── xlwipconfig.h │ │ │ │ │ ├── xparameters.h │ │ │ │ │ ├── xplatform_info.h │ │ │ │ │ ├── xspi.h │ │ │ │ │ ├── xspi_i.h │ │ │ │ │ ├── xspi_l.h │ │ │ │ │ ├── xstatus.h │ │ │ │ │ ├── xtmrctr.h │ │ │ │ │ ├── xtmrctr_i.h │ │ │ │ │ ├── xtmrctr_l.h │ │ │ │ │ ├── xuartlite.h │ │ │ │ │ ├── xuartlite_i.h │ │ │ │ │ └── xuartlite_l.h │ │ │ │ ├── lib │ │ │ │ │ ├── libc.a │ │ │ │ │ ├── libfreertos.a │ │ │ │ │ ├── libgcc.a │ │ │ │ │ ├── libgloss.a │ │ │ │ │ └── libm.a │ │ │ │ └── libsrc │ │ │ │ │ ├── bram_v4_4 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xbram.c │ │ │ │ │ │ ├── xbram.h │ │ │ │ │ │ ├── xbram_g.c │ │ │ │ │ │ ├── xbram_hw.h │ │ │ │ │ │ ├── xbram_intr.c │ │ │ │ │ │ ├── xbram_selftest.c │ │ │ │ │ │ └── xbram_sinit.c │ │ │ │ │ ├── cpu_v2_11 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── fsl.h │ │ │ │ │ │ ├── xio.c │ │ │ │ │ │ └── xio.h │ │ │ │ │ ├── emaclite_v4_5 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xemaclite.c │ │ │ │ │ │ ├── xemaclite.h │ │ │ │ │ │ ├── xemaclite_g.c │ │ │ │ │ │ ├── xemaclite_i.h │ │ │ │ │ │ ├── xemaclite_intr.c │ │ │ │ │ │ ├── xemaclite_l.c │ │ │ │ │ │ ├── xemaclite_l.h │ │ │ │ │ │ ├── xemaclite_selftest.c │ │ │ │ │ │ └── xemaclite_sinit.c │ │ │ │ │ ├── freertos10_xilinx_v1_6 │ │ │ │ │ └── src │ │ │ │ │ │ ├── FreeRTOS.h │ │ │ │ │ │ ├── FreeRTOSConfig.h │ │ │ │ │ │ ├── FreeRTOSSTMTrace.h │ │ │ │ │ │ ├── License │ │ │ │ │ │ └── license.txt │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── Makefile_dep │ │ │ │ │ │ ├── Makefile_microblaze │ │ │ │ │ │ ├── Makefile_ps7_cortexa9 │ │ │ │ │ │ ├── Makefile_psu_cortexa53 │ │ │ │ │ │ ├── Makefile_psu_cortexr5 │ │ │ │ │ │ ├── Source │ │ │ │ │ │ ├── croutine.c │ │ │ │ │ │ ├── event_groups.c │ │ │ │ │ │ ├── include │ │ │ │ │ │ │ ├── FreeRTOS.h │ │ │ │ │ │ │ ├── StackMacros.h │ │ │ │ │ │ │ ├── atomic.h │ │ │ │ │ │ │ ├── croutine.h │ │ │ │ │ │ │ ├── deprecated_definitions.h │ │ │ │ │ │ │ ├── event_groups.h │ │ │ │ │ │ │ ├── list.h │ │ │ │ │ │ │ ├── message_buffer.h │ │ │ │ │ │ │ ├── mpu_prototypes.h │ │ │ │ │ │ │ ├── mpu_wrappers.h │ │ │ │ │ │ │ ├── portable.h │ │ │ │ │ │ │ ├── projdefs.h │ │ │ │ │ │ │ ├── queue.h │ │ │ │ │ │ │ ├── semphr.h │ │ │ │ │ │ │ ├── stack_macros.h │ │ │ │ │ │ │ ├── stdint.readme │ │ │ │ │ │ │ ├── stream_buffer.h │ │ │ │ │ │ │ ├── task.h │ │ │ │ │ │ │ └── timers.h │ │ │ │ │ │ ├── list.c │ │ │ │ │ │ ├── portable │ │ │ │ │ │ │ ├── GCC │ │ │ │ │ │ │ │ ├── ARM_CA53 │ │ │ │ │ │ │ │ │ ├── port.c │ │ │ │ │ │ │ │ │ ├── portASM.S │ │ │ │ │ │ │ │ │ ├── portZynqUltrascale.c │ │ │ │ │ │ │ │ │ ├── port_asm_vectors.S │ │ │ │ │ │ │ │ │ └── portmacro.h │ │ │ │ │ │ │ │ ├── ARM_CA9 │ │ │ │ │ │ │ │ │ ├── port.c │ │ │ │ │ │ │ │ │ ├── portASM.S │ │ │ │ │ │ │ │ │ ├── portZynq7000.c │ │ │ │ │ │ │ │ │ ├── port_asm_vectors.S │ │ │ │ │ │ │ │ │ └── portmacro.h │ │ │ │ │ │ │ │ ├── ARM_CR5 │ │ │ │ │ │ │ │ │ ├── port.c │ │ │ │ │ │ │ │ │ ├── portASM.S │ │ │ │ │ │ │ │ │ ├── portZynqUltrascale.c │ │ │ │ │ │ │ │ │ ├── port_asm_vectors.S │ │ │ │ │ │ │ │ │ └── portmacro.h │ │ │ │ │ │ │ │ └── MicroBlazeV9 │ │ │ │ │ │ │ │ │ ├── port.c │ │ │ │ │ │ │ │ │ ├── port_exceptions.c │ │ │ │ │ │ │ │ │ ├── portasm.S │ │ │ │ │ │ │ │ │ ├── portmacro.h │ │ │ │ │ │ │ │ │ └── portmicroblaze.c │ │ │ │ │ │ │ └── MemMang │ │ │ │ │ │ │ │ ├── ReadMe.url │ │ │ │ │ │ │ │ ├── heap_1.c │ │ │ │ │ │ │ │ ├── heap_2.c │ │ │ │ │ │ │ │ ├── heap_3.c │ │ │ │ │ │ │ │ ├── heap_4.c │ │ │ │ │ │ │ │ └── heap_5.c │ │ │ │ │ │ ├── queue.c │ │ │ │ │ │ ├── readme.txt │ │ │ │ │ │ ├── stream_buffer.c │ │ │ │ │ │ ├── tasks.c │ │ │ │ │ │ └── timers.c │ │ │ │ │ │ ├── StackMacros.h │ │ │ │ │ │ ├── atomic.h │ │ │ │ │ │ ├── croutine.h │ │ │ │ │ │ ├── deprecated_definitions.h │ │ │ │ │ │ ├── event_groups.c │ │ │ │ │ │ ├── event_groups.h │ │ │ │ │ │ ├── heap_4.c │ │ │ │ │ │ ├── inbyte.c │ │ │ │ │ │ ├── list.c │ │ │ │ │ │ ├── list.h │ │ │ │ │ │ ├── message_buffer.h │ │ │ │ │ │ ├── microblaze_exceptions_g.h │ │ │ │ │ │ ├── mpu_prototypes.h │ │ │ │ │ │ ├── mpu_wrappers.h │ │ │ │ │ │ ├── outbyte.c │ │ │ │ │ │ ├── port.c │ │ │ │ │ │ ├── port_exceptions.c │ │ │ │ │ │ ├── portable.h │ │ │ │ │ │ ├── portasm.S │ │ │ │ │ │ ├── portasm.o │ │ │ │ │ │ ├── portmacro.h │ │ │ │ │ │ ├── portmicroblaze.c │ │ │ │ │ │ ├── projdefs.h │ │ │ │ │ │ ├── queue.c │ │ │ │ │ │ ├── queue.h │ │ │ │ │ │ ├── semphr.h │ │ │ │ │ │ ├── stack_macros.h │ │ │ │ │ │ ├── stream_buffer.h │ │ │ │ │ │ ├── task.h │ │ │ │ │ │ ├── tasks.c │ │ │ │ │ │ ├── timers.c │ │ │ │ │ │ └── timers.h │ │ │ │ │ ├── gpio_v4_6 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xgpio.c │ │ │ │ │ │ ├── xgpio.h │ │ │ │ │ │ ├── xgpio_extra.c │ │ │ │ │ │ ├── xgpio_g.c │ │ │ │ │ │ ├── xgpio_i.h │ │ │ │ │ │ ├── xgpio_intr.c │ │ │ │ │ │ ├── xgpio_l.h │ │ │ │ │ │ ├── xgpio_selftest.c │ │ │ │ │ │ └── xgpio_sinit.c │ │ │ │ │ ├── intc_v3_11 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xintc.c │ │ │ │ │ │ ├── xintc.h │ │ │ │ │ │ ├── xintc_g.c │ │ │ │ │ │ ├── xintc_i.h │ │ │ │ │ │ ├── xintc_intr.c │ │ │ │ │ │ ├── xintc_l.c │ │ │ │ │ │ ├── xintc_l.h │ │ │ │ │ │ ├── xintc_options.c │ │ │ │ │ │ └── xintc_selftest.c │ │ │ │ │ ├── lwip211_v1_2 │ │ │ │ │ └── src │ │ │ │ │ │ ├── ChangeLog │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── Makefile.adapter │ │ │ │ │ │ ├── Makefile.config │ │ │ │ │ │ ├── Makefile.lwip │ │ │ │ │ │ ├── contrib │ │ │ │ │ │ └── ports │ │ │ │ │ │ │ └── xilinx │ │ │ │ │ │ │ ├── include │ │ │ │ │ │ │ ├── arch │ │ │ │ │ │ │ │ ├── cc.h │ │ │ │ │ │ │ │ ├── cpu.h │ │ │ │ │ │ │ │ ├── perf.h │ │ │ │ │ │ │ │ └── sys_arch.h │ │ │ │ │ │ │ ├── lwipopts.h │ │ │ │ │ │ │ ├── netif │ │ │ │ │ │ │ │ ├── xadapter.h │ │ │ │ │ │ │ │ ├── xaxiemacif.h │ │ │ │ │ │ │ │ ├── xemacliteif.h │ │ │ │ │ │ │ │ ├── xemacpsif.h │ │ │ │ │ │ │ │ ├── xlltemacif.h │ │ │ │ │ │ │ │ ├── xpqueue.h │ │ │ │ │ │ │ │ └── xtopology.h │ │ │ │ │ │ │ └── xlwipconfig.h │ │ │ │ │ │ │ ├── netif │ │ │ │ │ │ │ ├── xadapter.c │ │ │ │ │ │ │ ├── xaxiemacif.c │ │ │ │ │ │ │ ├── xaxiemacif_dma.c │ │ │ │ │ │ │ ├── xaxiemacif_fifo.c │ │ │ │ │ │ │ ├── xaxiemacif_fifo.h │ │ │ │ │ │ │ ├── xaxiemacif_hw.c │ │ │ │ │ │ │ ├── xaxiemacif_hw.h │ │ │ │ │ │ │ ├── xaxiemacif_mcdma.c │ │ │ │ │ │ │ ├── xaxiemacif_physpeed.c │ │ │ │ │ │ │ ├── xemac_ieee_reg.h │ │ │ │ │ │ │ ├── xemacliteif.c │ │ │ │ │ │ │ ├── xemacpsif.c │ │ │ │ │ │ │ ├── xemacpsif_dma.c │ │ │ │ │ │ │ ├── xemacpsif_hw.c │ │ │ │ │ │ │ ├── xemacpsif_hw.h │ │ │ │ │ │ │ ├── xemacpsif_physpeed.c │ │ │ │ │ │ │ ├── xpqueue.c │ │ │ │ │ │ │ └── xtopology_g.c │ │ │ │ │ │ │ ├── sys_arch.c │ │ │ │ │ │ │ └── sys_arch_raw.c │ │ │ │ │ │ └── lwip-2.1.1 │ │ │ │ │ │ ├── CHANGELOG │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ ├── COPYING │ │ │ │ │ │ ├── FEATURES │ │ │ │ │ │ ├── FILES │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── UPGRADING │ │ │ │ │ │ └── src │ │ │ │ │ │ ├── FILES │ │ │ │ │ │ ├── Filelists.cmake │ │ │ │ │ │ ├── Filelists.mk │ │ │ │ │ │ ├── api │ │ │ │ │ │ ├── api_lib.c │ │ │ │ │ │ ├── api_msg.c │ │ │ │ │ │ ├── err.c │ │ │ │ │ │ ├── if_api.c │ │ │ │ │ │ ├── netbuf.c │ │ │ │ │ │ ├── netdb.c │ │ │ │ │ │ ├── netifapi.c │ │ │ │ │ │ ├── sockets.c │ │ │ │ │ │ └── tcpip.c │ │ │ │ │ │ ├── apps │ │ │ │ │ │ ├── altcp_tls │ │ │ │ │ │ │ ├── altcp_tls_mbedtls.c │ │ │ │ │ │ │ ├── altcp_tls_mbedtls_mem.c │ │ │ │ │ │ │ ├── altcp_tls_mbedtls_mem.h │ │ │ │ │ │ │ └── altcp_tls_mbedtls_structs.h │ │ │ │ │ │ ├── http │ │ │ │ │ │ │ ├── altcp_proxyconnect.c │ │ │ │ │ │ │ ├── fs.c │ │ │ │ │ │ │ ├── fs │ │ │ │ │ │ │ │ ├── 404.html │ │ │ │ │ │ │ │ ├── img │ │ │ │ │ │ │ │ │ └── sics.gif │ │ │ │ │ │ │ │ └── index.html │ │ │ │ │ │ │ ├── fsdata.c │ │ │ │ │ │ │ ├── fsdata.h │ │ │ │ │ │ │ ├── http_client.c │ │ │ │ │ │ │ ├── httpd.c │ │ │ │ │ │ │ ├── httpd_structs.h │ │ │ │ │ │ │ └── makefsdata │ │ │ │ │ │ │ │ ├── makefsdata │ │ │ │ │ │ │ │ ├── makefsdata.c │ │ │ │ │ │ │ │ ├── readme.txt │ │ │ │ │ │ │ │ └── tinydir.h │ │ │ │ │ │ ├── lwiperf │ │ │ │ │ │ │ └── lwiperf.c │ │ │ │ │ │ ├── mdns │ │ │ │ │ │ │ └── mdns.c │ │ │ │ │ │ ├── mqtt │ │ │ │ │ │ │ └── mqtt.c │ │ │ │ │ │ ├── netbiosns │ │ │ │ │ │ │ └── netbiosns.c │ │ │ │ │ │ ├── smtp │ │ │ │ │ │ │ └── smtp.c │ │ │ │ │ │ ├── snmp │ │ │ │ │ │ │ ├── snmp_asn1.c │ │ │ │ │ │ │ ├── snmp_asn1.h │ │ │ │ │ │ │ ├── snmp_core.c │ │ │ │ │ │ │ ├── snmp_core_priv.h │ │ │ │ │ │ │ ├── snmp_mib2.c │ │ │ │ │ │ │ ├── snmp_mib2_icmp.c │ │ │ │ │ │ │ ├── snmp_mib2_interfaces.c │ │ │ │ │ │ │ ├── snmp_mib2_ip.c │ │ │ │ │ │ │ ├── snmp_mib2_snmp.c │ │ │ │ │ │ │ ├── snmp_mib2_system.c │ │ │ │ │ │ │ ├── snmp_mib2_tcp.c │ │ │ │ │ │ │ ├── snmp_mib2_udp.c │ │ │ │ │ │ │ ├── snmp_msg.c │ │ │ │ │ │ │ ├── snmp_msg.h │ │ │ │ │ │ │ ├── snmp_netconn.c │ │ │ │ │ │ │ ├── snmp_pbuf_stream.c │ │ │ │ │ │ │ ├── snmp_pbuf_stream.h │ │ │ │ │ │ │ ├── snmp_raw.c │ │ │ │ │ │ │ ├── snmp_scalar.c │ │ │ │ │ │ │ ├── snmp_snmpv2_framework.c │ │ │ │ │ │ │ ├── snmp_snmpv2_usm.c │ │ │ │ │ │ │ ├── snmp_table.c │ │ │ │ │ │ │ ├── snmp_threadsync.c │ │ │ │ │ │ │ ├── snmp_traps.c │ │ │ │ │ │ │ ├── snmpv3.c │ │ │ │ │ │ │ ├── snmpv3_mbedtls.c │ │ │ │ │ │ │ └── snmpv3_priv.h │ │ │ │ │ │ ├── sntp │ │ │ │ │ │ │ └── sntp.c │ │ │ │ │ │ └── tftp │ │ │ │ │ │ │ └── tftp_server.c │ │ │ │ │ │ ├── core │ │ │ │ │ │ ├── altcp.c │ │ │ │ │ │ ├── altcp_alloc.c │ │ │ │ │ │ ├── altcp_tcp.c │ │ │ │ │ │ ├── def.c │ │ │ │ │ │ ├── dns.c │ │ │ │ │ │ ├── inet_chksum.c │ │ │ │ │ │ ├── init.c │ │ │ │ │ │ ├── ip.c │ │ │ │ │ │ ├── ipv4 │ │ │ │ │ │ │ ├── autoip.c │ │ │ │ │ │ │ ├── dhcp.c │ │ │ │ │ │ │ ├── etharp.c │ │ │ │ │ │ │ ├── icmp.c │ │ │ │ │ │ │ ├── igmp.c │ │ │ │ │ │ │ ├── ip4.c │ │ │ │ │ │ │ ├── ip4_addr.c │ │ │ │ │ │ │ └── ip4_frag.c │ │ │ │ │ │ ├── ipv6 │ │ │ │ │ │ │ ├── dhcp6.c │ │ │ │ │ │ │ ├── ethip6.c │ │ │ │ │ │ │ ├── icmp6.c │ │ │ │ │ │ │ ├── inet6.c │ │ │ │ │ │ │ ├── ip6.c │ │ │ │ │ │ │ ├── ip6_addr.c │ │ │ │ │ │ │ ├── ip6_frag.c │ │ │ │ │ │ │ ├── mld6.c │ │ │ │ │ │ │ └── nd6.c │ │ │ │ │ │ ├── mem.c │ │ │ │ │ │ ├── memp.c │ │ │ │ │ │ ├── netif.c │ │ │ │ │ │ ├── pbuf.c │ │ │ │ │ │ ├── raw.c │ │ │ │ │ │ ├── stats.c │ │ │ │ │ │ ├── sys.c │ │ │ │ │ │ ├── tcp.c │ │ │ │ │ │ ├── tcp_in.c │ │ │ │ │ │ ├── tcp_out.c │ │ │ │ │ │ ├── timeouts.c │ │ │ │ │ │ └── udp.c │ │ │ │ │ │ ├── include │ │ │ │ │ │ ├── compat │ │ │ │ │ │ │ ├── posix │ │ │ │ │ │ │ │ ├── arpa │ │ │ │ │ │ │ │ │ └── inet.h │ │ │ │ │ │ │ │ ├── net │ │ │ │ │ │ │ │ │ └── if.h │ │ │ │ │ │ │ │ ├── netdb.h │ │ │ │ │ │ │ │ └── sys │ │ │ │ │ │ │ │ │ └── socket.h │ │ │ │ │ │ │ └── stdc │ │ │ │ │ │ │ │ └── errno.h │ │ │ │ │ │ ├── lwip │ │ │ │ │ │ │ ├── altcp.h │ │ │ │ │ │ │ ├── altcp_tcp.h │ │ │ │ │ │ │ ├── altcp_tls.h │ │ │ │ │ │ │ ├── api.h │ │ │ │ │ │ │ ├── apps │ │ │ │ │ │ │ │ ├── FILES │ │ │ │ │ │ │ │ ├── altcp_proxyconnect.h │ │ │ │ │ │ │ │ ├── altcp_tls_mbedtls_opts.h │ │ │ │ │ │ │ │ ├── fs.h │ │ │ │ │ │ │ │ ├── http_client.h │ │ │ │ │ │ │ │ ├── httpd.h │ │ │ │ │ │ │ │ ├── httpd_opts.h │ │ │ │ │ │ │ │ ├── lwiperf.h │ │ │ │ │ │ │ │ ├── mdns.h │ │ │ │ │ │ │ │ ├── mdns_opts.h │ │ │ │ │ │ │ │ ├── mdns_priv.h │ │ │ │ │ │ │ │ ├── mqtt.h │ │ │ │ │ │ │ │ ├── mqtt_opts.h │ │ │ │ │ │ │ │ ├── mqtt_priv.h │ │ │ │ │ │ │ │ ├── netbiosns.h │ │ │ │ │ │ │ │ ├── netbiosns_opts.h │ │ │ │ │ │ │ │ ├── smtp.h │ │ │ │ │ │ │ │ ├── smtp_opts.h │ │ │ │ │ │ │ │ ├── snmp.h │ │ │ │ │ │ │ │ ├── snmp_core.h │ │ │ │ │ │ │ │ ├── snmp_mib2.h │ │ │ │ │ │ │ │ ├── snmp_opts.h │ │ │ │ │ │ │ │ ├── snmp_scalar.h │ │ │ │ │ │ │ │ ├── snmp_snmpv2_framework.h │ │ │ │ │ │ │ │ ├── snmp_snmpv2_usm.h │ │ │ │ │ │ │ │ ├── snmp_table.h │ │ │ │ │ │ │ │ ├── snmp_threadsync.h │ │ │ │ │ │ │ │ ├── snmpv3.h │ │ │ │ │ │ │ │ ├── sntp.h │ │ │ │ │ │ │ │ ├── sntp_opts.h │ │ │ │ │ │ │ │ ├── tftp_opts.h │ │ │ │ │ │ │ │ └── tftp_server.h │ │ │ │ │ │ │ ├── arch.h │ │ │ │ │ │ │ ├── autoip.h │ │ │ │ │ │ │ ├── debug.h │ │ │ │ │ │ │ ├── def.h │ │ │ │ │ │ │ ├── dhcp.h │ │ │ │ │ │ │ ├── dhcp6.h │ │ │ │ │ │ │ ├── dns.h │ │ │ │ │ │ │ ├── err.h │ │ │ │ │ │ │ ├── errno.h │ │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ │ ├── ethip6.h │ │ │ │ │ │ │ ├── icmp.h │ │ │ │ │ │ │ ├── icmp6.h │ │ │ │ │ │ │ ├── if_api.h │ │ │ │ │ │ │ ├── igmp.h │ │ │ │ │ │ │ ├── inet.h │ │ │ │ │ │ │ ├── inet_chksum.h │ │ │ │ │ │ │ ├── init.h │ │ │ │ │ │ │ ├── init.h.cmake.in │ │ │ │ │ │ │ ├── ip.h │ │ │ │ │ │ │ ├── ip4.h │ │ │ │ │ │ │ ├── ip4_addr.h │ │ │ │ │ │ │ ├── ip4_frag.h │ │ │ │ │ │ │ ├── ip6.h │ │ │ │ │ │ │ ├── ip6_addr.h │ │ │ │ │ │ │ ├── ip6_frag.h │ │ │ │ │ │ │ ├── ip6_zone.h │ │ │ │ │ │ │ ├── ip_addr.h │ │ │ │ │ │ │ ├── mem.h │ │ │ │ │ │ │ ├── memp.h │ │ │ │ │ │ │ ├── mld6.h │ │ │ │ │ │ │ ├── nd6.h │ │ │ │ │ │ │ ├── netbuf.h │ │ │ │ │ │ │ ├── netdb.h │ │ │ │ │ │ │ ├── netif.h │ │ │ │ │ │ │ ├── netifapi.h │ │ │ │ │ │ │ ├── opt.h │ │ │ │ │ │ │ ├── pbuf.h │ │ │ │ │ │ │ ├── priv │ │ │ │ │ │ │ │ ├── altcp_priv.h │ │ │ │ │ │ │ │ ├── api_msg.h │ │ │ │ │ │ │ │ ├── mem_priv.h │ │ │ │ │ │ │ │ ├── memp_priv.h │ │ │ │ │ │ │ │ ├── memp_std.h │ │ │ │ │ │ │ │ ├── nd6_priv.h │ │ │ │ │ │ │ │ ├── raw_priv.h │ │ │ │ │ │ │ │ ├── sockets_priv.h │ │ │ │ │ │ │ │ ├── tcp_priv.h │ │ │ │ │ │ │ │ └── tcpip_priv.h │ │ │ │ │ │ │ ├── prot │ │ │ │ │ │ │ │ ├── autoip.h │ │ │ │ │ │ │ │ ├── dhcp.h │ │ │ │ │ │ │ │ ├── dhcp6.h │ │ │ │ │ │ │ │ ├── dns.h │ │ │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ │ │ ├── ethernet.h │ │ │ │ │ │ │ │ ├── iana.h │ │ │ │ │ │ │ │ ├── icmp.h │ │ │ │ │ │ │ │ ├── icmp6.h │ │ │ │ │ │ │ │ ├── ieee.h │ │ │ │ │ │ │ │ ├── igmp.h │ │ │ │ │ │ │ │ ├── ip.h │ │ │ │ │ │ │ │ ├── ip4.h │ │ │ │ │ │ │ │ ├── ip6.h │ │ │ │ │ │ │ │ ├── mld6.h │ │ │ │ │ │ │ │ ├── nd6.h │ │ │ │ │ │ │ │ ├── tcp.h │ │ │ │ │ │ │ │ └── udp.h │ │ │ │ │ │ │ ├── raw.h │ │ │ │ │ │ │ ├── sio.h │ │ │ │ │ │ │ ├── snmp.h │ │ │ │ │ │ │ ├── sockets.h │ │ │ │ │ │ │ ├── stats.h │ │ │ │ │ │ │ ├── sys.h │ │ │ │ │ │ │ ├── tcp.h │ │ │ │ │ │ │ ├── tcpbase.h │ │ │ │ │ │ │ ├── tcpip.h │ │ │ │ │ │ │ ├── timeouts.h │ │ │ │ │ │ │ └── udp.h │ │ │ │ │ │ └── netif │ │ │ │ │ │ │ ├── bridgeif.h │ │ │ │ │ │ │ ├── bridgeif_opts.h │ │ │ │ │ │ │ ├── etharp.h │ │ │ │ │ │ │ ├── ethernet.h │ │ │ │ │ │ │ ├── ieee802154.h │ │ │ │ │ │ │ ├── lowpan6.h │ │ │ │ │ │ │ ├── lowpan6_ble.h │ │ │ │ │ │ │ ├── lowpan6_common.h │ │ │ │ │ │ │ ├── lowpan6_opts.h │ │ │ │ │ │ │ ├── ppp │ │ │ │ │ │ │ ├── ccp.h │ │ │ │ │ │ │ ├── chap-md5.h │ │ │ │ │ │ │ ├── chap-new.h │ │ │ │ │ │ │ ├── chap_ms.h │ │ │ │ │ │ │ ├── eap.h │ │ │ │ │ │ │ ├── ecp.h │ │ │ │ │ │ │ ├── eui64.h │ │ │ │ │ │ │ ├── fsm.h │ │ │ │ │ │ │ ├── ipcp.h │ │ │ │ │ │ │ ├── ipv6cp.h │ │ │ │ │ │ │ ├── lcp.h │ │ │ │ │ │ │ ├── magic.h │ │ │ │ │ │ │ ├── mppe.h │ │ │ │ │ │ │ ├── polarssl │ │ │ │ │ │ │ │ ├── arc4.h │ │ │ │ │ │ │ │ ├── des.h │ │ │ │ │ │ │ │ ├── md4.h │ │ │ │ │ │ │ │ ├── md5.h │ │ │ │ │ │ │ │ └── sha1.h │ │ │ │ │ │ │ ├── ppp.h │ │ │ │ │ │ │ ├── ppp_impl.h │ │ │ │ │ │ │ ├── ppp_opts.h │ │ │ │ │ │ │ ├── pppapi.h │ │ │ │ │ │ │ ├── pppcrypt.h │ │ │ │ │ │ │ ├── pppdebug.h │ │ │ │ │ │ │ ├── pppoe.h │ │ │ │ │ │ │ ├── pppol2tp.h │ │ │ │ │ │ │ ├── pppos.h │ │ │ │ │ │ │ ├── upap.h │ │ │ │ │ │ │ └── vj.h │ │ │ │ │ │ │ ├── slipif.h │ │ │ │ │ │ │ └── zepif.h │ │ │ │ │ │ └── netif │ │ │ │ │ │ ├── FILES │ │ │ │ │ │ ├── bridgeif.c │ │ │ │ │ │ ├── bridgeif_fdb.c │ │ │ │ │ │ ├── ethernet.c │ │ │ │ │ │ ├── lowpan6.c │ │ │ │ │ │ ├── lowpan6_ble.c │ │ │ │ │ │ ├── lowpan6_common.c │ │ │ │ │ │ ├── ppp │ │ │ │ │ │ ├── PPPD_FOLLOWUP │ │ │ │ │ │ ├── auth.c │ │ │ │ │ │ ├── ccp.c │ │ │ │ │ │ ├── chap-md5.c │ │ │ │ │ │ ├── chap-new.c │ │ │ │ │ │ ├── chap_ms.c │ │ │ │ │ │ ├── demand.c │ │ │ │ │ │ ├── eap.c │ │ │ │ │ │ ├── ecp.c │ │ │ │ │ │ ├── eui64.c │ │ │ │ │ │ ├── fsm.c │ │ │ │ │ │ ├── ipcp.c │ │ │ │ │ │ ├── ipv6cp.c │ │ │ │ │ │ ├── lcp.c │ │ │ │ │ │ ├── magic.c │ │ │ │ │ │ ├── mppe.c │ │ │ │ │ │ ├── multilink.c │ │ │ │ │ │ ├── polarssl │ │ │ │ │ │ │ ├── README │ │ │ │ │ │ │ ├── arc4.c │ │ │ │ │ │ │ ├── des.c │ │ │ │ │ │ │ ├── md4.c │ │ │ │ │ │ │ ├── md5.c │ │ │ │ │ │ │ └── sha1.c │ │ │ │ │ │ ├── ppp.c │ │ │ │ │ │ ├── pppapi.c │ │ │ │ │ │ ├── pppcrypt.c │ │ │ │ │ │ ├── pppoe.c │ │ │ │ │ │ ├── pppol2tp.c │ │ │ │ │ │ ├── pppos.c │ │ │ │ │ │ ├── upap.c │ │ │ │ │ │ ├── utils.c │ │ │ │ │ │ └── vj.c │ │ │ │ │ │ ├── slipif.c │ │ │ │ │ │ └── zepif.c │ │ │ │ │ ├── mig_7series_v2_2 │ │ │ │ │ └── src │ │ │ │ │ │ └── xmig_7series.h │ │ │ │ │ ├── spi_v4_6 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xspi.c │ │ │ │ │ │ ├── xspi.h │ │ │ │ │ │ ├── xspi_g.c │ │ │ │ │ │ ├── xspi_i.h │ │ │ │ │ │ ├── xspi_l.h │ │ │ │ │ │ ├── xspi_options.c │ │ │ │ │ │ ├── xspi_selftest.c │ │ │ │ │ │ ├── xspi_sinit.c │ │ │ │ │ │ └── xspi_stats.c │ │ │ │ │ ├── standalone_v7_2 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile_depends │ │ │ │ │ │ ├── _exit.c │ │ │ │ │ │ ├── arm │ │ │ │ │ │ ├── ARMv8 │ │ │ │ │ │ │ ├── 32bit │ │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ │ ├── asm_vectors.S │ │ │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ │ │ ├── cpu_init.S │ │ │ │ │ │ │ │ │ └── xil-crt0.S │ │ │ │ │ │ │ │ ├── platform │ │ │ │ │ │ │ │ │ ├── ZynqMP │ │ │ │ │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ │ │ │ │ ├── translation_table.S │ │ │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ │ │ └── versal │ │ │ │ │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ │ ├── sleep.c │ │ │ │ │ │ │ │ ├── usleep.c │ │ │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ │ │ ├── xil_cache_l.h │ │ │ │ │ │ │ │ ├── xil_mmu.c │ │ │ │ │ │ │ │ ├── xil_mmu.h │ │ │ │ │ │ │ │ ├── xparameters_ps.h │ │ │ │ │ │ │ │ ├── xpseudo_asm.h │ │ │ │ │ │ │ │ ├── xreg_cortexa53.h │ │ │ │ │ │ │ │ ├── xtime_l.c │ │ │ │ │ │ │ │ └── xtime_l.h │ │ │ │ │ │ │ ├── 64bit │ │ │ │ │ │ │ │ ├── armclang │ │ │ │ │ │ │ │ │ ├── ARM_argv_veneer.c │ │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ │ ├── _sys_close.c │ │ │ │ │ │ │ │ │ ├── _sys_exit.c │ │ │ │ │ │ │ │ │ ├── _sys_iserror.c │ │ │ │ │ │ │ │ │ ├── _sys_istty.c │ │ │ │ │ │ │ │ │ ├── _sys_open.c │ │ │ │ │ │ │ │ │ ├── _sys_read.c │ │ │ │ │ │ │ │ │ ├── _sys_write.c │ │ │ │ │ │ │ │ │ ├── asm_vectors.S │ │ │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ │ │ └── xpseudo_asm_armclang.h │ │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ │ ├── asm_vectors.S │ │ │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ │ │ ├── initialise_monitor_handles.c │ │ │ │ │ │ │ │ │ └── xil-crt0.S │ │ │ │ │ │ │ │ ├── platform │ │ │ │ │ │ │ │ │ ├── ZynqMP │ │ │ │ │ │ │ │ │ │ ├── armclang │ │ │ │ │ │ │ │ │ │ │ └── translation_table.S │ │ │ │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ │ │ │ └── translation_table.S │ │ │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ │ │ └── versal │ │ │ │ │ │ │ │ │ │ ├── armclang │ │ │ │ │ │ │ │ │ │ └── translation_table.S │ │ │ │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ │ │ └── translation_table.S │ │ │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ │ ├── sleep.c │ │ │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ │ │ ├── xil_errata.h │ │ │ │ │ │ │ │ ├── xil_mmu.c │ │ │ │ │ │ │ │ ├── xil_mmu.h │ │ │ │ │ │ │ │ ├── xil_smc.c │ │ │ │ │ │ │ │ ├── xil_smc.h │ │ │ │ │ │ │ │ ├── xpseudo_asm.h │ │ │ │ │ │ │ │ ├── xpvxenconsole │ │ │ │ │ │ │ │ │ ├── arm64_ops.h │ │ │ │ │ │ │ │ │ ├── hypercall.S │ │ │ │ │ │ │ │ │ ├── hypercall.h │ │ │ │ │ │ │ │ │ ├── xen.h │ │ │ │ │ │ │ │ │ ├── xen_console.c │ │ │ │ │ │ │ │ │ ├── xen_console.h │ │ │ │ │ │ │ │ │ ├── xen_events.c │ │ │ │ │ │ │ │ │ └── xen_events.h │ │ │ │ │ │ │ │ ├── xreg_cortexa53.h │ │ │ │ │ │ │ │ ├── xtime_l.c │ │ │ │ │ │ │ │ └── xtime_l.h │ │ │ │ │ │ │ └── includes_ps │ │ │ │ │ │ │ │ └── platform │ │ │ │ │ │ │ │ ├── Versal │ │ │ │ │ │ │ │ ├── xfpd_slave_xmpu.h │ │ │ │ │ │ │ │ ├── xfpd_slcr.h │ │ │ │ │ │ │ │ ├── xfpd_slcr_secure.h │ │ │ │ │ │ │ │ ├── xlpd_iou_secure_slcr.h │ │ │ │ │ │ │ │ ├── xlpd_iou_slcr.h │ │ │ │ │ │ │ │ ├── xlpd_slcr.h │ │ │ │ │ │ │ │ ├── xlpd_slcr_secure.h │ │ │ │ │ │ │ │ ├── xlpd_xppu.h │ │ │ │ │ │ │ │ ├── xocm_xmpu.h │ │ │ │ │ │ │ │ ├── xpmc_iou_secure_slcr.h │ │ │ │ │ │ │ │ ├── xpmc_iou_slcr.h │ │ │ │ │ │ │ │ ├── xpmc_xmpu.h │ │ │ │ │ │ │ │ └── xpmc_xppu.h │ │ │ │ │ │ │ │ └── ZynqMP │ │ │ │ │ │ │ │ ├── xddr_xmpu0_cfg.h │ │ │ │ │ │ │ │ ├── xddr_xmpu1_cfg.h │ │ │ │ │ │ │ │ ├── xddr_xmpu2_cfg.h │ │ │ │ │ │ │ │ ├── xddr_xmpu3_cfg.h │ │ │ │ │ │ │ │ ├── xddr_xmpu4_cfg.h │ │ │ │ │ │ │ │ ├── xddr_xmpu5_cfg.h │ │ │ │ │ │ │ │ ├── xfpd_slcr.h │ │ │ │ │ │ │ │ ├── xfpd_slcr_secure.h │ │ │ │ │ │ │ │ ├── xfpd_xmpu_cfg.h │ │ │ │ │ │ │ │ ├── xfpd_xmpu_sink.h │ │ │ │ │ │ │ │ ├── xiou_secure_slcr.h │ │ │ │ │ │ │ │ ├── xiou_slcr.h │ │ │ │ │ │ │ │ ├── xlpd_slcr.h │ │ │ │ │ │ │ │ ├── xlpd_slcr_secure.h │ │ │ │ │ │ │ │ ├── xlpd_xppu.h │ │ │ │ │ │ │ │ ├── xlpd_xppu_sink.h │ │ │ │ │ │ │ │ └── xocm_xmpu_cfg.h │ │ │ │ │ │ ├── common │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ ├── _exit.c │ │ │ │ │ │ │ │ ├── _open.c │ │ │ │ │ │ │ │ ├── _sbrk.c │ │ │ │ │ │ │ │ ├── abort.c │ │ │ │ │ │ │ │ ├── close.c │ │ │ │ │ │ │ │ ├── cpputest_time.c │ │ │ │ │ │ │ │ ├── errno.c │ │ │ │ │ │ │ │ ├── fcntl.c │ │ │ │ │ │ │ │ ├── fstat.c │ │ │ │ │ │ │ │ ├── getpid.c │ │ │ │ │ │ │ │ ├── isatty.c │ │ │ │ │ │ │ │ ├── kill.c │ │ │ │ │ │ │ │ ├── lseek.c │ │ │ │ │ │ │ │ ├── open.c │ │ │ │ │ │ │ │ ├── read.c │ │ │ │ │ │ │ │ ├── sbrk.c │ │ │ │ │ │ │ │ ├── unlink.c │ │ │ │ │ │ │ │ ├── write.c │ │ │ │ │ │ │ │ └── xpseudo_asm_gcc.h │ │ │ │ │ │ │ ├── iccarm │ │ │ │ │ │ │ │ ├── abort.c │ │ │ │ │ │ │ │ ├── clock.c │ │ │ │ │ │ │ │ ├── close.c │ │ │ │ │ │ │ │ ├── exit.c │ │ │ │ │ │ │ │ ├── low_level_init.c │ │ │ │ │ │ │ │ ├── lseek.c │ │ │ │ │ │ │ │ ├── open.c │ │ │ │ │ │ │ │ ├── read.c │ │ │ │ │ │ │ │ ├── remove.c │ │ │ │ │ │ │ │ ├── write.c │ │ │ │ │ │ │ │ ├── xpseudo_asm_iccarm.c │ │ │ │ │ │ │ │ └── xpseudo_asm_iccarm.h │ │ │ │ │ │ │ ├── putnum.c │ │ │ │ │ │ │ ├── vectors.c │ │ │ │ │ │ │ ├── vectors.h │ │ │ │ │ │ │ ├── xil_exception.c │ │ │ │ │ │ │ ├── xil_exception.h │ │ │ │ │ │ │ ├── xil_sleeptimer.c │ │ │ │ │ │ │ └── xil_sleeptimer.h │ │ │ │ │ │ ├── cortexa9 │ │ │ │ │ │ │ ├── armcc │ │ │ │ │ │ │ │ ├── ARM_argv_veneer.c │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ ├── _sys_close.c │ │ │ │ │ │ │ │ ├── _sys_exit.c │ │ │ │ │ │ │ │ ├── _sys_iserror.c │ │ │ │ │ │ │ │ ├── _sys_istty.c │ │ │ │ │ │ │ │ ├── _sys_open.c │ │ │ │ │ │ │ │ ├── _sys_read.c │ │ │ │ │ │ │ │ ├── _sys_write.c │ │ │ │ │ │ │ │ ├── asm_vectors.s │ │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ │ ├── translation_table.s │ │ │ │ │ │ │ │ ├── xpseudo_asm_rvct.c │ │ │ │ │ │ │ │ └── xpseudo_asm_rvct.h │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ ├── asm_vectors.S │ │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ │ ├── cpu_init.S │ │ │ │ │ │ │ │ ├── translation_table.S │ │ │ │ │ │ │ │ └── xil-crt0.S │ │ │ │ │ │ │ ├── iccarm │ │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ │ ├── asm_vectors.s │ │ │ │ │ │ │ │ ├── boot.s │ │ │ │ │ │ │ │ └── translation_table.s │ │ │ │ │ │ │ ├── sleep.c │ │ │ │ │ │ │ ├── smc.h │ │ │ │ │ │ │ ├── usleep.c │ │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ │ ├── xil_cache_l.h │ │ │ │ │ │ │ ├── xil_errata.h │ │ │ │ │ │ │ ├── xil_misc_psreset_api.c │ │ │ │ │ │ │ ├── xil_misc_psreset_api.h │ │ │ │ │ │ │ ├── xil_mmu.c │ │ │ │ │ │ │ ├── xil_mmu.h │ │ │ │ │ │ │ ├── xl2cc.h │ │ │ │ │ │ │ ├── xl2cc_counter.c │ │ │ │ │ │ │ ├── xl2cc_counter.h │ │ │ │ │ │ │ ├── xparameters_ps.h │ │ │ │ │ │ │ ├── xpm_counter.c │ │ │ │ │ │ │ ├── xpm_counter.h │ │ │ │ │ │ │ ├── xpseudo_asm.h │ │ │ │ │ │ │ ├── xreg_cortexa9.h │ │ │ │ │ │ │ ├── xtime_l.c │ │ │ │ │ │ │ └── xtime_l.h │ │ │ │ │ │ └── cortexr5 │ │ │ │ │ │ │ ├── gcc │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ ├── asm_vectors.S │ │ │ │ │ │ │ ├── boot.S │ │ │ │ │ │ │ ├── cpu_init.S │ │ │ │ │ │ │ └── xil-crt0.S │ │ │ │ │ │ │ ├── iccarm │ │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ │ ├── asm_vectors.s │ │ │ │ │ │ │ └── boot.s │ │ │ │ │ │ │ ├── platform │ │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ │ ├── ZynqMP │ │ │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ │ │ ├── mpu.c │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ └── versal │ │ │ │ │ │ │ │ ├── CMakeLists.txt │ │ │ │ │ │ │ │ ├── mpu.c │ │ │ │ │ │ │ │ └── xparameters_ps.h │ │ │ │ │ │ │ ├── sleep.c │ │ │ │ │ │ │ ├── usleep.c │ │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ │ ├── xil_mmu.h │ │ │ │ │ │ │ ├── xil_mpu.c │ │ │ │ │ │ │ ├── xil_mpu.h │ │ │ │ │ │ │ ├── xpm_counter.c │ │ │ │ │ │ │ ├── xpm_counter.h │ │ │ │ │ │ │ ├── xpseudo_asm.h │ │ │ │ │ │ │ ├── xreg_cortexr5.h │ │ │ │ │ │ │ ├── xtime_l.c │ │ │ │ │ │ │ └── xtime_l.h │ │ │ │ │ │ ├── bspconfig.h │ │ │ │ │ │ ├── changelog.txt │ │ │ │ │ │ ├── clocking │ │ │ │ │ │ ├── xil_clocking.c │ │ │ │ │ │ └── xil_clocking.h │ │ │ │ │ │ ├── common │ │ │ │ │ │ ├── clocking │ │ │ │ │ │ │ ├── xil_clocking.c │ │ │ │ │ │ │ └── xil_clocking.h │ │ │ │ │ │ ├── print.c │ │ │ │ │ │ ├── sleep.h │ │ │ │ │ │ ├── xbasic_types.h │ │ │ │ │ │ ├── xdebug.h │ │ │ │ │ │ ├── xenv.h │ │ │ │ │ │ ├── xenv_standalone.h │ │ │ │ │ │ ├── xil_assert.c │ │ │ │ │ │ ├── xil_assert.h │ │ │ │ │ │ ├── xil_cache_vxworks.h │ │ │ │ │ │ ├── xil_hal.h │ │ │ │ │ │ ├── xil_io.c │ │ │ │ │ │ ├── xil_io.h │ │ │ │ │ │ ├── xil_macroback.h │ │ │ │ │ │ ├── xil_mem.c │ │ │ │ │ │ ├── xil_mem.h │ │ │ │ │ │ ├── xil_printf.c │ │ │ │ │ │ ├── xil_printf.h │ │ │ │ │ │ ├── xil_sleepcommon.c │ │ │ │ │ │ ├── xil_testcache.c │ │ │ │ │ │ ├── xil_testcache.h │ │ │ │ │ │ ├── xil_testio.c │ │ │ │ │ │ ├── xil_testio.h │ │ │ │ │ │ ├── xil_testmem.c │ │ │ │ │ │ ├── xil_testmem.h │ │ │ │ │ │ ├── xil_types.h │ │ │ │ │ │ ├── xil_util.c │ │ │ │ │ │ ├── xil_util.h │ │ │ │ │ │ ├── xplatform_info.c │ │ │ │ │ │ ├── xplatform_info.h │ │ │ │ │ │ └── xstatus.h │ │ │ │ │ │ ├── config.make │ │ │ │ │ │ ├── errno.c │ │ │ │ │ │ ├── fcntl.c │ │ │ │ │ │ ├── fsl.h │ │ │ │ │ │ ├── hw_exception_handler.S │ │ │ │ │ │ ├── inbyte.c │ │ │ │ │ │ ├── mb_interface.h │ │ │ │ │ │ ├── microblaze │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── _exit.c │ │ │ │ │ │ ├── errno.c │ │ │ │ │ │ ├── fcntl.c │ │ │ │ │ │ ├── fsl.h │ │ │ │ │ │ ├── hw_exception_handler.S │ │ │ │ │ │ ├── mb_interface.h │ │ │ │ │ │ ├── microblaze_disable_dcache.S │ │ │ │ │ │ ├── microblaze_disable_exceptions.S │ │ │ │ │ │ ├── microblaze_disable_icache.S │ │ │ │ │ │ ├── microblaze_disable_interrupts.S │ │ │ │ │ │ ├── microblaze_enable_dcache.S │ │ │ │ │ │ ├── microblaze_enable_exceptions.S │ │ │ │ │ │ ├── microblaze_enable_icache.S │ │ │ │ │ │ ├── microblaze_enable_interrupts.S │ │ │ │ │ │ ├── microblaze_exception_handler.c │ │ │ │ │ │ ├── microblaze_exceptions_g.h │ │ │ │ │ │ ├── microblaze_exceptions_i.h │ │ │ │ │ │ ├── microblaze_flush_cache_ext.S │ │ │ │ │ │ ├── microblaze_flush_cache_ext_range.S │ │ │ │ │ │ ├── microblaze_flush_dcache.S │ │ │ │ │ │ ├── microblaze_flush_dcache_range.S │ │ │ │ │ │ ├── microblaze_init_dcache_range.S │ │ │ │ │ │ ├── microblaze_init_icache_range.S │ │ │ │ │ │ ├── microblaze_instructions.h │ │ │ │ │ │ ├── microblaze_interrupt_handler.c │ │ │ │ │ │ ├── microblaze_interrupts_g.c │ │ │ │ │ │ ├── microblaze_interrupts_i.h │ │ │ │ │ │ ├── microblaze_invalidate_cache_ext.S │ │ │ │ │ │ ├── microblaze_invalidate_cache_ext_range.S │ │ │ │ │ │ ├── microblaze_invalidate_dcache.S │ │ │ │ │ │ ├── microblaze_invalidate_dcache_range.S │ │ │ │ │ │ ├── microblaze_invalidate_icache.S │ │ │ │ │ │ ├── microblaze_invalidate_icache_range.S │ │ │ │ │ │ ├── microblaze_scrub.S │ │ │ │ │ │ ├── microblaze_sleep.c │ │ │ │ │ │ ├── microblaze_sleep.h │ │ │ │ │ │ ├── microblaze_update_dcache.S │ │ │ │ │ │ ├── microblaze_update_icache.S │ │ │ │ │ │ ├── pvr.c │ │ │ │ │ │ ├── pvr.h │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ ├── xil_exception.c │ │ │ │ │ │ ├── xil_exception.h │ │ │ │ │ │ ├── xil_misc_psreset_api.c │ │ │ │ │ │ └── xil_misc_psreset_api.h │ │ │ │ │ │ ├── microblaze_disable_dcache.S │ │ │ │ │ │ ├── microblaze_disable_exceptions.S │ │ │ │ │ │ ├── microblaze_disable_icache.S │ │ │ │ │ │ ├── microblaze_disable_interrupts.S │ │ │ │ │ │ ├── microblaze_enable_dcache.S │ │ │ │ │ │ ├── microblaze_enable_exceptions.S │ │ │ │ │ │ ├── microblaze_enable_icache.S │ │ │ │ │ │ ├── microblaze_enable_interrupts.S │ │ │ │ │ │ ├── microblaze_exception_handler.c │ │ │ │ │ │ ├── microblaze_exceptions_g.h │ │ │ │ │ │ ├── microblaze_exceptions_i.h │ │ │ │ │ │ ├── microblaze_flush_cache_ext.S │ │ │ │ │ │ ├── microblaze_flush_cache_ext_range.S │ │ │ │ │ │ ├── microblaze_flush_dcache.S │ │ │ │ │ │ ├── microblaze_flush_dcache_range.S │ │ │ │ │ │ ├── microblaze_init_dcache_range.S │ │ │ │ │ │ ├── microblaze_init_icache_range.S │ │ │ │ │ │ ├── microblaze_instructions.h │ │ │ │ │ │ ├── microblaze_interrupts_g.c │ │ │ │ │ │ ├── microblaze_interrupts_i.h │ │ │ │ │ │ ├── microblaze_invalidate_cache_ext.S │ │ │ │ │ │ ├── microblaze_invalidate_cache_ext_range.S │ │ │ │ │ │ ├── microblaze_invalidate_dcache.S │ │ │ │ │ │ ├── microblaze_invalidate_dcache_range.S │ │ │ │ │ │ ├── microblaze_invalidate_icache.S │ │ │ │ │ │ ├── microblaze_invalidate_icache_range.S │ │ │ │ │ │ ├── microblaze_scrub.S │ │ │ │ │ │ ├── microblaze_sleep.c │ │ │ │ │ │ ├── microblaze_sleep.h │ │ │ │ │ │ ├── microblaze_update_dcache.S │ │ │ │ │ │ ├── microblaze_update_icache.S │ │ │ │ │ │ ├── outbyte.c │ │ │ │ │ │ ├── print.c │ │ │ │ │ │ ├── profile │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── _profile_clean.c │ │ │ │ │ │ ├── _profile_init.c │ │ │ │ │ │ ├── _profile_timer_hw.c │ │ │ │ │ │ ├── _profile_timer_hw.h │ │ │ │ │ │ ├── dummy.S │ │ │ │ │ │ ├── mblaze_nt_types.h │ │ │ │ │ │ ├── profile.h │ │ │ │ │ │ ├── profile_cg.c │ │ │ │ │ │ ├── profile_config.h │ │ │ │ │ │ ├── profile_hist.c │ │ │ │ │ │ ├── profile_mcount_arm.S │ │ │ │ │ │ ├── profile_mcount_mb.S │ │ │ │ │ │ └── profile_mcount_ppc.S │ │ │ │ │ │ ├── pvr.c │ │ │ │ │ │ ├── pvr.h │ │ │ │ │ │ ├── sleep.h │ │ │ │ │ │ ├── xbasic_types.h │ │ │ │ │ │ ├── xdebug.h │ │ │ │ │ │ ├── xenv.h │ │ │ │ │ │ ├── xenv_standalone.h │ │ │ │ │ │ ├── xil_assert.c │ │ │ │ │ │ ├── xil_assert.h │ │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ │ ├── xil_cache_vxworks.h │ │ │ │ │ │ ├── xil_clocking.c │ │ │ │ │ │ ├── xil_clocking.h │ │ │ │ │ │ ├── xil_exception.c │ │ │ │ │ │ ├── xil_exception.h │ │ │ │ │ │ ├── xil_hal.h │ │ │ │ │ │ ├── xil_io.c │ │ │ │ │ │ ├── xil_io.h │ │ │ │ │ │ ├── xil_macroback.h │ │ │ │ │ │ ├── xil_mem.c │ │ │ │ │ │ ├── xil_mem.h │ │ │ │ │ │ ├── xil_misc_psreset_api.c │ │ │ │ │ │ ├── xil_misc_psreset_api.h │ │ │ │ │ │ ├── xil_printf.c │ │ │ │ │ │ ├── xil_printf.h │ │ │ │ │ │ ├── xil_sleepcommon.c │ │ │ │ │ │ ├── xil_testcache.c │ │ │ │ │ │ ├── xil_testcache.h │ │ │ │ │ │ ├── xil_testio.c │ │ │ │ │ │ ├── xil_testio.h │ │ │ │ │ │ ├── xil_testmem.c │ │ │ │ │ │ ├── xil_testmem.h │ │ │ │ │ │ ├── xil_types.h │ │ │ │ │ │ ├── xil_util.c │ │ │ │ │ │ ├── xil_util.h │ │ │ │ │ │ ├── xplatform_info.c │ │ │ │ │ │ ├── xplatform_info.h │ │ │ │ │ │ └── xstatus.h │ │ │ │ │ ├── tmrctr_v4_6 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xtmrctr.c │ │ │ │ │ │ ├── xtmrctr.h │ │ │ │ │ │ ├── xtmrctr_g.c │ │ │ │ │ │ ├── xtmrctr_i.h │ │ │ │ │ │ ├── xtmrctr_intr.c │ │ │ │ │ │ ├── xtmrctr_l.c │ │ │ │ │ │ ├── xtmrctr_l.h │ │ │ │ │ │ ├── xtmrctr_options.c │ │ │ │ │ │ ├── xtmrctr_selftest.c │ │ │ │ │ │ ├── xtmrctr_sinit.c │ │ │ │ │ │ └── xtmrctr_stats.c │ │ │ │ │ └── uartlite_v3_4 │ │ │ │ │ └── src │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── xuartlite.c │ │ │ │ │ ├── xuartlite.h │ │ │ │ │ ├── xuartlite_g.c │ │ │ │ │ ├── xuartlite_i.h │ │ │ │ │ ├── xuartlite_intr.c │ │ │ │ │ ├── xuartlite_l.c │ │ │ │ │ ├── xuartlite_l.h │ │ │ │ │ ├── xuartlite_selftest.c │ │ │ │ │ ├── xuartlite_sinit.c │ │ │ │ │ └── xuartlite_stats.c │ │ │ │ └── system.mss │ │ ├── platform.spr │ │ └── tempdsa │ │ │ ├── board │ │ │ └── arty-a7-100 │ │ │ │ ├── board.xml │ │ │ │ ├── part0_pins.xml │ │ │ │ └── preset.xml │ │ │ ├── design_1_wrapper.bit │ │ │ ├── design_1_wrapper.mmi │ │ │ └── design_1_wrapper.xsa │ ├── oscilloscope-software │ │ ├── .cproject │ │ ├── .project │ │ ├── Debug │ │ │ ├── makefile │ │ │ ├── objects.mk │ │ │ ├── oscilloscope-software_Debug.build.ui.log │ │ │ ├── sources.mk │ │ │ └── src │ │ │ │ └── subdir.mk │ │ ├── _ide │ │ │ ├── bitstream │ │ │ │ ├── design_1_wrapper.bit │ │ │ │ └── design_1_wrapper.mmi │ │ │ └── hwspec.checksum │ │ ├── oscilloscope-software.prj │ │ └── src │ │ │ ├── README.txt │ │ │ ├── echo.c │ │ │ ├── iic_phyreset.c │ │ │ ├── lscript.ld │ │ │ ├── main.c │ │ │ ├── platform_config.h │ │ │ ├── spi.c │ │ │ └── spi.h │ └── oscilloscope-software_system │ │ ├── .cproject │ │ ├── .project │ │ ├── Debug │ │ ├── makefile │ │ └── oscilloscope-software_system_Debug.build.ui.log │ │ └── oscilloscope-software_system.sprj │ └── set_drive_letter.bat ├── Chapter09 └── README.md ├── Chapter10 └── README.md ├── LICENSE └── README.md /Chapter01/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter01/README.md -------------------------------------------------------------------------------- /Chapter01/src/FullAdder.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter01/src/FullAdder.vhd -------------------------------------------------------------------------------- /Chapter02/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter02/README.md -------------------------------------------------------------------------------- /Chapter02/src/ArduinoHysteresis.c.ino: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter02/src/ArduinoHysteresis.c.ino -------------------------------------------------------------------------------- /Chapter03/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/README.md -------------------------------------------------------------------------------- /Chapter03/src/ControlLoop/ControlLoop.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/src/ControlLoop/ControlLoop.cpp -------------------------------------------------------------------------------- /Chapter03/src/ControlLoop/ControlLoop.sln: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/src/ControlLoop/ControlLoop.sln -------------------------------------------------------------------------------- /Chapter03/src/ControlLoop/ControlLoop.vcxproj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/src/ControlLoop/ControlLoop.vcxproj -------------------------------------------------------------------------------- /Chapter03/src/ControlLoop/ControlLoop.vcxproj.filters: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/src/ControlLoop/ControlLoop.vcxproj.filters -------------------------------------------------------------------------------- /Chapter03/src/ControlLoop/ControlLoop.vcxproj.user: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/src/ControlLoop/ControlLoop.vcxproj.user -------------------------------------------------------------------------------- /Chapter03/src/ControlLoop2/ControlLoop2.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/src/ControlLoop2/ControlLoop2.cpp -------------------------------------------------------------------------------- /Chapter03/src/ControlLoop2/ControlLoop2.vcxproj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/src/ControlLoop2/ControlLoop2.vcxproj -------------------------------------------------------------------------------- /Chapter03/src/ControlLoop2/ControlLoop2.vcxproj.filters: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/src/ControlLoop2/ControlLoop2.vcxproj.filters -------------------------------------------------------------------------------- /Chapter03/src/ControlLoop2/ControlLoop2.vcxproj.user: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/src/ControlLoop2/ControlLoop2.vcxproj.user -------------------------------------------------------------------------------- /Chapter03/src/main_motor_control.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter03/src/main_motor_control.c -------------------------------------------------------------------------------- /Chapter04/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/README.md -------------------------------------------------------------------------------- /Chapter04/src/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/.gitignore -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.hw/hw_1/hw.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.hw/hw_1/hw.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.ip_user_files/README.txt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_1.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_2.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_3.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_3.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_4.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_4.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_5.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_5.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_6.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/.jobs/vrs_config_6.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER.tcl -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER.vdi -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_16888.backup.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_16888.backup.vdi -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_bus_skew_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_bus_skew_routed.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_bus_skew_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_bus_skew_routed.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_clock_utilization_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_clock_utilization_routed.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_control_sets_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_control_sets_placed.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_drc_opted.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_drc_opted.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_drc_opted.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_drc_opted.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_drc_routed.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_drc_routed.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_io_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_io_placed.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_methodology_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_methodology_drc_routed.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_methodology_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_methodology_drc_routed.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_opt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_opt.dcp -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_physopt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_physopt.dcp -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_placed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_placed.dcp -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_power_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_power_routed.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_power_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_power_summary_routed.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_route_status.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_route_status.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_route_status.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_route_status.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_routed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_routed.dcp -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_timing_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_timing_summary_routed.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_timing_summary_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_timing_summary_routed.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_utilization_placed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_utilization_placed.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_utilization_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/ARTY_ADDER_utilization_placed.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/gen_run.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/htr.txt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/init_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/init_design.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/opt_design.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/phys_opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/phys_opt_design.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/place_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/place_design.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/route_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/route_design.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/usage_statistics_webtalk.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/usage_statistics_webtalk.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/vivado.jou -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/vivado.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/vivado_16888.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/vivado_16888.backup.jou -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/vivado_18524.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/vivado_18524.backup.jou -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/write_bitstream.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/impl_1/write_bitstream.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/.Xil/ARTY_ADDER_propImpl.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/.Xil/ARTY_ADDER_propImpl.xdc -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/ARTY_ADDER.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/ARTY_ADDER.dcp -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/ARTY_ADDER.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/ARTY_ADDER.tcl -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/ARTY_ADDER.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/ARTY_ADDER.vds -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/ARTY_ADDER_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/ARTY_ADDER_utilization_synth.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/ARTY_ADDER_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/ARTY_ADDER_utilization_synth.rpt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/ADDER4.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/ADDER4.tcl -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/ADDER4_TESTBENCH.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/ADDER4_TESTBENCH.tcl -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/ADDER4_TESTBENCH_vhdl.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/ADDER4_TESTBENCH_vhdl.prj -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/ADDER4_vhdl.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/ADDER4_vhdl.prj -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/webtalk.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/webtalk.jou -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/webtalk_10920.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/webtalk_10920.backup.jou -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/webtalk_13144.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/webtalk_13144.backup.jou -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/webtalk_21592.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/webtalk_21592.backup.jou -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xelab.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xelab.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_TESTBENCH_behav/Compile_Options.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_TESTBENCH_behav/Compile_Options.txt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_TESTBENCH_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_TESTBENCH_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_TESTBENCH_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_TESTBENCH_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_TESTBENCH_behav/xsim.mem -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_behav/Compile_Options.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_behav/Compile_Options.txt -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_behav/xsim.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xsim.dir/ADDER4_behav/xsim.mem -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xvhdl.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.sim/sim_1/behav/xsim/xvhdl.pb -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.srcs/constrs_1/new/Arty-A7-100.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.srcs/constrs_1/new/Arty-A7-100.xdc -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.srcs/sim_1/new/Adder4TestBench.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.srcs/sim_1/new/Adder4TestBench.vhd -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.srcs/sources_1/new/Adder4.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.srcs/sources_1/new/Adder4.vhd -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.srcs/sources_1/new/ArtyAdder.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.srcs/sources_1/new/ArtyAdder.vhd -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.srcs/sources_1/new/FullAdder.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.srcs/sources_1/new/FullAdder.vhd -------------------------------------------------------------------------------- /Chapter04/src/ArtyAdder/ArtyAdder.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter04/src/ArtyAdder/ArtyAdder.xpr -------------------------------------------------------------------------------- /Chapter05/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/README.md -------------------------------------------------------------------------------- /Chapter05/src/Adder4LUT.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/Adder4LUT.vhd -------------------------------------------------------------------------------- /Chapter05/src/Adder4Native.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/Adder4Native.vhd -------------------------------------------------------------------------------- /Chapter05/src/ArtyAdder.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/ArtyAdder.vhd -------------------------------------------------------------------------------- /Chapter05/src/ArtyAdder4HLSWrapper.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/ArtyAdder4HLSWrapper.vhd -------------------------------------------------------------------------------- /Chapter05/src/ArtyAdderHLS.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/ArtyAdderHLS.cpp -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/.gitignore -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_1_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_1_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_2_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_2_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_3_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_3_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_4_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_4_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_5_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_5_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_6_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_ds_6_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_1_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_1_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_2_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_2_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_3_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_3_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_4_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_4_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_5_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_5_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_6_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_6_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_7_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_pc_7_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_us_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_us_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_us_1_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_us_1_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_us_2_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_auto_us_2_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_ethernetlite_0_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_ethernetlite_0_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_gpio_0_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_gpio_0_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_gpio_1_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_gpio_1_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_quad_spi_0_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_quad_spi_0_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_timer_0_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_timer_0_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_uartlite_0_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_axi_uartlite_0_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_clk_wiz_0_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_clk_wiz_0_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_dlmb_bram_if_cntlr_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_dlmb_bram_if_cntlr_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_dlmb_v10_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_dlmb_v10_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_ilmb_bram_if_cntlr_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_ilmb_bram_if_cntlr_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_ilmb_v10_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_ilmb_v10_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_lmb_bram_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_lmb_bram_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_mdm_1_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_mdm_1_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_microblaze_0_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_microblaze_0_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_microblaze_0_axi_intc_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_microblaze_0_axi_intc_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_mig_7series_0_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_mig_7series_0_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_rst_mig_7series_0_83M_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_rst_mig_7series_0_83M_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_xbar_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/design_1_xbar_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/init_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/init_design.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/opt_design.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/phys_opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/phys_opt_design.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/place_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/place_design.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/route_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/route_design.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/write_bitstream.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/impl_1/write_bitstream.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/constrs_1/new/arty.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/constrs_1/new/arty.xdc -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/design_1.bd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/design_1.bd -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/design_1.bxml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/design_1.bxml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.vhd -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_0/design_1_auto_ds_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_0/design_1_auto_ds_0.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_0/design_1_auto_ds_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_0/design_1_auto_ds_0.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_1/design_1_auto_ds_1.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_1/design_1_auto_ds_1.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_1/design_1_auto_ds_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_1/design_1_auto_ds_1.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_2/design_1_auto_ds_2.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_2/design_1_auto_ds_2.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_2/design_1_auto_ds_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_2/design_1_auto_ds_2.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_3/design_1_auto_ds_3.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_3/design_1_auto_ds_3.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_3/design_1_auto_ds_3.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_3/design_1_auto_ds_3.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_4/design_1_auto_ds_4.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_4/design_1_auto_ds_4.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_4/design_1_auto_ds_4.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_4/design_1_auto_ds_4.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_5/design_1_auto_ds_5.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_5/design_1_auto_ds_5.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_5/design_1_auto_ds_5.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_5/design_1_auto_ds_5.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_6/design_1_auto_ds_6.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_6/design_1_auto_ds_6.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_6/design_1_auto_ds_6.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_ds_6/design_1_auto_ds_6.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_1/design_1_auto_pc_1.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_1/design_1_auto_pc_1.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_1/design_1_auto_pc_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_1/design_1_auto_pc_1.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_2/design_1_auto_pc_2.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_2/design_1_auto_pc_2.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_2/design_1_auto_pc_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_2/design_1_auto_pc_2.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_3/design_1_auto_pc_3.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_3/design_1_auto_pc_3.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_3/design_1_auto_pc_3.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_3/design_1_auto_pc_3.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_4/design_1_auto_pc_4.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_4/design_1_auto_pc_4.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_4/design_1_auto_pc_4.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_4/design_1_auto_pc_4.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_5/design_1_auto_pc_5.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_5/design_1_auto_pc_5.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_5/design_1_auto_pc_5.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_5/design_1_auto_pc_5.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_6/design_1_auto_pc_6.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_6/design_1_auto_pc_6.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_6/design_1_auto_pc_6.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_6/design_1_auto_pc_6.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_7/design_1_auto_pc_7.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_7/design_1_auto_pc_7.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_7/design_1_auto_pc_7.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_7/design_1_auto_pc_7.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_0/design_1_auto_us_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_0/design_1_auto_us_0.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_0/design_1_auto_us_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_0/design_1_auto_us_0.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_1/design_1_auto_us_1.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_1/design_1_auto_us_1.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_1/design_1_auto_us_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_1/design_1_auto_us_1.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_2/design_1_auto_us_2.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_2/design_1_auto_us_2.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_2/design_1_auto_us_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_auto_us_2/design_1_auto_us_2.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mdm_1_0/design_1_mdm_1_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mdm_1_0/design_1_mdm_1_0.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mdm_1_0/design_1_mdm_1_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mdm_1_0/design_1_mdm_1_0.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mig_7series_0_0/board.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mig_7series_0_0/board.prj -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/design_1_xbar_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/design_1_xbar_0.xci -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/design_1_xbar_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/design_1_xbar_0.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-fpga/oscilloscope-fpga.xpr -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.analytics: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.analytics -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdk.targetmanager/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdk.targetmanager/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdk.utils/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdk.utils/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdsoc.ui/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdsoc.ui/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdx.core/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdx.core/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdx.npw/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdx.npw/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/design_1_wrapper.1602200225195.pdom: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/design_1_wrapper.1602200225195.pdom -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/oscilloscope-software.1602200224962.pdom: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/oscilloscope-software.1602200224962.pdom -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.make.core/.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.make.core/oscilloscope-software.sc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.make.core/oscilloscope-software.sc -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/design_1_wrapper.build.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/design_1_wrapper.build.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/oscilloscope-software.build.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/oscilloscope-software.build.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/oscilloscope-software_system.build.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/oscilloscope-software_system.build.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.projects/design_1_wrapper/.markers: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.projects/design_1_wrapper/.markers -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/history.version: -------------------------------------------------------------------------------- 1 |  -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.version: -------------------------------------------------------------------------------- 1 |  -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.markers: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.markers -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/1.tree: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/1.tree -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.sdkterminal.prefs: -------------------------------------------------------------------------------- 1 | Last\ selected\ port\:=COM4 2 | eclipse.preferences.version=1 3 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.jdt.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.jdt.ui.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.launchbar.core.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.launchbar.core.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.debug.ui/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.debug.ui/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.e4.ui.workbench.swt/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.e4.ui.workbench.swt/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/assumedExternalFilesCache: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/externalFilesCache: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/index.db: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/nonChainingJarsCache: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/variablesAndContainers.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/variablesAndContainers.dat -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.ui/OpenTypeHistory.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.ui/QualifiedTypeNameHistory.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.pde.core/.cache/clean-cache.properties: -------------------------------------------------------------------------------- 1 | #Cached timestamps 2 | #Thu Oct 08 16:51:40 PDT 2020 3 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.rse.core/.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.rse.core/initializerMarks/org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.rse.ui/.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tcf.debug.ui/memview.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tcf.debug/peers.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tcf.debug/peers.ini -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tm.terminal.view.ui/.executables/data.properties: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tm.terminal.view.ui/.executables/data.properties -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.ide/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.ide/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/.metadata/version.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/.metadata/version.ini -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/IDE.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/IDE.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/RemoteSystemsTempFiles/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/RemoteSystemsTempFiles/.project -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper.xsa: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper.xsa -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/.cproject: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/.cproject -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/.log/design_1_wrapper_.build.ui.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/.log/design_1_wrapper_.build.ui.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/.project -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/bitstream/design_1_wrapper.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/bitstream/design_1_wrapper.bit -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/board.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/board.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/part0_pins.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/part0_pins.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/preset.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/preset.xml -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.bit -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.mmi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.mmi -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.xsa: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.xsa -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/logs/platform.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/logs/platform.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/Makefile -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/FreeRTOS.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/FreeRTOS.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/arch/cc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/arch/cc.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/arch/cpu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/arch/cpu.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/arch/perf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/arch/perf.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/atomic.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/atomic.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/bspconfig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/bspconfig.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/croutine.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/croutine.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/fsl.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/fsl.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/list.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/list.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/api.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/api.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/arch.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/arch.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/def.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/def.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/dhcp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/dhcp.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/dns.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/dns.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/err.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/err.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/icmp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/icmp.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/igmp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/igmp.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/inet.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/inet.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/init.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/init.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/ip.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/ip.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/ip4.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/ip4.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/ip6.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/ip6.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/mem.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/mem.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/memp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/memp.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/mld6.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/mld6.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/nd6.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/nd6.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/opt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/opt.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/pbuf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/pbuf.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/raw.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/raw.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/sio.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/sio.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/snmp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/snmp.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/sys.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/sys.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/tcp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/tcp.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/udp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/udp.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwipopts.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwipopts.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/portable.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/portable.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/portmacro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/portmacro.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/profile.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/profile.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/projdefs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/projdefs.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/pvr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/pvr.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/queue.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/queue.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/semphr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/semphr.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/sleep.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/sleep.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/task.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/task.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/timers.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/timers.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xbram.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xbram.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xbram_hw.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xbram_hw.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xdebug.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xdebug.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xenv.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xenv.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio_i.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio_i.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio_l.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio_l.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_hal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_hal.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_io.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_io.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_mem.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_mem.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc_i.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc_i.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc_l.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc_l.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xio.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xio.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi_i.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi_i.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi_l.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi_l.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xstatus.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xstatus.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xtmrctr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xtmrctr.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libc.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libc.a -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libfreertos.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libfreertos.a -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libgcc.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libgcc.a -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libgloss.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libgloss.a -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libm.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libm.a -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/ARMv8/32bit/platform/ZynqMP/CMakeLists.txt: -------------------------------------------------------------------------------- 1 | collect (PROJECT_LIB_HEADERS xparameters_ps.h) 2 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/ARMv8/32bit/platform/versal/CMakeLists.txt: -------------------------------------------------------------------------------- 1 | collect (PROJECT_LIB_HEADERS xparameters_ps.h) 2 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/cortexa9/armcc/ARM_argv_veneer.c: -------------------------------------------------------------------------------- 1 | void __ARM_argv_veneer(void) {}; 2 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/cortexr5/platform/CMakeLists.txt: -------------------------------------------------------------------------------- 1 | add_subdirectory(${MACHINE}) 2 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/cortexr5/platform/ZynqMP/CMakeLists.txt: -------------------------------------------------------------------------------- 1 | collect (PROJECT_LIB_HEADERS xparameters_ps.h) 2 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/cortexr5/platform/versal/CMakeLists.txt: -------------------------------------------------------------------------------- 1 | collect (PROJECT_LIB_HEADERS xparameters_ps.h) 2 | -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/system.mss: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/system.mss -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/design_1_wrapper/platform.spr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/design_1_wrapper/platform.spr -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/.cproject: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/.cproject -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/.project -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/Debug/makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/Debug/makefile -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/Debug/objects.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/Debug/objects.mk -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/Debug/oscilloscope-software_Debug.build.ui.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/Debug/oscilloscope-software_Debug.build.ui.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/Debug/sources.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/Debug/sources.mk -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/Debug/src/subdir.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/Debug/src/subdir.mk -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/_ide/bitstream/design_1_wrapper.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/_ide/bitstream/design_1_wrapper.bit -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/_ide/bitstream/design_1_wrapper.mmi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/_ide/bitstream/design_1_wrapper.mmi -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/_ide/hwspec.checksum: -------------------------------------------------------------------------------- 1 | 1819592952 -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/oscilloscope-software.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/oscilloscope-software.prj -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/src/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/src/README.txt -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/src/echo.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/src/echo.c -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/src/iic_phyreset.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/src/iic_phyreset.c -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/src/lscript.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/src/lscript.ld -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/src/main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/src/main.c -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software/src/platform_config.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software/src/platform_config.h -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software_system/.cproject: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software_system/.cproject -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software_system/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software_system/.project -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software_system/Debug/makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software_system/Debug/makefile -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software_system/Debug/oscilloscope-software_system_Debug.build.ui.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software_system/Debug/oscilloscope-software_system_Debug.build.ui.log -------------------------------------------------------------------------------- /Chapter05/src/oscilloscope-software/oscilloscope-software_system/oscilloscope-software_system.sprj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/oscilloscope-software/oscilloscope-software_system/oscilloscope-software_system.sprj -------------------------------------------------------------------------------- /Chapter05/src/set_drive_letter.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter05/src/set_drive_letter.bat -------------------------------------------------------------------------------- /Chapter06/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/README.md -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/.gitignore -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/ADC.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/ADC.sch -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Analog Inputs.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Analog Inputs.sch -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Calibration.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Calibration.sch -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Footprints.pretty/Littelfuse-GTCC23-XXXM-R01-2-Series-GDT.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Footprints.pretty/Littelfuse-GTCC23-XXXM-R01-2-Series-GDT.kicad_mod -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Footprints.pretty/PinHeader_2x06_P2.54mm_renumbered.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Footprints.pretty/PinHeader_2x06_P2.54mm_renumbered.kicad_mod -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Oscilloscope-cache.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Oscilloscope-cache.lib -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Oscilloscope.csv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Oscilloscope.csv -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Oscilloscope.kicad_pcb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Oscilloscope.kicad_pcb -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Oscilloscope.pro: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Oscilloscope.pro -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Oscilloscope.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Oscilloscope.sch -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Oscilloscope.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Oscilloscope.xlsx -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/PMOD Ports.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/PMOD Ports.sch -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Power Supply.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Power Supply.sch -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/SPI.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/SPI.sch -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Schematic Symbols.dcm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Schematic Symbols.dcm -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/Schematic Symbols.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter06/src/oscilloscope-circuit/Schematic Symbols.lib -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/fp-lib-table: -------------------------------------------------------------------------------- 1 | (fp_lib_table 2 | ) 3 | -------------------------------------------------------------------------------- /Chapter06/src/oscilloscope-circuit/sym-lib-table: -------------------------------------------------------------------------------- 1 | (sym_lib_table 2 | ) 3 | -------------------------------------------------------------------------------- /Chapter07/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter07/README.md -------------------------------------------------------------------------------- /Chapter08/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/README.md -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/.gitignore -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/constrs_1/new/arty.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/constrs_1/new/arty.xdc -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/design_1.bd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/design_1.bd -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/design_1.bxml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/design_1.bxml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.vhd -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mdm_1_0/design_1_mdm_1_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mdm_1_0/design_1_mdm_1_0.xci -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mdm_1_0/design_1_mdm_1_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mdm_1_0/design_1_mdm_1_0.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mig_7series_0_0/board.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_mig_7series_0_0/board.prj -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/design_1_xbar_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/design_1_xbar_0.xci -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/design_1_xbar_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/design_1_xbar_0.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/mref/adc_interface/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/mref/adc_interface/component.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/mref/adc_interface/xgui/adc_interface_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/bd/mref/adc_interface/xgui/adc_interface_v1_0.tcl -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/new/adc_interface.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.srcs/sources_1/new/adc_interface.vhd -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-fpga/oscilloscope-fpga.xpr -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.analytics: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.analytics -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdk.targetmanager/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdk.targetmanager/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdk.utils/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdk.utils/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdsoc.ui/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdsoc.ui/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdx.core/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdx.core/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdx.npw/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/com.xilinx.sdx.npw/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/design_1_wrapper.1602200225195.pdom: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/design_1_wrapper.1602200225195.pdom -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/oscilloscope-software.1602200224962.pdom: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.core/oscilloscope-software.1602200224962.pdom -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.make.core/.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.make.core/oscilloscope-software.sc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.make.core/oscilloscope-software.sc -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/design_1_wrapper.build.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/design_1_wrapper.build.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/oscilloscope-software.build.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/oscilloscope-software.build.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/oscilloscope-software_system.build.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.cdt.ui/oscilloscope-software_system.build.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.projects/design_1_wrapper/.markers: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.projects/design_1_wrapper/.markers -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/history.version: -------------------------------------------------------------------------------- 1 |  -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.version: -------------------------------------------------------------------------------- 1 |  -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.markers: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/.markers -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/9.tree: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.root/9.tree -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.sdkterminal.prefs: -------------------------------------------------------------------------------- 1 | Last\ selected\ port\:=COM4 2 | eclipse.preferences.version=1 3 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.ui.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.jdt.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.jdt.ui.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.team.ui.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.editors.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.ide.prefs: -------------------------------------------------------------------------------- 1 | PROBLEMS_FILTERS_MIGRATE=true 2 | eclipse.preferences.version=1 3 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.workbench.prefs -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.debug.ui/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.debug.ui/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.e4.ui.workbench.swt/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.e4.ui.workbench.swt/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/assumedExternalFilesCache: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/externalFilesCache: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/index.db: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/nonChainingJarsCache: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/variablesAndContainers.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.core/variablesAndContainers.dat -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.ui/OpenTypeHistory.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.jdt.ui/QualifiedTypeNameHistory.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.pde.core/.cache/clean-cache.properties: -------------------------------------------------------------------------------- 1 | #Cached timestamps 2 | #Fri Oct 09 12:40:23 PDT 2020 3 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.rse.core/.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.rse.core/initializerMarks/org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.rse.ui/.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tcf.cdt.ui/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tcf.cdt.ui/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tcf.debug.ui/memview.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tcf.debug/peers.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tcf.debug/peers.ini -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tm.terminal.view.ui/.executables/data.properties: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.tm.terminal.view.ui/.executables/data.properties -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.ide/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.ide/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.workbench.texteditor/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.workbench.texteditor/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.workbench/dialog_settings.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.workbench/workingsets.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/.plugins/org.eclipse.ui.workbench/workingsets.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/.metadata/version.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/.metadata/version.ini -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/IDE.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/IDE.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/RemoteSystemsTempFiles/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/RemoteSystemsTempFiles/.project -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper.xsa: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper.xsa -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/.cproject: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/.cproject -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/.log/design_1_wrapper_.build.ui.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/.log/design_1_wrapper_.build.ui.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/.project -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/bitstream/design_1_wrapper.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/bitstream/design_1_wrapper.bit -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/board.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/board.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/part0_pins.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/part0_pins.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/preset.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/hw/board/arty-a7-100/preset.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.bit -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.mmi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.mmi -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.xsa: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/hw/design_1_wrapper.xsa -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/logs/platform.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/logs/platform.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/Makefile -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/arch/cc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/arch/cc.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/atomic.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/atomic.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/fsl.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/fsl.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/list.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/list.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/ip.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/lwip/ip.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/profile.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/profile.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/pvr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/pvr.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/queue.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/queue.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/semphr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/semphr.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/sleep.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/sleep.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/task.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/task.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/timers.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/timers.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xbram.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xbram.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xdebug.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xdebug.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xenv.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xenv.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio_i.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio_i.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio_l.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xgpio_l.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_hal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_hal.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_io.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_io.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_mem.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xil_mem.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc_i.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc_i.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc_l.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xintc_l.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xio.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xio.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi_i.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi_i.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi_l.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xspi_l.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xstatus.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xstatus.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xtmrctr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/include/xtmrctr.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libc.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libc.a -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libfreertos.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libfreertos.a -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libgcc.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libgcc.a -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libgloss.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libgloss.a -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libm.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/lib/libm.a -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/ARMv8/32bit/platform/ZynqMP/CMakeLists.txt: -------------------------------------------------------------------------------- 1 | collect (PROJECT_LIB_HEADERS xparameters_ps.h) 2 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/ARMv8/32bit/platform/versal/CMakeLists.txt: -------------------------------------------------------------------------------- 1 | collect (PROJECT_LIB_HEADERS xparameters_ps.h) 2 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/cortexa9/armcc/ARM_argv_veneer.c: -------------------------------------------------------------------------------- 1 | void __ARM_argv_veneer(void) {}; 2 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/cortexr5/platform/CMakeLists.txt: -------------------------------------------------------------------------------- 1 | add_subdirectory(${MACHINE}) 2 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/cortexr5/platform/ZynqMP/CMakeLists.txt: -------------------------------------------------------------------------------- 1 | collect (PROJECT_LIB_HEADERS xparameters_ps.h) 2 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/microblaze_0/libsrc/standalone_v7_2/src/arm/cortexr5/platform/versal/CMakeLists.txt: -------------------------------------------------------------------------------- 1 | collect (PROJECT_LIB_HEADERS xparameters_ps.h) 2 | -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/system.mss: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/microblaze_0/domain_microblaze_0/bsp/system.mss -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/platform.spr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/platform.spr -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/board/arty-a7-100/board.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/board/arty-a7-100/board.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/board/arty-a7-100/part0_pins.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/board/arty-a7-100/part0_pins.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/board/arty-a7-100/preset.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/board/arty-a7-100/preset.xml -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/design_1_wrapper.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/design_1_wrapper.bit -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/design_1_wrapper.mmi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/design_1_wrapper.mmi -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/design_1_wrapper.xsa: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/design_1_wrapper/tempdsa/design_1_wrapper.xsa -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/.cproject: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/.cproject -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/.project -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/Debug/makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/Debug/makefile -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/Debug/objects.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/Debug/objects.mk -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/Debug/oscilloscope-software_Debug.build.ui.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/Debug/oscilloscope-software_Debug.build.ui.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/Debug/sources.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/Debug/sources.mk -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/Debug/src/subdir.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/Debug/src/subdir.mk -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/_ide/bitstream/design_1_wrapper.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/_ide/bitstream/design_1_wrapper.bit -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/_ide/bitstream/design_1_wrapper.mmi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/_ide/bitstream/design_1_wrapper.mmi -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/_ide/hwspec.checksum: -------------------------------------------------------------------------------- 1 | 2319015458 -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/oscilloscope-software.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/oscilloscope-software.prj -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/src/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/src/README.txt -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/src/echo.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/src/echo.c -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/src/iic_phyreset.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/src/iic_phyreset.c -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/src/lscript.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/src/lscript.ld -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/src/main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/src/main.c -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/src/platform_config.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/src/platform_config.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/src/spi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/src/spi.c -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software/src/spi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software/src/spi.h -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software_system/.cproject: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software_system/.cproject -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software_system/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software_system/.project -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software_system/Debug/makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software_system/Debug/makefile -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software_system/Debug/oscilloscope-software_system_Debug.build.ui.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software_system/Debug/oscilloscope-software_system_Debug.build.ui.log -------------------------------------------------------------------------------- /Chapter08/src/oscilloscope-software/oscilloscope-software_system/oscilloscope-software_system.sprj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/oscilloscope-software/oscilloscope-software_system/oscilloscope-software_system.sprj -------------------------------------------------------------------------------- /Chapter08/src/set_drive_letter.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter08/src/set_drive_letter.bat -------------------------------------------------------------------------------- /Chapter09/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter09/README.md -------------------------------------------------------------------------------- /Chapter10/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/Chapter10/README.md -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/LICENSE -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PacktPublishing/Architecting-High-Performance-Embedded-Systems/HEAD/README.md --------------------------------------------------------------------------------