├── .github └── workflows │ └── main.yml ├── .gitignore ├── .travis.yml ├── CONTRIBUTORS.md ├── LICENSE ├── MANIFEST.in ├── Makefile ├── README.md ├── by_examples.ipynb ├── conftest.py ├── docker └── Dockerfile ├── examples ├── Makefile ├── axi_stream_ultra96v2_pynq │ ├── Makefile │ ├── axi_stream.py │ ├── test_axi_stream.py │ └── ultra96v2_pynq │ │ ├── README.md │ │ ├── axi_stream.bit │ │ ├── axi_stream.hwh │ │ ├── axi_stream.v │ │ ├── design_1.tcl │ │ ├── run_on_pynq.ipynb │ │ └── run_on_pynq.py ├── chatter_clear │ ├── Makefile │ ├── chatter_clear.py │ └── test_chatter_clear.py ├── counter │ ├── Makefile │ ├── counter.py │ └── test_counter.py ├── fifo_rtl │ ├── Makefile │ ├── fifo_rtl.py │ └── test_fifo_rtl.py ├── led │ ├── Makefile │ ├── led.py │ └── test_led.py ├── manyled │ ├── Makefile │ ├── manyled.py │ └── test_manyled.py ├── ram_rtl │ ├── Makefile │ ├── ram_rtl.py │ └── test_ram_rtl.py ├── read_verilog_code │ ├── Makefile │ ├── read_verilog_code.py │ └── test_read_verilog_code.py ├── regchain │ ├── Makefile │ ├── regchain.py │ └── test_regchain.py ├── simulation_verilator │ ├── Makefile │ ├── simulation_verilator.py │ └── test_simulation_verilator.py ├── sort │ ├── Makefile │ ├── sort.py │ └── test_sort.py ├── stream_axi_stream_fifo_ipxact_ultra96v2_pynq │ ├── Makefile │ ├── stream_axi_stream_fifo_ipxact.py │ ├── test_stream_axi_stream_fifo_ipxact.py │ └── ultra96v2_pynq │ │ ├── README.md │ │ ├── blinkled_v1_0 │ │ ├── component.xml │ │ ├── hdl │ │ │ └── blinkled.v │ │ └── xgui │ │ │ └── xgui.tcl │ │ ├── design_1.tcl │ │ ├── run_on_pynq.ipynb │ │ ├── run_on_pynq.py │ │ ├── stream_axi_stream_fifo_ipxact.bit │ │ └── stream_axi_stream_fifo_ipxact.hwh ├── stream_axi_stream_fifo_ultra96v2_pynq │ ├── Makefile │ ├── stream_axi_stream_fifo.py │ ├── test_stream_axi_stream_fifo.py │ └── ultra96v2_pynq │ │ ├── README.md │ │ ├── design_1.tcl │ │ ├── run_on_pynq.ipynb │ │ ├── run_on_pynq.py │ │ ├── stream_axi_stream_fifo.bit │ │ ├── stream_axi_stream_fifo.hwh │ │ └── stream_axi_stream_fifo.v ├── stream_matmul_ultra96v2_pynq │ ├── Makefile │ ├── stream_matmul.py │ ├── test_stream_matmul.py │ └── ultra96v2_pynq │ │ ├── README.md │ │ ├── design_1.tcl │ │ ├── run_on_pynq.ipynb │ │ ├── run_on_pynq.py │ │ ├── stream_matmul.bit │ │ ├── stream_matmul.hwh │ │ └── stream_matmul.v ├── thread_add_ipxact │ ├── Makefile │ ├── test_thread_add_ipxact.py │ └── thread_add_ipxact.py ├── thread_axi_slave_ipxact │ ├── Makefile │ ├── test_thread_axi_slave_ipxact.py │ └── thread_axi_slave_ipxact.py ├── thread_embedded_verilog_ipxact │ ├── Makefile │ ├── test_thread_embedded_verilog_ipxact.py │ └── thread_embedded_verilog_ipxact.py ├── thread_matmul │ ├── Makefile │ ├── test_thread_matmul.py │ └── thread_matmul.py ├── thread_matmul_ext_onchip_ram │ ├── Makefile │ ├── test_thread_matmul_ext_onchip_ram.py │ └── thread_matmul_ext_onchip_ram.py ├── thread_matmul_ipxact │ ├── Makefile │ ├── test_thread_matmul_ipxact.py │ └── thread_matmul_ipxact.py ├── thread_matmul_narrow │ ├── Makefile │ ├── test_thread_matmul_narrow.py │ └── thread_matmul_narrow.py ├── thread_matmul_wide │ ├── Makefile │ ├── test_thread_matmul_wide.py │ └── thread_matmul_wide.py ├── thread_memcpy_ipxact_ultra96v2_pynq │ ├── Makefile │ ├── test_thread_memcpy_ipxact.py │ ├── thread_memcpy_ipxact.py │ └── ultra96v2_pynq │ │ ├── README.md │ │ ├── design_1.tcl │ │ ├── memcpy_v1_0 │ │ ├── component.xml │ │ ├── hdl │ │ │ └── memcpy.v │ │ └── xgui │ │ │ └── xgui.tcl │ │ ├── run_on_pynq.ipynb │ │ ├── run_on_pynq.py │ │ ├── thread_memcpy_ipxact.bit │ │ └── thread_memcpy_ipxact.hwh ├── thread_multithread_top_nexys4 │ ├── Makefile │ ├── nexys4 │ │ ├── Nexys4_Master.xdc │ │ ├── thread_multithread_top.v │ │ └── top.bit │ ├── test_thread_multithread_top.py │ └── thread_multithread_top.py ├── thread_uart_top_nexys4 │ ├── Makefile │ ├── nexys4 │ │ ├── Nexys4_Master.xdc │ │ ├── thread_uart_top.v │ │ └── top.bit │ ├── test_thread_uart_top.py │ └── thread_uart_top.py ├── thread_verilog_submodule_ipxact │ ├── Makefile │ ├── test_thread_verilog_submodule_ipxact.py │ └── thread_verilog_submodule_ipxact.py └── uart │ ├── Makefile │ ├── test_uart.py │ ├── uart.py │ └── uart_same.py ├── examples_obsolete ├── Makefile ├── dataflow_example │ ├── Makefile │ ├── dataflow_example.py │ └── test_dataflow_example.py ├── dataflow_fft4 │ ├── Makefile │ ├── dataflow_fft4.py │ └── test_dataflow_fft4.py ├── dataflow_fftN │ ├── Makefile │ ├── dataflow_fftN.py │ └── test_dataflow_fftN.py ├── dataflow_movavg │ ├── Makefile │ ├── dataflow_movavg.py │ └── test_dataflow_movavg.py ├── dataflow_movmin │ ├── Makefile │ ├── dataflow_movmin.py │ └── test_dataflow_movmin.py ├── dataflow_radix2 │ ├── Makefile │ ├── dataflow_radix2.py │ └── test_dataflow_radix2.py ├── dataflow_sort │ ├── Makefile │ ├── dataflow_sort.py │ └── test_dataflow_sort.py └── dataflow_stencil │ ├── Makefile │ ├── dataflow_stencil.py │ └── test_dataflow_stencil.py ├── hello_led.ipynb ├── hello_led.py ├── img └── waveform.png ├── pytest.ini ├── scripts ├── test-python2.sh ├── test-python3.sh └── upload_pypi.sh ├── setup.py ├── tests ├── Makefile ├── core │ ├── Makefile │ ├── _class │ │ ├── Makefile │ │ ├── _class.py │ │ └── test__class.py │ ├── _elif │ │ ├── Makefile │ │ ├── _elif.py │ │ └── test__elif.py │ ├── _for │ │ ├── Makefile │ │ ├── _for.py │ │ └── test__for.py │ ├── _function │ │ ├── Makefile │ │ ├── _function.py │ │ └── test__function.py │ ├── _int │ │ ├── Makefile │ │ ├── _int.py │ │ └── test__int.py │ ├── _iter │ │ ├── Makefile │ │ ├── _iter.py │ │ └── test__iter.py │ ├── _list │ │ ├── Makefile │ │ ├── _list.py │ │ └── test__list.py │ ├── _slice │ │ ├── Makefile │ │ ├── _slice.py │ │ └── test__slice.py │ ├── _while │ │ ├── Makefile │ │ ├── _while.py │ │ └── test__while.py │ ├── blocking │ │ ├── Makefile │ │ ├── blocking.py │ │ └── test_blocking.py │ ├── case │ │ ├── Makefile │ │ ├── case.py │ │ └── test_case.py │ ├── cat │ │ ├── Makefile │ │ ├── cat.py │ │ └── test_cat.py │ ├── cond │ │ ├── Makefile │ │ ├── cond.py │ │ └── test_cond.py │ ├── embeddedcode │ │ ├── Makefile │ │ ├── embeddedcode.py │ │ └── test_embeddedcode.py │ ├── embeddednumeric │ │ ├── Makefile │ │ ├── embeddednumeric.py │ │ └── test_embeddednumeric.py │ ├── equals │ │ ├── Makefile │ │ ├── equals.py │ │ └── test_equals.py │ ├── generate_ │ │ ├── Makefile │ │ ├── instance │ │ │ ├── Makefile │ │ │ ├── generate_instance.py │ │ │ └── test_generate_instance.py │ │ └── variable │ │ │ ├── Makefile │ │ │ ├── generate_variable.py │ │ │ └── test_generate_variable.py │ ├── initial │ │ ├── Makefile │ │ ├── initial.py │ │ └── test_initial.py │ ├── instance_ │ │ ├── Makefile │ │ ├── connect_ports │ │ │ ├── Makefile │ │ │ ├── instance_connect_ports.py │ │ │ └── test_instance_connect_ports.py │ │ ├── connect_same_name │ │ │ ├── Makefile │ │ │ ├── instance_connect_same_name.py │ │ │ └── test_instance_connect_same_name.py │ │ ├── multiple_instances │ │ │ ├── Makefile │ │ │ ├── multiple_instances.py │ │ │ └── test_multiple_instances.py │ │ ├── named_args │ │ │ ├── Makefile │ │ │ ├── instance_named_args.py │ │ │ └── test_instance_named_args.py │ │ └── noname_args │ │ │ ├── Makefile │ │ │ ├── instance_noname_args.py │ │ │ └── test_instance_noname_args.py │ ├── intx │ │ ├── Makefile │ │ ├── intx.py │ │ └── test_intx.py │ ├── intz │ │ ├── Makefile │ │ ├── intz.py │ │ └── test_intz.py │ ├── like │ │ ├── Makefile │ │ ├── like.py │ │ └── test_like.py │ ├── logic │ │ ├── Makefile │ │ ├── logic.py │ │ └── test_logic.py │ ├── meta │ │ ├── Makefile │ │ ├── meta.py │ │ └── test_meta.py │ ├── multiple_definition_ │ │ ├── Makefile │ │ ├── instance │ │ │ ├── Makefile │ │ │ ├── multiple_definition_instance.py │ │ │ └── test_multiple_definition_instance.py │ │ ├── instance_variable │ │ │ ├── Makefile │ │ │ ├── multiple_definition_instance_variable.py │ │ │ └── test_multiple_definition_instance_variable.py │ │ ├── variable │ │ │ ├── Makefile │ │ │ ├── multiple_definition_variable.py │ │ │ └── test_multiple_definition_variable.py │ │ └── variable_instance │ │ │ ├── Makefile │ │ │ ├── multiple_definition_variable_instance.py │ │ │ └── test_multiple_definition_variable_instance.py │ ├── mux │ │ ├── Makefile │ │ ├── mux.py │ │ └── test_mux.py │ ├── mux_const │ │ ├── Makefile │ │ ├── mux_const.py │ │ └── test_mux_const.py │ ├── op_reverse │ │ ├── Makefile │ │ ├── op_reverse.py │ │ └── test_op_reverse.py │ ├── parameter │ │ ├── Makefile │ │ ├── parameter.py │ │ └── test_parameter.py │ ├── patternif │ │ ├── Makefile │ │ ├── patternif.py │ │ └── test_patternif.py │ ├── patternmux │ │ ├── Makefile │ │ ├── patternmux.py │ │ └── test_patternmux.py │ ├── primitive_mux │ │ ├── Makefile │ │ ├── primitive_mux.py │ │ └── test_primitive_mux.py │ ├── probe │ │ ├── Makefile │ │ ├── probe.py │ │ └── test_probe.py │ ├── repeat │ │ ├── Makefile │ │ ├── repeat.py │ │ └── test_repeat.py │ ├── shift │ │ ├── Makefile │ │ ├── shift.py │ │ └── test_shift.py │ ├── singed │ │ ├── Makefile │ │ ├── signed.py │ │ └── test_signed.py │ ├── stub_ │ │ ├── Makefile │ │ ├── _class │ │ │ ├── Makefile │ │ │ ├── stub__class.py │ │ │ └── test_stub__class.py │ │ ├── str │ │ │ ├── Makefile │ │ │ ├── stub_str.py │ │ │ └── test_stub_str.py │ │ ├── str_multiple │ │ │ ├── Makefile │ │ │ ├── stub_str_multiple.py │ │ │ └── test_stub_str_multiple.py │ │ ├── withcode │ │ │ ├── Makefile │ │ │ ├── stub_withcode.py │ │ │ └── test_stub_withcode.py │ │ └── withcode_multiple │ │ │ ├── Makefile │ │ │ ├── stub_withcode_multiple.py │ │ │ └── test_stub_withcode_multiple.py │ ├── submodule_ │ │ ├── Makefile │ │ ├── get │ │ │ ├── Makefile │ │ │ ├── submodule_get.py │ │ │ └── test_submodule_get.py │ │ ├── getattr │ │ │ ├── Makefile │ │ │ ├── submodule_getattr.py │ │ │ └── test_submodule_getattr.py │ │ ├── named_args │ │ │ ├── Makefile │ │ │ ├── submodule_named_args.py │ │ │ └── test_submodule_named_args.py │ │ ├── nonamed_args │ │ │ ├── Makefile │ │ │ ├── submodule_nonamed_args.py │ │ │ └── test_submodule_nonamed_args.py │ │ ├── prefix │ │ │ ├── Makefile │ │ │ ├── submodule_prefix.py │ │ │ └── test_submodule_prefix.py │ │ ├── read_verilog │ │ │ ├── Makefile │ │ │ ├── submodule_read_verilog.py │ │ │ └── test_submodule_read_verilog.py │ │ ├── read_verilog_nested │ │ │ ├── Makefile │ │ │ ├── submodule_read_verilog_nested.py │ │ │ └── test_submodule_read_verilog_nested.py │ │ ├── regex │ │ │ ├── Makefile │ │ │ ├── submodule_regex.py │ │ │ └── test_submodule_regex.py │ │ └── sim │ │ │ ├── Makefile │ │ │ ├── submodule_sim.py │ │ │ └── test_submodule_sim.py │ ├── systemtask │ │ ├── Makefile │ │ ├── systemtask.py │ │ └── test_systemtask.py │ └── tmpvar │ │ ├── Makefile │ │ ├── test_tmpvar.py │ │ └── tmpvar.py ├── extension │ ├── Makefile │ ├── fsm_ │ │ ├── Makefile │ │ ├── as_module │ │ │ ├── Makefile │ │ │ ├── fsm_as_module.py │ │ │ └── test_fsm_as_module.py │ │ ├── as_module_delayed │ │ │ ├── Makefile │ │ │ ├── fsm_as_module_delayed.py │ │ │ └── test_fsm_as_module_delayed.py │ │ ├── branch │ │ │ ├── Makefile │ │ │ ├── fsm_branch.py │ │ │ └── test_fsm_branch.py │ │ ├── countup_if_then │ │ │ ├── Makefile │ │ │ ├── fsm_countup_if_then.py │ │ │ └── test_fsm_countup_if_then.py │ │ ├── delayed │ │ │ ├── Makefile │ │ │ ├── fsm_delayed.py │ │ │ └── test_fsm_delayed.py │ │ ├── delayed_cond │ │ │ ├── Makefile │ │ │ ├── fsm_delayed_cond.py │ │ │ └── test_fsm_delayed_cond.py │ │ ├── delayed_eager_val │ │ │ ├── Makefile │ │ │ ├── fsm_delayed_eager_val.py │ │ │ └── test_fsm_delayed_eager_val.py │ │ ├── delayed_eager_val_lazy_cond │ │ │ ├── Makefile │ │ │ ├── fsm_delayed_eager_val_lazy_cond.py │ │ │ └── test_fsm_delayed_eager_val_lazy_cond.py │ │ ├── delayed_lazy_cond │ │ │ ├── Makefile │ │ │ ├── fsm_delayed_lazy_cond.py │ │ │ └── test_fsm_delayed_lazy_cond.py │ │ ├── goto_from │ │ │ ├── Makefile │ │ │ ├── fsm_goto_from.py │ │ │ └── test_fsm_goto_from.py │ │ ├── hook │ │ │ ├── Makefile │ │ │ ├── fsm_hook.py │ │ │ └── test_fsm_hook.py │ │ ├── hook_nested │ │ │ ├── Makefile │ │ │ ├── fsm_hook_nested.py │ │ │ └── test_fsm_hook_nested.py │ │ ├── make_case │ │ │ ├── Makefile │ │ │ ├── fsm_make_case.py │ │ │ └── test_fsm_make_case.py │ │ ├── make_if │ │ │ ├── Makefile │ │ │ ├── fsm_make_if.py │ │ │ └── test_fsm_make_if.py │ │ ├── multiple │ │ │ ├── Makefile │ │ │ ├── fsm_multiple.py │ │ │ └── test_fsm_multiple.py │ │ ├── pattern │ │ │ ├── Makefile │ │ │ ├── fsm_pattern.py │ │ │ └── test_fsm_pattern.py │ │ ├── prev │ │ │ ├── Makefile │ │ │ ├── fsm_prev.py │ │ │ └── test_fsm_prev.py │ │ ├── state │ │ │ ├── Makefile │ │ │ ├── fsm_state.py │ │ │ └── test_fsm_state.py │ │ └── tmpfsm │ │ │ ├── Makefile │ │ │ ├── fsm_tmpfsm.py │ │ │ └── test_fsm_tmpfsm.py │ ├── resolver_ │ │ ├── Makefile │ │ ├── bram │ │ │ ├── Makefile │ │ │ ├── resolver_bram.py │ │ │ └── test_resolver_bram.py │ │ ├── div │ │ │ ├── Makefile │ │ │ ├── resolver_div.py │ │ │ └── test_resolver_div.py │ │ ├── for_loop │ │ │ ├── Makefile │ │ │ ├── resolver_for_loop.py │ │ │ └── test_resolver_for_loop.py │ │ ├── nested_module │ │ │ ├── Makefile │ │ │ ├── resolver_nested_module.py │ │ │ └── test_resolver_nested_module.py │ │ └── single_module │ │ │ ├── Makefile │ │ │ ├── resolver_single_module.py │ │ │ └── test_resolver_single_module.py │ ├── seq_ │ │ ├── Makefile │ │ ├── as_module │ │ │ ├── Makefile │ │ │ ├── seq_as_module.py │ │ │ └── test_seq_as_module.py │ │ ├── as_module_array │ │ │ ├── Makefile │ │ │ ├── seq_as_module_array.py │ │ │ └── test_seq_as_module_array.py │ │ ├── compare │ │ │ ├── Makefile │ │ │ ├── seq_compare.py │ │ │ └── test_seq_compare.py │ │ ├── countup │ │ │ ├── Makefile │ │ │ ├── seq_countup.py │ │ │ └── test_seq_countup.py │ │ ├── countup_cat │ │ │ ├── Makefile │ │ │ ├── seq_countup_cat.py │ │ │ └── test_seq_countup_cat.py │ │ ├── countup_if_elif │ │ │ ├── Makefile │ │ │ ├── seq_countup_if_elif.py │ │ │ └── test_seq_countup_if_elif.py │ │ ├── countup_if_elif_delayed │ │ │ ├── Makefile │ │ │ ├── seq_countup_if_elif_delayed.py │ │ │ └── test_seq_countup_if_elif_delayed.py │ │ ├── countup_if_then │ │ │ ├── Makefile │ │ │ ├── seq_countup_if_then.py │ │ │ └── test_seq_countup_if_then.py │ │ ├── countup_keep │ │ │ ├── Makefile │ │ │ ├── seq_countup_keep.py │ │ │ └── test_seq_countup_keep.py │ │ ├── countup_noreset │ │ │ ├── Makefile │ │ │ ├── seq_countup_noreset.py │ │ │ └── test_seq_countup_noreset.py │ │ ├── delayed │ │ │ ├── Makefile │ │ │ ├── seq_delayed.py │ │ │ └── test_seq_delayed.py │ │ ├── delayed_eager_val │ │ │ ├── Makefile │ │ │ ├── seq_delayed_eager_val.py │ │ │ └── test_seq_delayed_eager_val.py │ │ ├── delayed_eager_val_lazy_cond │ │ │ ├── Makefile │ │ │ ├── seq_delayed_eager_val_lazy_cond.py │ │ │ └── test_seq_delayed_eager_val_lazy_cond.py │ │ ├── hook │ │ │ ├── Makefile │ │ │ ├── seq_hook.py │ │ │ └── test_seq_hook.py │ │ ├── hook_nested │ │ │ ├── Makefile │ │ │ ├── seq_hook_nested.py │ │ │ └── test_seq_hook_nested.py │ │ ├── merge │ │ │ ├── Makefile │ │ │ ├── seq_merge.py │ │ │ └── test_seq_merge.py │ │ ├── multiple_add │ │ │ ├── Makefile │ │ │ ├── seq_multiple_add.py │ │ │ └── test_seq_multiple_add.py │ │ ├── prev │ │ │ ├── Makefile │ │ │ ├── seq_prev.py │ │ │ └── test_seq_prev.py │ │ ├── prev_op │ │ │ ├── Makefile │ │ │ ├── seq_prev_op.py │ │ │ └── test_seq_prev_op.py │ │ └── tmpseq │ │ │ ├── Makefile │ │ │ ├── seq_tmpseq.py │ │ │ └── test_seq_tmpseq.py │ ├── stream_ │ │ ├── Makefile │ │ ├── add │ │ │ ├── Makefile │ │ │ ├── stream_add.py │ │ │ └── test_stream_add.py │ │ ├── add_tree │ │ │ ├── Makefile │ │ │ ├── stream_add_tree.py │ │ │ └── test_stream_add_tree.py │ │ ├── add_validready │ │ │ ├── Makefile │ │ │ ├── stream_add_validready.py │ │ │ └── test_stream_add_validready.py │ │ ├── average │ │ │ ├── Makefile │ │ │ ├── stream_average.py │ │ │ └── test_stream_average.py │ │ ├── cast │ │ │ ├── Makefile │ │ │ ├── stream_cast.py │ │ │ └── test_stream_cast.py │ │ ├── div_validready │ │ │ ├── Makefile │ │ │ ├── stream_div_validready.py │ │ │ └── test_stream_div_validready.py │ │ ├── reduceadd │ │ │ ├── Makefile │ │ │ ├── stream_reduceadd.py │ │ │ └── test_stream_reduceadd.py │ │ ├── reduceadd_valid │ │ │ ├── Makefile │ │ │ ├── stream_reduceadd_valid.py │ │ │ └── test_stream_reduceadd_valid.py │ │ ├── reduceadd_validready │ │ │ ├── Makefile │ │ │ ├── stream_reduceadd_validready.py │ │ │ └── test_stream_reduceadd_validready.py │ │ ├── sra_round │ │ │ ├── Makefile │ │ │ ├── stream_sra_round.py │ │ │ └── test_stream_sra_round.py │ │ └── substream │ │ │ ├── Makefile │ │ │ ├── stream_substream.py │ │ │ └── test_stream_substream.py │ ├── thread_ │ │ ├── Makefile │ │ ├── attribute │ │ │ ├── Makefile │ │ │ ├── test_thread_attribute.py │ │ │ └── thread_attribute.py │ │ ├── axi_dma │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma.py │ │ │ └── thread_axi_dma.py │ │ ├── axi_dma_active_low_reset │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_active_low_reset.py │ │ │ └── thread_axi_dma_active_low_reset.py │ │ ├── axi_dma_async │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_async.py │ │ │ └── thread_axi_dma_async.py │ │ ├── axi_dma_async_back2back │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_async_back2back.py │ │ │ └── thread_axi_dma_async_back2back.py │ │ ├── axi_dma_async_delayed_awready │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_async_delayed_awready.py │ │ │ └── thread_axi_dma_async_delayed_awready.py │ │ ├── axi_dma_async_many │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_async_many.py │ │ │ └── thread_axi_dma_async_many.py │ │ ├── axi_dma_global_base_addr │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_global_base_addr.py │ │ │ └── thread_axi_dma_global_base_addr.py │ │ ├── axi_dma_long │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_long.py │ │ │ └── thread_axi_dma_long.py │ │ ├── axi_dma_long_narrow │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_long_narrow.py │ │ │ └── thread_axi_dma_long_narrow.py │ │ ├── axi_dma_long_wide │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_long_wide.py │ │ │ └── thread_axi_dma_long_wide.py │ │ ├── axi_dma_multiram │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_multiram.py │ │ │ └── thread_axi_dma_multiram.py │ │ ├── axi_dma_narrow │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_narrow.py │ │ │ └── thread_axi_dma_narrow.py │ │ ├── axi_dma_sb_depth │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_sb_depth.py │ │ │ └── thread_axi_dma_sb_depth.py │ │ ├── axi_dma_stride │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_stride.py │ │ │ └── thread_axi_dma_stride.py │ │ ├── axi_dma_wide │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_wide.py │ │ │ └── thread_axi_dma_wide.py │ │ ├── axi_dma_wide_unaligned │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_dma_wide_unaligned.py │ │ │ └── thread_axi_dma_wide_unaligned.py │ │ ├── axi_read_write │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_read_write.py │ │ │ └── thread_axi_read_write.py │ │ ├── axi_slave │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_slave.py │ │ │ └── thread_axi_slave.py │ │ ├── axi_slave_lite │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_slave_lite.py │ │ │ └── thread_axi_slave_lite.py │ │ ├── axi_stream │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_stream.py │ │ │ └── thread_axi_stream.py │ │ ├── axi_stream_with_master │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_stream_with_master.py │ │ │ └── thread_axi_stream_with_master.py │ │ ├── axi_stream_with_master_fifo │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_stream_with_master_fifo.py │ │ │ └── thread_axi_stream_with_master_fifo.py │ │ ├── axi_stream_with_master_fifo_narrow │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_stream_with_master_fifo_narrow.py │ │ │ └── thread_axi_stream_with_master_fifo_narrow.py │ │ ├── axi_stream_with_master_fifo_wide │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_stream_with_master_fifo_wide.py │ │ │ └── thread_axi_stream_with_master_fifo_wide.py │ │ ├── axi_stream_with_master_ram │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_stream_with_master_ram.py │ │ │ └── thread_axi_stream_with_master_ram.py │ │ ├── axi_stream_with_master_ram_narrow │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_stream_with_master_ram_narrow.py │ │ │ └── thread_axi_stream_with_master_ram_narrow.py │ │ ├── axi_stream_with_master_ram_wide │ │ │ ├── Makefile │ │ │ ├── test_thread_axi_stream_with_master_ram_wide.py │ │ │ └── thread_axi_stream_with_master_ram_wide.py │ │ ├── barrier │ │ │ ├── Makefile │ │ │ ├── test_thread_barrier.py │ │ │ └── thread_barrier.py │ │ ├── call_from_different_point │ │ │ ├── Makefile │ │ │ ├── test_thread_call_from_different_point.py │ │ │ └── thread_call_from_different_point.py │ │ ├── child_thread │ │ │ ├── Makefile │ │ │ ├── test_thread_child_thread.py │ │ │ └── thread_child_thread.py │ │ ├── embed_thread │ │ │ ├── Makefile │ │ │ ├── test_thread_embed_thread.py │ │ │ └── thread_embed_thread.py │ │ ├── ext_fifo │ │ │ ├── Makefile │ │ │ ├── test_thread_ext_fifo.py │ │ │ └── thread_ext_fifo.py │ │ ├── ext_ram │ │ │ ├── Makefile │ │ │ ├── test_thread_ext_ram.py │ │ │ └── thread_ext_ram.py │ │ ├── extend │ │ │ ├── Makefile │ │ │ ├── test_thread_extend.py │ │ │ └── thread_extend.py │ │ ├── fifo │ │ │ ├── Makefile │ │ │ ├── test_thread_fifo.py │ │ │ └── thread_fifo.py │ │ ├── fifo_multithread │ │ │ ├── Makefile │ │ │ ├── test_thread_fifo_multithread.py │ │ │ └── thread_fifo_multithread.py │ │ ├── fifo_tmp_name │ │ │ ├── Makefile │ │ │ ├── test_thread_fifo_tmp_name.py │ │ │ └── thread_fifo_tmp_name.py │ │ ├── fifo_try │ │ │ ├── Makefile │ │ │ ├── test_thread_fifo_try.py │ │ │ └── thread_fifo_try.py │ │ ├── fixed_const │ │ │ ├── Makefile │ │ │ ├── test_thread_fixed_const.py │ │ │ └── thread_fixed_const.py │ │ ├── fixed_fifo │ │ │ ├── Makefile │ │ │ ├── test_thread_fixed_fifo.py │ │ │ └── thread_fixed_fifo.py │ │ ├── fixed_fifo_try │ │ │ ├── Makefile │ │ │ ├── test_thread_fixed_fifo_try.py │ │ │ └── thread_fixed_fifo_try.py │ │ ├── fixed_ram │ │ │ ├── Makefile │ │ │ ├── test_thread_fixed_ram.py │ │ │ └── thread_fixed_ram.py │ │ ├── fixed_ram_initvals │ │ │ ├── Makefile │ │ │ ├── test_thread_fixed_ram_initvals.py │ │ │ └── thread_fixed_ram_initvals.py │ │ ├── fixed_read │ │ │ ├── Makefile │ │ │ ├── test_thread_fixed_read.py │ │ │ └── thread_fixed_read.py │ │ ├── fixed_tmp_variable │ │ │ ├── Makefile │ │ │ ├── test_thread_fixed_tmp_variable.py │ │ │ └── thread_fixed_tmp_variable.py │ │ ├── fsm_as_module │ │ │ ├── Makefile │ │ │ ├── test_thread_fsm_as_module.py │ │ │ └── thread_fsm_as_module.py │ │ ├── fsm_as_module_mutex │ │ │ ├── Makefile │ │ │ ├── test_thread_fsm_as_module_mutex.py │ │ │ └── thread_fsm_as_module_mutex.py │ │ ├── function │ │ │ ├── Makefile │ │ │ ├── test_thread_function.py │ │ │ └── thread_function.py │ │ ├── global_value │ │ │ ├── Makefile │ │ │ ├── test_thread_global_value.py │ │ │ └── thread_global_value.py │ │ ├── hello │ │ │ ├── Makefile │ │ │ ├── test_thread_hello.py │ │ │ └── thread_hello.py │ │ ├── implicit_function │ │ │ ├── Makefile │ │ │ ├── test_thread_implicit_function.py │ │ │ └── thread_implicit_function.py │ │ ├── intrinsic_embedded_code │ │ │ ├── Makefile │ │ │ ├── test_thread_intrinsic_embedded_code.py │ │ │ └── thread_intrinsic_embedded_code.py │ │ ├── intrinsic_explicit │ │ │ ├── Makefile │ │ │ ├── test_thread_intrinsic_explicit.py │ │ │ └── thread_intrinsic_explicit.py │ │ ├── intrinsic_function │ │ │ ├── Makefile │ │ │ ├── test_thread_intrinsic_function.py │ │ │ └── thread_intrinsic_function.py │ │ ├── intrinsic_method │ │ │ ├── Makefile │ │ │ ├── test_thread_intrinsic_method.py │ │ │ └── thread_intrinsic_method.py │ │ ├── intrinsic_method_prefix │ │ │ ├── Makefile │ │ │ ├── test_thread_intrinsic_method_prefix.py │ │ │ └── thread_intrinsic_method_prefix.py │ │ ├── intrinsic_statement │ │ │ ├── Makefile │ │ │ ├── test_thread_instrinsic_statement.py │ │ │ └── thread_intrinsic_statement.py │ │ ├── intrinsic_verilog │ │ │ ├── Makefile │ │ │ ├── test_thread_intrinsic_verilog.py │ │ │ └── thread_intrinsic_verilog.py │ │ ├── ipxact_axi │ │ │ ├── Makefile │ │ │ ├── test_thread_ipxact_axi.py │ │ │ └── thread_ipxact_axi.py │ │ ├── ipxact_axi_active_low_reset │ │ │ ├── Makefile │ │ │ ├── test_thread_ipxact_axi_active_low_reset.py │ │ │ └── thread_ipxact_axi_active_low_reset.py │ │ ├── lock │ │ │ ├── Makefile │ │ │ ├── test_thread_lock.py │ │ │ └── thread_lock.py │ │ ├── memorymodel │ │ │ ├── Makefile │ │ │ ├── test_thread_memorymodel.py │ │ │ └── thread_memorymodel.py │ │ ├── memorymodel_fast │ │ │ ├── Makefile │ │ │ ├── test_thread_memorymodel_fast.py │ │ │ └── thread_memorymodel_fast.py │ │ ├── memorymodel_readwrite │ │ │ ├── Makefile │ │ │ ├── test_thread_memorymodel_readwrite.py │ │ │ └── thread_memorymodel_readwrite.py │ │ ├── memorymodel_readwrite_narrow │ │ │ ├── Makefile │ │ │ ├── test_thread_memorymodel_readwrite_narrow.py │ │ │ └── thread_memorymodel_readwrite_narrow.py │ │ ├── memorymodel_set_memory │ │ │ ├── Makefile │ │ │ ├── test_thread_memorymodel_set_memory.py │ │ │ └── thread_memorymodel_set_memory.py │ │ ├── memorymodel_set_memory_narrow │ │ │ ├── Makefile │ │ │ ├── test_thread_memorymodel_set_memory_narrow.py │ │ │ └── thread_memorymodel_set_memory_narrow.py │ │ ├── method │ │ │ ├── Makefile │ │ │ ├── test_thread_method.py │ │ │ └── thread_method.py │ │ ├── multibank_nested_ram_dma │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_nested_ram_dma.py │ │ │ └── thread_multibank_nested_ram_dma.py │ │ ├── multibank_nested_ram_dma_block │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_nested_ram_dma_block.py │ │ │ └── thread_multibank_nested_ram_dma_block.py │ │ ├── multibank_nested_ram_dma_block_non_poweroftwo │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_nested_ram_dma_block_non_poweroftwo.py │ │ │ └── thread_multibank_nested_ram_dma_block_non_poweroftwo.py │ │ ├── multibank_ram │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram.py │ │ │ └── thread_multibank_ram.py │ │ ├── multibank_ram_bank │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_bank.py │ │ │ └── thread_multibank_ram_bank.py │ │ ├── multibank_ram_dma │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma.py │ │ │ └── thread_multibank_ram_dma.py │ │ ├── multibank_ram_dma_bank │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma_bank.py │ │ │ └── thread_multibank_ram_dma_bank.py │ │ ├── multibank_ram_dma_bcast │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma_bcast.py │ │ │ └── thread_multibank_ram_dma_bcast.py │ │ ├── multibank_ram_dma_block │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma_block.py │ │ │ └── thread_multibank_ram_dma_block.py │ │ ├── multibank_ram_dma_block_non_poweroftwo │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma_block_non_poweroftwo.py │ │ │ └── thread_multibank_ram_dma_block_non_poweroftwo.py │ │ ├── multibank_ram_dma_narrow │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma_narrow.py │ │ │ └── thread_multibank_ram_dma_narrow.py │ │ ├── multibank_ram_dma_packed │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma_packed.py │ │ │ └── thread_multibank_ram_dma_packed.py │ │ ├── multibank_ram_dma_packed_long │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma_packed_long.py │ │ │ └── thread_multibank_ram_dma_packed_long.py │ │ ├── multibank_ram_dma_packed_narrow │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma_packed_narrow.py │ │ │ └── thread_multibank_ram_dma_packed_narrow.py │ │ ├── multibank_ram_dma_packed_wide │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma_packed_wide.py │ │ │ └── thread_multibank_ram_dma_packed_wide.py │ │ ├── multibank_ram_dma_wide │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_dma_wide.py │ │ │ └── thread_multibank_ram_dma_wide.py │ │ ├── multibank_ram_rtl_connect │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_rtl_connect.py │ │ │ └── thread_multibank_ram_rtl_connect.py │ │ ├── multibank_ram_style │ │ │ ├── Makefile │ │ │ ├── test_thread_multibank_ram_style.py │ │ │ └── thread_multibank_ram_style.py │ │ ├── multiport_memorymodel │ │ │ ├── Makefile │ │ │ ├── test_thread_multiport_memorymodel.py │ │ │ └── thread_multiport_memorymodel.py │ │ ├── multiport_memorymodel_split_read_write │ │ │ ├── Makefile │ │ │ ├── test_thread_multiport_memorymodel_split_read_write.py │ │ │ └── thread_multiport_memorymodel_split_read_write.py │ │ ├── multithread │ │ │ ├── Makefile │ │ │ ├── test_thread_multithread.py │ │ │ └── thread_multithread.py │ │ ├── multithread_run │ │ │ ├── Makefile │ │ │ ├── test_thread_multithread_run.py │ │ │ └── thread_multithread_run.py │ │ ├── mutex │ │ │ ├── Makefile │ │ │ ├── test_thread_mutex.py │ │ │ └── thread_mutex.py │ │ ├── mutex_ram │ │ │ ├── Makefile │ │ │ ├── test_thread_mutex_ram.py │ │ │ └── thread_mutex_ram.py │ │ ├── mutex_shared │ │ │ ├── Makefile │ │ │ ├── test_thread_mutex_shared.py │ │ │ └── thread_mutex_shared.py │ │ ├── mutex_shared_own │ │ │ ├── Makefile │ │ │ ├── test_thread_mutex_shared_own.py │ │ │ └── thread_mutex_shared_own.py │ │ ├── mutex_try_lock │ │ │ ├── Makefile │ │ │ ├── test_thread_mutex_try_lock.py │ │ │ └── thread_mutex_try_lock.py │ │ ├── parallel │ │ │ ├── Makefile │ │ │ ├── test_thread_parallel.py │ │ │ └── thread_parallel.py │ │ ├── print_fstrings │ │ │ ├── Makefile │ │ │ ├── test_thread_print_fstrings.py │ │ │ └── thread_print_fstrings.py │ │ ├── property │ │ │ ├── Makefile │ │ │ ├── test_thread_property.py │ │ │ └── thread_property.py │ │ ├── ram │ │ │ ├── Makefile │ │ │ ├── test_thread_ram.py │ │ │ └── thread_ram.py │ │ ├── ram_copy │ │ │ ├── Makefile │ │ │ ├── test_thread_ram_copy.py │ │ │ └── thread_ram_copy.py │ │ ├── ram_copy_pattern │ │ │ ├── Makefile │ │ │ ├── test_thread_ram_copy_pattern.py │ │ │ └── thread_ram_copy_pattern.py │ │ ├── ram_initvals │ │ │ ├── Makefile │ │ │ ├── test_thread_ram_initvals.py │ │ │ └── thread_ram_initvals.py │ │ ├── ram_initvals_nocheck │ │ │ ├── Makefile │ │ │ ├── test_thread_ram_initvals_nocheck.py │ │ │ └── thread_ram_initvals_nocheck.py │ │ ├── ram_own_mutex │ │ │ ├── Makefile │ │ │ ├── test_thread_ram_own_mutex.py │ │ │ └── thread_ram_own_mutex.py │ │ ├── ram_rtl │ │ │ ├── Makefile │ │ │ ├── test_thread_ram_rtl.py │ │ │ └── thread_ram_rtl.py │ │ ├── ram_rtl_connect │ │ │ ├── Makefile │ │ │ ├── test_thread_ram_rtl_connect.py │ │ │ └── thread_ram_rtl_connect.py │ │ ├── ram_style │ │ │ ├── Makefile │ │ │ ├── test_thread_ram_style.py │ │ │ └── thread_ram_style.py │ │ ├── ram_tmp_name │ │ │ ├── Makefile │ │ │ ├── test_thread_ram_tmp_name.py │ │ │ └── thread_ram_tmp_name.py │ │ ├── read │ │ │ ├── Makefile │ │ │ ├── test_thread_read.py │ │ │ └── thread_read.py │ │ ├── reset │ │ │ ├── Makefile │ │ │ ├── test_thread_reset.py │ │ │ └── thread_reset.py │ │ ├── select_thread │ │ │ ├── Makefile │ │ │ ├── test_thread_select_thread.py │ │ │ └── thread_select_thread.py │ │ ├── slice │ │ │ ├── Makefile │ │ │ ├── test_thread_slice.py │ │ │ └── thread_slice.py │ │ ├── sra │ │ │ ├── Makefile │ │ │ ├── test_thread_sra.py │ │ │ └── thread_sra.py │ │ ├── stream │ │ │ ├── Makefile │ │ │ ├── test_thread_stream.py │ │ │ └── thread_stream.py │ │ ├── stream_add_n │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_add_n.py │ │ │ └── thread_stream_add_n.py │ │ ├── stream_alias │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_alias.py │ │ │ └── thread_stream_alias.py │ │ ├── stream_alias_implicit │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_alias_implicit.py │ │ │ └── thread_stream_alias_implicit.py │ │ ├── stream_axi_stream │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_axi_stream.py │ │ │ └── thread_stream_axi_stream.py │ │ ├── stream_axi_stream_async │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_axi_stream_async.py │ │ │ └── thread_stream_axi_stream_async.py │ │ ├── stream_cast │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_cast.py │ │ │ └── thread_stream_cast.py │ │ ├── stream_conv1d │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_conv1d.py │ │ │ └── thread_stream_conv1d.py │ │ ├── stream_counter │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_counter.py │ │ │ └── thread_stream_counter.py │ │ ├── stream_counter_enable │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_counter_enable.py │ │ │ └── thread_stream_counter_enable.py │ │ ├── stream_counter_initval_variable │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_counter_initval_variable.py │ │ │ └── thread_stream_counter_initval_variable.py │ │ ├── stream_counter_initval_variable_offset │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_counter_initval_variable_offset.py │ │ │ └── thread_stream_counter_initval_variable_offset.py │ │ ├── stream_counter_offset │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_counter_offset.py │ │ │ └── thread_stream_counter_offset.py │ │ ├── stream_counter_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_counter_stall.py │ │ │ └── thread_stream_counter_stall.py │ │ ├── stream_countervalid │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_countervalid.py │ │ │ └── thread_stream_countervalid.py │ │ ├── stream_custom_counter │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_custom_counter.py │ │ │ └── thread_stream_custom_counter.py │ │ ├── stream_div │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_div.py │ │ │ └── thread_stream_div.py │ │ ├── stream_div_multicycle │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_div_multicycle.py │ │ │ └── thread_stream_div_multicycle.py │ │ ├── stream_dump │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_dump.py │ │ │ └── thread_stream_dump.py │ │ ├── stream_dump_mask │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_dump_mask.py │ │ │ └── thread_stream_dump_mask.py │ │ ├── stream_dump_selective │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_dump_selective.py │ │ │ └── thread_stream_dump_selective.py │ │ ├── stream_dump_unused │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_dump_unused.py │ │ │ └── thread_stream_dump_unused.py │ │ ├── stream_empty │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_empty.py │ │ │ └── thread_stream_empty.py │ │ ├── stream_extern │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_extern.py │ │ │ └── thread_stream_extern.py │ │ ├── stream_fifo │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_fifo.py │ │ │ └── thread_stream_fifo.py │ │ ├── stream_fifo_dump │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_fifo_dump.py │ │ │ └── thread_stream_fifo_dump.py │ │ ├── stream_fifo_multistream │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_fifo_multistream.py │ │ │ └── thread_stream_fifo_multistream.py │ │ ├── stream_fifo_narrow │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_fifo_narrow.py │ │ │ └── thread_stream_fifo_narrow.py │ │ ├── stream_fifo_wide │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_fifo_wide.py │ │ │ └── thread_stream_fifo_wide.py │ │ ├── stream_fixed │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_fixed.py │ │ │ └── thread_stream_fixed.py │ │ ├── stream_fixed_different_point │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_fixed_different_point.py │ │ │ └── thread_stream_fixed_different_point.py │ │ ├── stream_fixed_neg_point │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_fixed_neg_point.py │ │ │ └── thread_stream_fixed_neg_point.py │ │ ├── stream_fsm_as_module │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_fsm_as_module.py │ │ │ └── thread_stream_fsm_as_module.py │ │ ├── stream_generator │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_generator.py │ │ │ └── thread_stream_generator.py │ │ ├── stream_generator_multicase │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_generator_multicase.py │ │ │ └── thread_stream_generator_multicase.py │ │ ├── stream_generator_multicase_multicall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_generator_multicase_multicall.py │ │ │ └── thread_stream_generator_multicase_multicall.py │ │ ├── stream_generator_multidim │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_generator_multidim.py │ │ │ └── thread_stream_generator_multidim.py │ │ ├── stream_graph_ringbuffer_multi │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_graph_ringbuffer_multi.py │ │ │ └── thread_stream_graph_ringbuffer_multi.py │ │ ├── stream_graph_scratchpad_multi │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_graph_scratchpad_multi.py │ │ │ └── thread_stream_graph_scratchpad_multi.py │ │ ├── stream_graph_substream │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_graph_substream.py │ │ │ └── thread_stream_graph_substream.py │ │ ├── stream_infinite │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_infinite.py │ │ │ └── thread_stream_infinite.py │ │ ├── stream_len1 │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_len1.py │ │ │ └── thread_stream_len1.py │ │ ├── stream_len1_multicall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_len1_multicall.py │ │ │ └── thread_stream_len1_multicall.py │ │ ├── stream_linebuffer2d │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_linebuffer2d.py │ │ │ └── thread_stream_linebuffer2d.py │ │ ├── stream_linebuffer2d_2 │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_linebuffer2d_2.py │ │ │ └── thread_stream_linebuffer2d_2.py │ │ ├── stream_linebuffer2d_2_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_linebuffer2d_2_stall.py │ │ │ └── thread_stream_linebuffer2d_2_stall.py │ │ ├── stream_linebuffer2d_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_linebuffer2d_stall.py │ │ │ └── thread_stream_linebuffer2d_stall.py │ │ ├── stream_linebuffer3d │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_linebuffer3d.py │ │ │ └── thread_stream_linebuffer3d.py │ │ ├── stream_linebuffer3d_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_linebuffer3d_stall.py │ │ │ └── thread_stream_linebuffer3d_stall.py │ │ ├── stream_mod │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_mod.py │ │ │ └── thread_stream_mod.py │ │ ├── stream_mul │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_mul.py │ │ │ └── thread_stream_mul.py │ │ ├── stream_mul_semi_signed │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_mul_semi_signed.py │ │ │ └── thread_stream_mul_semi_signed.py │ │ ├── stream_muladd │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_muladd.py │ │ │ └── thread_stream_muladd.py │ │ ├── stream_multibank │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_multibank.py │ │ │ └── thread_stream_multibank.py │ │ ├── stream_multibank_nested │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_multibank_nested.py │ │ │ └── thread_stream_multibank_nested.py │ │ ├── stream_multicall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_multicall.py │ │ │ └── thread_stream_multicall.py │ │ ├── stream_multidim │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_multidim.py │ │ │ └── thread_stream_multidim.py │ │ ├── stream_multipattern │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_multipattern.py │ │ │ └── thread_stream_multipattern.py │ │ ├── stream_multipattern_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_multipattern_stall.py │ │ │ └── thread_stream_multipattern_stall.py │ │ ├── stream_narrow │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_narrow.py │ │ │ └── thread_stream_narrow.py │ │ ├── stream_no_sink_size │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_no_sink_size.py │ │ │ └── thread_stream_no_sink_size.py │ │ ├── stream_parameter │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_parameter.py │ │ │ └── thread_stream_parameter.py │ │ ├── stream_parameter_source_join │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_parameter_source_join.py │ │ │ └── thread_stream_parameter_source_join.py │ │ ├── stream_pattern │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_pattern.py │ │ │ └── thread_stream_pattern.py │ │ ├── stream_pattern_convolv2d │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_pattern_convolv2d.py │ │ │ └── thread_stream_pattern_convolv2d.py │ │ ├── stream_pattern_len1 │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_pattern_len1.py │ │ │ └── thread_stream_pattern_len1.py │ │ ├── stream_pattern_source_join │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_pattern_source_join.py │ │ │ └── thread_stream_pattern_source_join.py │ │ ├── stream_pattern_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_pattern_stall.py │ │ │ └── thread_stream_pattern_stall.py │ │ ├── stream_pattern_zero │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_pattern_zero.py │ │ │ └── thread_stream_pattern_zero.py │ │ ├── stream_predicate │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_predicate.py │ │ │ └── thread_stream_predicate.py │ │ ├── stream_producer_consumer │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_producer_consumer.py │ │ │ └── thread_stream_producer_consumer.py │ │ ├── stream_ram_external_ports │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_ram_external_ports.py │ │ │ └── thread_stream_ram_external_ports.py │ │ ├── stream_rand │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_rand.py │ │ │ └── thread_stream_rand.py │ │ ├── stream_rand_xorshift │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_rand_xorshift.py │ │ │ └── thread_stream_rand_xorshift.py │ │ ├── stream_read_fifo │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_read_fifo.py │ │ │ └── thread_stream_read_fifo.py │ │ ├── stream_read_fifo_when │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_read_fifo_when.py │ │ │ └── thread_stream_read_fifo_when.py │ │ ├── stream_read_modify_write │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_read_modify_write.py │ │ │ └── thread_stream_read_modify_write.py │ │ ├── stream_read_modify_write_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_read_modify_write_stall.py │ │ │ └── thread_stream_read_modify_write_stall.py │ │ ├── stream_read_ram │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_read_ram.py │ │ │ └── thread_stream_read_ram.py │ │ ├── stream_read_ram_counter │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_read_ram_counter.py │ │ │ └── thread_stream_read_ram_counter.py │ │ ├── stream_read_ram_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_read_ram_stall.py │ │ │ └── thread_stream_read_ram_stall.py │ │ ├── stream_read_ram_when │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_read_ram_when.py │ │ │ └── thread_stream_read_ram_when.py │ │ ├── stream_reduce │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reduce.py │ │ │ └── thread_stream_reduce.py │ │ ├── stream_reduce_arg_max │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reduce_arg_max.py │ │ │ └── thread_stream_reduce_arg_max.py │ │ ├── stream_reduce_div │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reduce_div.py │ │ │ └── thread_stream_reduce_div.py │ │ ├── stream_reduce_initiation_interval │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reduce_initiation_interval.py │ │ │ └── thread_stream_reduce_initiation_interval.py │ │ ├── stream_reduce_max │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reduce_max.py │ │ │ └── thread_stream_reduce_max.py │ │ ├── stream_reduce_mul │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reduce_mul.py │ │ │ └── thread_stream_reduce_mul.py │ │ ├── stream_reduce_source_join │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reduce_source_join.py │ │ │ └── thread_stream_reduce_source_join.py │ │ ├── stream_reduce_source_join_and_run │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reduce_source_join_and_run.py │ │ │ └── thread_stream_reduce_source_join_and_run.py │ │ ├── stream_reduce_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reduce_stall.py │ │ │ └── thread_stream_reduce_stall.py │ │ ├── stream_reg │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reg.py │ │ │ └── thread_stream_reg.py │ │ ├── stream_reinterpret_cast │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_reinterpret_cast.py │ │ │ └── thread_stream_reinterpret_cast.py │ │ ├── stream_ringbuffer │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_ringbuffer.py │ │ │ └── thread_stream_ringbuffer.py │ │ ├── stream_ringbuffer_multi │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_ringbuffer_multi.py │ │ │ └── thread_stream_ringbuffer_multi.py │ │ ├── stream_ringbuffer_reuse │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_ringbuffer_reuse.py │ │ │ └── thread_stream_ringbuffer_reuse.py │ │ ├── stream_ringbuffer_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_ringbuffer_stall.py │ │ │ └── thread_stream_ringbuffer_stall.py │ │ ├── stream_scratchpad │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_scratchpad.py │ │ │ └── thread_stream_scratchpad.py │ │ ├── stream_scratchpad_chain │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_scratchpad_chain.py │ │ │ └── thread_stream_scratchpad_chain.py │ │ ├── stream_scratchpad_multiread │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_scratchpad_multiread.py │ │ │ └── thread_stream_scratchpad_multiread.py │ │ ├── stream_scratchpad_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_scratchpad_stall.py │ │ │ └── thread_stream_scratchpad_stall.py │ │ ├── stream_scratchpad_when │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_scratchpad_when.py │ │ │ └── thread_stream_scratchpad_when.py │ │ ├── stream_sink_fifo │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_sink_fifo.py │ │ │ └── thread_stream_sink_fifo.py │ │ ├── stream_source_fifo │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_source_fifo.py │ │ │ └── thread_stream_source_fifo.py │ │ ├── stream_source_join │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_source_join.py │ │ │ └── thread_stream_source_join.py │ │ ├── stream_source_join_and_run │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_source_join_and_run.py │ │ │ └── thread_stream_source_join_and_run.py │ │ ├── stream_split │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_split.py │ │ │ └── thread_stream_split.py │ │ ├── stream_split_residue │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_split_residue.py │ │ │ └── thread_stream_split_residue.py │ │ ├── stream_split_reverse │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_split_reverse.py │ │ │ └── thread_stream_split_reverse.py │ │ ├── stream_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_stall.py │ │ │ └── thread_stream_stall.py │ │ ├── stream_stride │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_stride.py │ │ │ └── thread_stream_stride.py │ │ ├── stream_substream │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream.py │ │ │ └── thread_stream_substream.py │ │ ├── stream_substream_dump │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_dump.py │ │ │ └── thread_stream_substream_dump.py │ │ ├── stream_substream_multicall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_multicall.py │ │ │ └── thread_stream_substream_multicall.py │ │ ├── stream_substream_multicycle │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_multicycle.py │ │ │ └── thread_stream_substream_multicycle.py │ │ ├── stream_substream_multicycle2 │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_multicycle2.py │ │ │ └── thread_stream_substream_multicycle2.py │ │ ├── stream_substream_multicycle_read_write_ram │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_multicycle_read_modify_write.py │ │ │ └── thread_stream_substream_multicycle_read_modify_write.py │ │ ├── stream_substream_multicycle_reduce │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_multicycle_reduce.py │ │ │ └── thread_stream_substream_multicycle_reduce.py │ │ ├── stream_substream_nested_reduce │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_nested_reduce.py │ │ │ └── thread_stream_substream_nested_reduce.py │ │ ├── stream_substream_nested_reduce2 │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_nested_reduce2.py │ │ │ └── thread_stream_substream_nested_reduce2.py │ │ ├── stream_substream_nested_reduce3 │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_nested_reduce3.py │ │ │ └── thread_stream_substream_nested_reduce3.py │ │ ├── stream_substream_reduce │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_reduce.py │ │ │ └── thread_stream_substream_reduce.py │ │ ├── stream_substream_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_stall.py │ │ │ └── thread_stream_substream_stall.py │ │ ├── stream_substream_unbalance │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_substream_unblance.py │ │ │ └── thread_stream_substream_unbalance.py │ │ ├── stream_sync │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_sync.py │ │ │ └── thread_stream_sync.py │ │ ├── stream_terminate │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_terminate.py │ │ │ └── thread_stream_terminate.py │ │ ├── stream_terminate_search │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_terminate_search.py │ │ │ └── thread_stream_terminate_search.py │ │ ├── stream_terminate_search_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_terminate_search_stall.py │ │ │ └── thread_stream_terminate_search_stall.py │ │ ├── stream_terminate_source_join_and_run │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_terminate_source_join_and_run.py │ │ │ └── thread_stream_terminate_source_join_and_run.py │ │ ├── stream_terminate_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_terminate_stall.py │ │ │ └── thread_stream_terminate_stall.py │ │ ├── stream_transpose │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_transpose.py │ │ │ └── thread_stream_transpose.py │ │ ├── stream_variable_latency │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_variable_latency.py │ │ │ └── thread_stream_variable_latency.py │ │ ├── stream_write_fifo │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_write_fifo.py │ │ │ └── thread_stream_write_fifo.py │ │ ├── stream_write_fifo_when │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_write_fifo_when.py │ │ │ └── thread_stream_write_fifo_when.py │ │ ├── stream_write_ram │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_write_ram.py │ │ │ └── thread_stream_write_ram.py │ │ ├── stream_write_ram_dump │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_write_ram_dump.py │ │ │ └── thread_stream_write_ram_dump.py │ │ ├── stream_write_ram_stall │ │ │ ├── Makefile │ │ │ ├── test_thread_stream_write_ram_stall.py │ │ │ └── thread_stream_write_ram_stall.py │ │ ├── thread_pool │ │ │ ├── Makefile │ │ │ ├── test_thread_thread_pool.py │ │ │ └── thread_thread_pool.py │ │ ├── to_multibank_ram │ │ │ ├── Makefile │ │ │ ├── test_thread_to_multibank_ram.py │ │ │ └── thread_to_multibank_ram.py │ │ ├── to_thread_pool │ │ │ ├── Makefile │ │ │ ├── test_thread_to_thread_pool.py │ │ │ └── thread_to_thread_pool.py │ │ ├── uart │ │ │ ├── Makefile │ │ │ ├── test_thread_uart.py │ │ │ └── thread_uart.py │ │ └── write │ │ │ ├── Makefile │ │ │ ├── test_thread_write.py │ │ │ └── thread_write.py │ └── types_ │ │ ├── Makefile │ │ ├── axi_ │ │ ├── Makefile │ │ ├── memory_model_read │ │ │ ├── Makefile │ │ │ ├── test_types_memory_model_read.py │ │ │ └── types_memory_model_read.py │ │ ├── memory_model_write │ │ │ ├── Makefile │ │ │ ├── test_types_memory_model_write.py │ │ │ └── types_memory_model_write.py │ │ ├── read │ │ │ ├── Makefile │ │ │ ├── test_types_axi_read.py │ │ │ └── types_axi_read.py │ │ ├── read_lite │ │ │ ├── Makefile │ │ │ ├── test_types_axi_read_lite.py │ │ │ └── types_axi_read_lite.py │ │ ├── slave_read │ │ │ ├── Makefile │ │ │ ├── test_types_axi_slave_read.py │ │ │ └── types_axi_slave_read.py │ │ ├── slave_read_lite │ │ │ ├── Makefile │ │ │ ├── test_types_axi_slave_read_lite.py │ │ │ └── types_axi_slave_read_lite.py │ │ ├── slave_readwrite │ │ │ ├── Makefile │ │ │ ├── test_types_axi_slave_readwrite.py │ │ │ └── types_axi_slave_readwrite.py │ │ ├── slave_readwrite_lite │ │ │ ├── Makefile │ │ │ ├── test_types_axi_slave_readwrite_lite.py │ │ │ └── types_axi_slave_readwrite_lite.py │ │ ├── slave_readwrite_lite_simultaneous │ │ │ ├── Makefile │ │ │ ├── test_types_axi_slave_readwrite_lite_simultaneous.py │ │ │ └── types_axi_slave_readwrite_lite_simultaneous.py │ │ ├── slave_readwrite_simultaneous │ │ │ ├── Makefile │ │ │ ├── test_types_axi_slave_readwrite_simultaneous.py │ │ │ └── types_axi_slave_readwrite_simultaneous.py │ │ ├── slave_write │ │ │ ├── Makefile │ │ │ ├── test_types_axi_slave_write.py │ │ │ └── types_axi_slave_write.py │ │ ├── slave_write_lite │ │ │ ├── Makefile │ │ │ ├── test_types_axi_slave_write_lite.py │ │ │ └── types_axi_slave_write_lite.py │ │ ├── write │ │ │ ├── Makefile │ │ │ ├── test_types_axi_write.py │ │ │ └── types_axi_write.py │ │ └── write_lite │ │ │ ├── Makefile │ │ │ ├── test_types_axi_write_lite.py │ │ │ └── types_axi_write_lite.py │ │ ├── fixed_ │ │ ├── Makefile │ │ ├── add │ │ │ ├── Makefile │ │ │ ├── test_types_fixed_add.py │ │ │ └── types_fixed_add.py │ │ ├── div │ │ │ ├── Makefile │ │ │ ├── test_types_fixed_div.py │ │ │ └── types_fixed_div.py │ │ └── mul │ │ │ ├── Makefile │ │ │ ├── test_types_fixed_mul.py │ │ │ └── types_fixed_mul.py │ │ ├── ipxact_ │ │ ├── Makefile │ │ ├── master │ │ │ ├── Makefile │ │ │ ├── test_types_ipxact_master.py │ │ │ └── types_ipxact_master.py │ │ └── slave_lite │ │ │ ├── Makefile │ │ │ ├── test_types_ipxact_slave_lite.py │ │ │ └── types_ipxact_slave_lite.py │ │ ├── mul_ │ │ ├── Makefile │ │ ├── with_all │ │ │ ├── Makefile │ │ │ ├── test_types_mul_with_all.py │ │ │ └── types_mul_with_all.py │ │ ├── with_enable_valid │ │ │ ├── Makefile │ │ │ ├── test_types_mul_with_enable_valid.py │ │ │ └── types_mul_with_enable_valid.py │ │ └── without_all │ │ │ ├── Makefile │ │ │ ├── test_types_mul_without_all.py │ │ │ └── types_mul_without_all.py │ │ ├── ram_ │ │ ├── Makefile │ │ ├── async │ │ │ ├── Makefile │ │ │ ├── test_types_ram_async.py │ │ │ └── types_ram_async.py │ │ ├── sync │ │ │ ├── Makefile │ │ │ ├── test_types_ram_sync.py │ │ │ └── types_ram_sync.py │ │ └── sync_with_enable │ │ │ ├── Makefile │ │ │ ├── test_types_ram_sync_with_enable.py │ │ │ └── types_ram_sync_with_enable.py │ │ ├── rom_ │ │ ├── Makefile │ │ ├── async │ │ │ ├── Makefile │ │ │ ├── test_types_lut_async.py │ │ │ └── types_rom_async.py │ │ ├── sync │ │ │ ├── Makefile │ │ │ ├── test_types_rom_sync.py │ │ │ └── types_rom_sync.py │ │ └── sync_enable │ │ │ ├── Makefile │ │ │ ├── test_types_rom_sync_enable.py │ │ │ └── types_rom_sync_enable.py │ │ └── saturate_ │ │ ├── Makefile │ │ ├── add │ │ ├── Makefile │ │ ├── test_types_saturate_add.py │ │ └── types_saturate_add.py │ │ └── sub │ │ ├── Makefile │ │ ├── test_types_saturate_sub.py │ │ └── types_saturate_sub.py ├── simulation │ ├── Makefile │ ├── simulator │ │ ├── Makefile │ │ ├── iverilog │ │ │ ├── Makefile │ │ │ ├── simulation_simulator_iverilog.py │ │ │ └── test_simulation_simulator_iverilog.py │ │ ├── libdir │ │ │ ├── Makefile │ │ │ ├── simulation_simulator_libdir.py │ │ │ ├── subdir │ │ │ │ └── mymod.v │ │ │ └── test_simulation_simulator_libdir.py │ │ ├── vcs │ │ │ ├── Makefile │ │ │ ├── simulation_simulator_vcs.py │ │ │ └── test_simulation_simulator_vcs.py │ │ └── verilator │ │ │ ├── Makefile │ │ │ ├── simulation_simulator_verilator.py │ │ │ └── test_simulation_simulator_verilator.py │ └── testbench │ │ ├── Makefile │ │ ├── simulation_testbench.py │ │ └── test_simulation_testbench.py └── verilog │ ├── Makefile │ └── from_verilog_ │ ├── Makefile │ ├── branchpredunit │ ├── Makefile │ ├── branch.v │ ├── from_verilog_branchpredunit.py │ └── test_from_verilog_branchpredunit.py │ ├── module │ ├── Makefile │ ├── from_verilog_module.py │ ├── led.v │ └── test_from_verilog_module.py │ ├── module_generate │ ├── Makefile │ ├── from_verilog_module_generate.py │ ├── led.v │ └── test_from_verilog_module_generate.py │ ├── module_initial │ ├── Makefile │ ├── from_verilog_module_initial.py │ ├── led.v │ └── test_from_verilog_module_initial.py │ ├── module_modify │ ├── Makefile │ ├── from_verilog_module_modify.py │ ├── led.v │ └── test_from_verilog_module_modify.py │ ├── module_oldstylecode │ ├── Makefile │ ├── from_verilog_module_oldstylecode.py │ ├── led.v │ └── test_from_verilog_module_oldstylecode.py │ ├── module_str │ ├── Makefile │ ├── from_verilog_module_str.py │ └── test_from_verilog_module_str.py │ ├── pycoram_ctrl_thread │ ├── Makefile │ ├── ctrl_thread.v │ ├── from_verilog_pycoram_ctrl_thread.py │ └── test_from_verilog_pycoram_ctrl_thread.py │ ├── pycoram_object │ ├── Makefile │ ├── from_verilog_pycoram_object.py │ ├── pycoram.v │ ├── test_from_verilog_pycoram_object.py │ └── userlogic.v │ ├── sensitiveall │ ├── Makefile │ ├── from_verilog_sensitiveall.py │ ├── led.v │ └── test_from_verilog_sensitiveall.py │ ├── stub_module │ ├── Makefile │ ├── from_verilog_stub_module.py │ ├── led.v │ └── test_from_verilog_stub_module.py │ └── stub_module_str │ ├── Makefile │ ├── from_verilog_stub_module_str.py │ └── test_from_verilog_stub_module_str.py ├── tests_obsolete ├── Makefile └── extension │ ├── Makefile │ ├── dataflow_ │ ├── Makefile │ ├── _abs │ │ ├── Makefile │ │ ├── dataflow__abs.py │ │ └── test_dataflow__abs.py │ ├── _iter │ │ ├── Makefile │ │ ├── dataflow__iter.py │ │ └── test_dataflow__iter.py │ ├── _slice │ │ ├── Makefile │ │ ├── dataflow__slice.py │ │ └── test_dataflow__slice.py │ ├── add │ │ ├── Makefile │ │ ├── dataflow_add.py │ │ └── test_dataflow_add.py │ ├── add_nocontrol │ │ ├── Makefile │ │ ├── dataflow_add_nocontrol.py │ │ └── test_dataflow_add_nocontrol.py │ ├── add_nostall │ │ ├── Makefile │ │ ├── dataflow_add_nostall.py │ │ └── test_dataflow_add_nostall.py │ ├── add_signed │ │ ├── Makefile │ │ ├── dataflow_add_signed.py │ │ └── test_dataflow_add_signed.py │ ├── alias │ │ ├── Makefile │ │ ├── dataflow_alias.py │ │ └── test_dataflow_alias.py │ ├── average │ │ ├── Makefile │ │ ├── dataflow_average.py │ │ └── test_dataflow_average.py │ ├── connect │ │ ├── Makefile │ │ ├── dataflow_connect.py │ │ └── test_dataflow_connect.py │ ├── counter │ │ ├── Makefile │ │ ├── dataflow_counter.py │ │ └── test_dataflow_counter.py │ ├── counter_enable │ │ ├── Makefile │ │ ├── dataflow_counter_enable.py │ │ └── test_dataflow_counter_enable.py │ ├── counter_reset │ │ ├── Makefile │ │ ├── dataflow_counter_reset.py │ │ └── test_dataflow_counter_reset.py │ ├── custom │ │ ├── Makefile │ │ ├── dataflow_custom.py │ │ └── test_dataflow_custom.py │ ├── div │ │ ├── Makefile │ │ ├── dataflow_div.py │ │ └── test_dataflow_div.py │ ├── div_signed │ │ ├── Makefile │ │ ├── dataflow_div_signed.py │ │ └── test_dataflow_div_signed.py │ ├── fixed_add │ │ ├── Makefile │ │ ├── dataflow_fixed_add.py │ │ └── test_dataflow_fixed_add.py │ ├── fixed_add_shift │ │ ├── Makefile │ │ ├── dataflow_fixed_add_shift.py │ │ └── test_dataflow_fixed_add_shift.py │ ├── fixed_add_shift_signed │ │ ├── Makefile │ │ ├── dataflow_fixed_add_shift_signed.py │ │ └── test_dataflow_fixed_add_shift_signed.py │ ├── fixed_mul │ │ ├── Makefile │ │ ├── dataflow_fixed_mul.py │ │ └── test_dataflow_fixed_mul.py │ ├── fixed_mul_shift │ │ ├── Makefile │ │ ├── dataflow_fixed_mul_shift.py │ │ └── test_dataflow_fixed_mul_shift.py │ ├── fixed_mul_shift_signed │ │ ├── Makefile │ │ ├── dataflow_fixed_mul_shift_signed.py │ │ └── test_dataflow_fixed_mul_shift_signed.py │ ├── getio │ │ ├── Makefile │ │ ├── dataflow_getio.py │ │ └── test_dataflow_getio.py │ ├── graph_add │ │ ├── Makefile │ │ ├── dataflow_graph_add.py │ │ └── test_dataflow_graph_add.py │ ├── graph_average │ │ ├── Makefile │ │ ├── dataflow_graph_average.py │ │ └── test_dataflow_graph_average.py │ ├── graph_pass │ │ ├── Makefile │ │ ├── dataflow_graph_pass.py │ │ └── test_dataflow_graph_pass.py │ ├── implement_add │ │ ├── Makefile │ │ ├── dataflow_implement_add.py │ │ └── test_dataflow_implement_add.py │ ├── implement_embedded │ │ ├── Makefile │ │ ├── dataflow_implement_embedded.py │ │ └── test_dataflow_implement_embedded.py │ ├── inc │ │ ├── Makefile │ │ ├── dataflow_inc.py │ │ └── test_dataflow_inc.py │ ├── loop │ │ ├── Makefile │ │ ├── dataflow_loop.py │ │ └── test_dataflow_loop.py │ ├── lut │ │ ├── Makefile │ │ ├── dataflow_lut.py │ │ └── test_dataflow_lut.py │ ├── mac │ │ ├── Makefile │ │ ├── dataflow_mac.py │ │ └── test_dataflow_mac.py │ ├── manager │ │ ├── Makefile │ │ ├── dataflow_manager.py │ │ └── test_dataflow_manager.py │ ├── manager_readwrite │ │ ├── Makefile │ │ ├── dataflow_manager_readwrite.py │ │ └── test_dataflow_manager_readwrite.py │ ├── mod │ │ ├── Makefile │ │ ├── dataflow_mod.py │ │ └── test_dataflow_mod.py │ ├── mul │ │ ├── Makefile │ │ ├── dataflow_mul.py │ │ └── test_dataflow_mul.py │ ├── mul_signed │ │ ├── Makefile │ │ ├── dataflow_mul_signed.py │ │ └── test_dataflow_mul_signed.py │ ├── muladd │ │ ├── Makefile │ │ ├── dataflow_muladd.py │ │ └── test_dataflow_muladd.py │ ├── multiple_manager │ │ ├── Makefile │ │ ├── dataflow_multiple_manager.py │ │ └── test_dataflow_multiple_manager.py │ ├── multireadwrite │ │ ├── Makefile │ │ ├── dataflow_multireadwrite.py │ │ └── test_dataflow_multireadwrite.py │ ├── mux │ │ ├── Makefile │ │ ├── dataflow_mux.py │ │ └── test_dataflow_mux.py │ ├── mux_const │ │ ├── Makefile │ │ ├── dataflow_mux_const.py │ │ └── test_dataflow_mux_const.py │ ├── parameter │ │ ├── Makefile │ │ ├── dataflow_parameter.py │ │ └── test_dataflow_parameter.py │ ├── pass │ │ ├── Makefile │ │ ├── dataflow_pass.py │ │ └── test_dataflow_pass.py │ ├── pass_nocontrol │ │ ├── Makefile │ │ ├── dataflow_pass_nocontrol.py │ │ └── test_dataflow_pass_nocontrol.py │ ├── prev │ │ ├── Makefile │ │ ├── dataflow_prev.py │ │ └── test_dataflow_prev.py │ ├── readwrite │ │ ├── Makefile │ │ ├── dataflow_readwrite.py │ │ └── test_dataflow_readwrite.py │ ├── reduceadd │ │ ├── Makefile │ │ ├── dataflow_reduceadd.py │ │ └── test_dataflow_reduceadd.py │ ├── reduceadd_enable │ │ ├── Makefile │ │ ├── dataflow_reduceadd_enable.py │ │ └── test_dataflow_reduceadd_enable.py │ ├── reduceadd_reset │ │ ├── Makefile │ │ ├── dataflow_reduceadd_reset.py │ │ └── test_dataflow_reduceadd_reset.py │ ├── reduceadd_valid │ │ ├── Makefile │ │ ├── dataflow_reduceadd_valid.py │ │ └── test_dataflow_reduceadd_valid.py │ ├── reduceadd_valid_enable │ │ ├── Makefile │ │ ├── dataflow_reduceadd_valid_enable.py │ │ └── test_dataflow_reduceadd_valid_enable.py │ ├── reducecustom │ │ ├── Makefile │ │ ├── dataflow_reducecustom.py │ │ └── test_dataflow_reducecustom.py │ ├── reducemul │ │ ├── Makefile │ │ ├── dataflow_reducemul.py │ │ └── test_dataflow_reducemul.py │ ├── sign │ │ ├── Makefile │ │ ├── dataflow_sign.py │ │ └── test_dataflow_sign.py │ ├── two_outputs_addsub │ │ ├── Makefile │ │ ├── dataflow_two_outputs_addsub.py │ │ └── test_dataflow_two_outputs_addsub.py │ ├── two_outputs_mul │ │ ├── Makefile │ │ ├── dataflow_two_outputs_mul.py │ │ └── test_dataflow_two_outputs_mul.py │ └── unbalanced_outputs │ │ ├── Makefile │ │ ├── dataflow_unbalanced_outputs.py │ │ └── test_dataflow_unbalanced_outputs.py │ └── pipeline_ │ ├── Makefile │ ├── acc_add │ ├── Makefile │ ├── pipeline_acc_add.py │ └── test_pipeline_acc_add.py │ ├── acc_add_valid │ ├── Makefile │ ├── pipeline_acc_add_valid.py │ └── test_pipeline_acc_add_valid.py │ ├── acc_add_validready │ ├── Makefile │ ├── pipeline_acc_add_validready.py │ └── test_pipeline_acc_add_validready.py │ ├── acc_custom │ ├── Makefile │ ├── pipeline_acc_custom.py │ └── test_pipeline_acc_custom.py │ ├── draw_graph │ ├── Makefile │ ├── pipeline_draw_graph.py │ └── test_pipeline_draw_graph.py │ ├── inc │ ├── Makefile │ ├── pipeline_inc.py │ └── test_pipeline_inc.py │ ├── multi_input │ ├── Makefile │ ├── pipeline_multi_input.py │ └── test_pipeline_multi_input.py │ ├── multi_output │ ├── Makefile │ ├── pipeline_multi_output.py │ └── test_pipeline_multi_output.py │ ├── select │ ├── Makefile │ ├── pipeline_select.py │ └── test_pipeline_select.py │ ├── single_add │ ├── Makefile │ ├── pipeline_single_add.py │ └── test_pipeline_single_add.py │ ├── single_add_valid │ ├── Makefile │ ├── pipeline_single_add_valid.py │ └── test_pipeline_single_add_valid.py │ ├── single_add_validready │ ├── Makefile │ ├── pipeline_single_add_validready.py │ └── test_pipeline_single_add_validready.py │ ├── single_passthrough │ ├── Makefile │ ├── pipeline_single_passthrough.py │ └── test_pipeline_single_passthrough.py │ └── unbalanced_output │ ├── Makefile │ ├── pipeline_unbalanced_output.py │ └── test_pipeline_unbalanced_output.py └── veriloggen ├── Makefile ├── VERSION ├── __init__.py ├── core ├── Makefile ├── __init__.py ├── collect_visitor.py ├── function.py ├── module.py ├── rename_visitor.py ├── submodule.py ├── task.py └── vtypes.py ├── dataflow ├── Makefile ├── __init__.py ├── allocator.py ├── dataflow.py ├── div.py ├── dtypes.py ├── graph.py ├── mul.py ├── scheduler.py └── visitor.py ├── fsm ├── Makefile ├── __init__.py └── fsm.py ├── optimizer ├── Makefile ├── __init__.py └── optimizer.py ├── pipeline ├── Makefile ├── __init__.py └── pipeline.py ├── resolver ├── Makefile ├── __init__.py └── resolver.py ├── seq ├── Makefile ├── __init__.py ├── reset_visitor.py ├── seq.py └── subst_visitor.py ├── simulation ├── Makefile ├── __init__.py ├── simulation.py └── verilator_template.cpp ├── stream ├── Makefile ├── __init__.py ├── allocator.py ├── div.py ├── graph.py ├── madd.py ├── mul.py ├── scheduler.py ├── stream.py ├── stypes.py └── visitor.py ├── thread ├── Makefile ├── __init__.py ├── axi.py ├── axim.py ├── axim_for_axistream.py ├── axis.py ├── axistreamin.py ├── axistreamout.py ├── compiler.py ├── fifo.py ├── fixed.py ├── operator.py ├── pool.py ├── ram.py ├── scope.py ├── stream.py ├── thread.py ├── ttypes.py ├── uart.py └── util.py ├── types ├── Makefile ├── __init__.py ├── axi.py ├── componentgen.py ├── fifo.py ├── fixed.py ├── ipxact.py ├── mul.py ├── ram.py ├── rom.py ├── saturate.py ├── skidbuffer.py ├── template │ ├── Makefile │ ├── __init__.py │ ├── bd.tcl │ ├── ipxact.xdc │ └── xgui_tcl.txt └── util.py └── verilog ├── Makefile ├── __init__.py ├── from_verilog.py └── to_verilog.py /.gitignore: -------------------------------------------------------------------------------- 1 | *.pyc 2 | *.out 3 | parsetab.py 4 | -------------------------------------------------------------------------------- /.travis.yml: -------------------------------------------------------------------------------- 1 | language: python 2 | 3 | sudo: false 4 | 5 | python: 6 | - 3.9 7 | - 3.10 8 | - 3.11 9 | 10 | addons: 11 | apt: 12 | packages: 13 | - iverilog 14 | - verilator 15 | 16 | install: 17 | - pip install pytest pytest-pythonpath pytest-xdist pyverilog numpy 18 | 19 | script: 20 | - python -m pytest tests examples 21 | -------------------------------------------------------------------------------- /CONTRIBUTORS.md: -------------------------------------------------------------------------------- 1 | Main Committers 2 | ==================== 3 | 4 | - Shinya Takamaeda-Yamazaki (@shtaxxx) 5 | 6 | 7 | Contributors 8 | ==================== 9 | 10 | -------------------------------------------------------------------------------- /MANIFEST.in: -------------------------------------------------------------------------------- 1 | include README.md 2 | include LICENSE 3 | include pytest.ini 4 | include .travis.yml 5 | include Makefile 6 | include docker/Dockerfile 7 | include hello_led.py 8 | include veriloggen/Makefile 9 | include veriloggen/VERSION 10 | recursive-include veriloggen/template * 11 | recursive-include tests * 12 | recursive-include examples * 13 | recursive-include img * 14 | recursive-include scripts * 15 | -------------------------------------------------------------------------------- /Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv tests examples tests_obsolete examples_obsolete 10 | 11 | .PHONY: clean 12 | clean: 13 | make clean -C ./veriloggen 14 | make clean -C ./examples 15 | make clean -C ./tests 16 | make clean -C ./examples_obsolete 17 | make clean -C ./tests_obsolete 18 | rm -rf *.egg-info build dist *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 19 | 20 | #.PHONY: release 21 | #release: 22 | # pandoc README.md -t rst > README.rst 23 | -------------------------------------------------------------------------------- /conftest.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import pytest 5 | 6 | 7 | def pytest_addoption(parser): 8 | parser.addoption('--sim', default='iverilog', help='Simulator') 9 | -------------------------------------------------------------------------------- /docker/Dockerfile: -------------------------------------------------------------------------------- 1 | FROM ubuntu:20.04 2 | ENV DEBIAN_FRONTEND=noninteractive 3 | RUN apt update && apt upgrade -y 4 | RUN apt install build-essential -y 5 | RUN apt install git -y 6 | RUN apt install python3 python3-pip -y 7 | RUN apt install iverilog verilator gtkwave -y 8 | RUN pip3 install -U pip 9 | RUN pip3 install pytest pytest-pythonpath 10 | RUN mkdir /home/veriloggen/ 11 | WORKDIR "/home/veriloggen" 12 | RUN git clone https://github.com/PyHDI/veriloggen.git 13 | RUN cd veriloggen && python3 setup.py install && cd ../ 14 | -------------------------------------------------------------------------------- /examples/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /examples/axi_stream_ultra96v2_pynq/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/axi_stream_ultra96v2_pynq/ultra96v2_pynq/axi_stream.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/examples/axi_stream_ultra96v2_pynq/ultra96v2_pynq/axi_stream.bit -------------------------------------------------------------------------------- /examples/chatter_clear/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/counter/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/fifo_rtl/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/led/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/manyled/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/ram_rtl/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/read_verilog_code/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/regchain/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/simulation_verilator/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/sort/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/stream_axi_stream_fifo_ipxact_ultra96v2_pynq/ultra96v2_pynq/blinkled_v1_0/xgui/xgui.tcl: -------------------------------------------------------------------------------- 1 | 2 | proc init_gui { IPINST } { 3 | ipgui::add_param $IPINST -name "Component_Name" 4 | #Adding Page 5 | ipgui::add_page $IPINST -name "Page 0" 6 | 7 | 8 | } 9 | -------------------------------------------------------------------------------- /examples/stream_axi_stream_fifo_ipxact_ultra96v2_pynq/ultra96v2_pynq/stream_axi_stream_fifo_ipxact.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/examples/stream_axi_stream_fifo_ipxact_ultra96v2_pynq/ultra96v2_pynq/stream_axi_stream_fifo_ipxact.bit -------------------------------------------------------------------------------- /examples/stream_axi_stream_fifo_ultra96v2_pynq/ultra96v2_pynq/stream_axi_stream_fifo.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/examples/stream_axi_stream_fifo_ultra96v2_pynq/ultra96v2_pynq/stream_axi_stream_fifo.bit -------------------------------------------------------------------------------- /examples/stream_matmul_ultra96v2_pynq/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/stream_matmul_ultra96v2_pynq/test_stream_matmul.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import stream_matmul 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = stream_matmul.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /examples/stream_matmul_ultra96v2_pynq/ultra96v2_pynq/stream_matmul.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/examples/stream_matmul_ultra96v2_pynq/ultra96v2_pynq/stream_matmul.bit -------------------------------------------------------------------------------- /examples/thread_matmul/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/thread_matmul/test_thread_matmul.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_matmul 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_matmul.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /examples/thread_matmul_ext_onchip_ram/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/thread_matmul_ipxact/test_thread_matmul_ipxact.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_matmul_ipxact 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_matmul_ipxact.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /examples/thread_matmul_narrow/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/thread_matmul_narrow/test_thread_matmul_narrow.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_matmul_narrow 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_matmul_narrow.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /examples/thread_matmul_wide/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/thread_matmul_wide/test_thread_matmul_wide.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_matmul_wide 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_matmul_wide.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /examples/thread_memcpy_ipxact_ultra96v2_pynq/ultra96v2_pynq/memcpy_v1_0/xgui/xgui.tcl: -------------------------------------------------------------------------------- 1 | 2 | proc init_gui { IPINST } { 3 | ipgui::add_param $IPINST -name "Component_Name" 4 | #Adding Page 5 | ipgui::add_page $IPINST -name "Page 0" 6 | 7 | 8 | } 9 | -------------------------------------------------------------------------------- /examples/thread_memcpy_ipxact_ultra96v2_pynq/ultra96v2_pynq/thread_memcpy_ipxact.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/examples/thread_memcpy_ipxact_ultra96v2_pynq/ultra96v2_pynq/thread_memcpy_ipxact.bit -------------------------------------------------------------------------------- /examples/thread_multithread_top_nexys4/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples/thread_multithread_top_nexys4/nexys4/top.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/examples/thread_multithread_top_nexys4/nexys4/top.bit -------------------------------------------------------------------------------- /examples/thread_uart_top_nexys4/nexys4/top.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/examples/thread_uart_top_nexys4/nexys4/top.bit -------------------------------------------------------------------------------- /examples/thread_uart_top_nexys4/test_thread_uart_top.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import veriloggen 5 | import thread_uart_top 6 | 7 | 8 | def test(request): 9 | veriloggen.reset() 10 | 11 | simtype = request.config.getoption('--sim') 12 | 13 | rslt = thread_uart_top.run(filename=None, simtype=simtype) 14 | 15 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 16 | assert(verify_rslt == '# verify: PASSED') 17 | -------------------------------------------------------------------------------- /examples/uart/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples_obsolete/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /examples_obsolete/dataflow_example/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples_obsolete/dataflow_fft4/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples_obsolete/dataflow_fftN/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples_obsolete/dataflow_movavg/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples_obsolete/dataflow_movmin/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples_obsolete/dataflow_radix2/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples_obsolete/dataflow_sort/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /examples_obsolete/dataflow_stencil/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /img/waveform.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/img/waveform.png -------------------------------------------------------------------------------- /pytest.ini: -------------------------------------------------------------------------------- 1 | [pytest] 2 | python_paths = ./ 3 | -------------------------------------------------------------------------------- /scripts/test-python2.sh: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | set -e 3 | 4 | mkdir python 5 | cd python 6 | virtualenv --python=python . 7 | source bin/activate 8 | 9 | git clone https://github.com/PyHDI/veriloggen.git 10 | cd veriloggen 11 | python setup.py install 12 | pip install pytest pytest-pythonpath 13 | mv veriloggen veriloggen.old 14 | 15 | python -m pytest -vv . 16 | 17 | mv veriloggen.old veriloggen 18 | cd .. 19 | deactivate 20 | cd .. 21 | -------------------------------------------------------------------------------- /scripts/test-python3.sh: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | set -e 3 | 4 | mkdir python3 5 | cd python3 6 | virtualenv --python=python3 . 7 | source bin/activate 8 | 9 | git clone https://github.com/PyHDI/veriloggen.git 10 | cd veriloggen 11 | python setup.py install 12 | pip install pytest pytest-pythonpath 13 | mv veriloggen veriloggen.old 14 | 15 | python -m pytest -vv . 16 | 17 | mv veriloggen.old veriloggen 18 | cd .. 19 | deactivate 20 | cd .. 21 | -------------------------------------------------------------------------------- /scripts/upload_pypi.sh: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | set -e 3 | 4 | python3 setup.py sdist 5 | twine upload dist/*.tar.gz 6 | -------------------------------------------------------------------------------- /tests/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/core/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/core/_class/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/_elif/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/_for/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/_function/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/_int/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/_iter/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/_list/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/_slice/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/_while/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/blocking/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/case/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/cat/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/cond/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/embeddedcode/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/embeddednumeric/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/equals/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/generate_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/core/generate_/instance/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/generate_/variable/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/initial/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/instance_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/core/instance_/connect_ports/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/instance_/connect_same_name/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/instance_/named_args/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/instance_/noname_args/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/intx/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/intz/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/like/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/logic/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/meta/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/multiple_definition_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/core/mux/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/mux_const/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/op_reverse/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/parameter/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/patternif/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/patternmux/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/primitive_mux/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/probe/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/repeat/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/shift/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/singed/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/stub_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/core/stub_/_class/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/stub_/str/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/stub_/str_multiple/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/stub_/withcode/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/stub_/withcode_multiple/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/submodule_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/core/submodule_/get/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/submodule_/getattr/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/submodule_/named_args/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/submodule_/nonamed_args/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/submodule_/prefix/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/submodule_/read_verilog/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/submodule_/regex/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/submodule_/sim/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/systemtask/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/core/tmpvar/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/fsm_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/fsm_/as_module/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/as_module_delayed/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/branch/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/countup_if_then/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/delayed/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/delayed_cond/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/delayed_eager_val/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/delayed_lazy_cond/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/goto_from/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/hook/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/hook_nested/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/make_case/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/make_if/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/multiple/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/pattern/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/prev/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/state/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/fsm_/tmpfsm/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/resolver_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/resolver_/bram/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/resolver_/div/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/resolver_/for_loop/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/seq_/as_module/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/as_module_array/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/compare/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/countup/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/countup_cat/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/countup_if_elif/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/countup_if_then/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/countup_keep/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/countup_noreset/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/delayed/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/delayed_eager_val/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/hook/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/hook_nested/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/merge/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/multiple_add/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/prev/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/prev_op/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/seq_/tmpseq/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/stream_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/stream_/add/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/stream_/add_tree/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/stream_/add_validready/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/stream_/average/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/stream_/cast/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/stream_/div_validready/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/stream_/reduceadd/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/stream_/sra_round/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/stream_/substream/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/attribute/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_dma/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_dma/test_thread_axi_dma.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_axi_dma 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_axi_dma.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_dma_async/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_dma_long/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_dma_narrow/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_dma_stride/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_dma_wide/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_read_write/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_slave/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_slave/test_thread_axi_slave.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_axi_slave 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_axi_slave.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_slave_lite/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/axi_stream/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/barrier/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/child_thread/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/embed_thread/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ext_fifo/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ext_fifo/test_thread_ext_fifo.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_ext_fifo 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_ext_fifo.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/ext_ram/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ext_ram/test_thread_ext_ram.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_ext_ram 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_ext_ram.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/extend/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/fifo/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/fifo/test_thread_fifo.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_fifo 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_fifo.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/fifo_tmp_name/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/fifo_try/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/fifo_try/test_thread_fifo_try.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_fifo_try 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_fifo_try.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/fixed_const/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/fixed_fifo/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/fixed_fifo/test_thread_fixed_fifo.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_fixed_fifo 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_fixed_fifo.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/fixed_fifo_try/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/fixed_ram/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/fixed_ram/test_thread_fixed_ram.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_fixed_ram 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_fixed_ram.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/fixed_read/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/fsm_as_module/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/function/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/global_value/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/hello/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ipxact_axi/test_thread_ipxact_axi.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_ipxact_axi 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_ipxact_axi.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/lock/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/memorymodel/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/memorymodel/test_thread_memorymodel.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_memorymodel 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_memorymodel.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/method/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/multibank_ram/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/multibank_ram_dma/test_thread_multibank_ram_dma.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_axi_dma 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_axi_dma.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/multithread/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/mutex/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/mutex_ram/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/mutex_ram/test_thread_mutex_ram.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_mutex_ram 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_mutex_ram.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/mutex_shared/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/mutex_try_lock/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/parallel/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/print_fstrings/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd -------------------------------------------------------------------------------- /tests/extension/thread_/property/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ram/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ram/test_thread_ram.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_ram 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_ram.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/ram_copy/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ram_copy/test_thread_ram_copy.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_ram_copy 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_ram_copy.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/ram_initvals/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ram_own_mutex/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ram_rtl/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ram_rtl/test_thread_ram_rtl.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_ram_rtl 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_ram_rtl.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/ram_style/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/ram_tmp_name/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/read/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/reset/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/select_thread/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/slice/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/sra/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream/test_thread_stream.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_stream 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_stream.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_add_n/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_alias/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_cast/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_cast/test_thread_stream_cast.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_stream_cast 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_stream_cast.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_conv1d/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_counter/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_div/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_div/test_thread_stream_div.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_stream_div 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_stream_div.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_dump/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_dump/test_thread_stream_dump.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_stream_dump 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_stream_dump.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_empty/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_extern/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_fifo/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_fifo/test_thread_stream_fifo.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_stream_fifo 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_stream_fifo.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_fixed/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_len1/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_len1/test_thread_stream_len1.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_stream_len1 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_stream_len1.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_mod/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_mod/test_thread_stream_mod.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_stream_mod 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_stream_mod.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_mul/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_mul/test_thread_stream_mul.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_stream_mul 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_stream_mul.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_muladd/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_narrow/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/stream_reg/test_thread_stream_reg.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_stream_reg 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_stream_reg.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/uart/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/thread_/uart/test_thread_uart.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import thread_uart 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = thread_uart.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/thread_/write/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/types_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/types_/axi_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/types_/axi_/read/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/types_/axi_/read/test_types_axi_read.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import types_axi_read 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = types_axi_read.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/types_/axi_/write/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/types_/axi_/write/test_types_axi_write.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import types_axi_write 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = types_axi_write.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/types_/fixed_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/types_/fixed_/add/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/types_/fixed_/div/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/types_/fixed_/mul/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/types_/ipxact_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/types_/mul_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/types_/ram_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/types_/ram_/async/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/types_/ram_/async/test_types_ram_async.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import types_ram_async 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = types_ram_async.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/types_/ram_/sync/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/types_/ram_/sync/test_types_ram_sync.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | import os 5 | import veriloggen 6 | import types_ram_sync 7 | 8 | 9 | def test(request): 10 | veriloggen.reset() 11 | 12 | simtype = request.config.getoption('--sim') 13 | 14 | rslt = types_ram_sync.run(filename=None, simtype=simtype, 15 | outputfile=os.path.splitext(os.path.basename(__file__))[0] + '.out') 16 | 17 | verify_rslt = [line for line in rslt.splitlines() if line.startswith('# verify:')][0] 18 | assert(verify_rslt == '# verify: PASSED') 19 | -------------------------------------------------------------------------------- /tests/extension/types_/rom_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/extension/types_/rom_/async/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/types_/rom_/sync/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/extension/types_/saturate_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/simulation/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/simulation/simulator/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/simulation/simulator/libdir/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/simulation/simulator/libdir/subdir/mymod.v: -------------------------------------------------------------------------------- 1 | module mymod 2 | ( 3 | input CLK, 4 | input RST, 5 | output reg [7:0] LED 6 | ); 7 | 8 | reg [24:0] count; 9 | 10 | always @(posedge CLK) begin 11 | if(RST) begin 12 | count <= 0; 13 | LED <= 0; 14 | end else begin 15 | count <= count + 1; 16 | if(count == 1024 - 1) begin 17 | count <= 0; 18 | LED <= LED + 1; 19 | end 20 | end 21 | end 22 | 23 | endmodule 24 | -------------------------------------------------------------------------------- /tests/simulation/testbench/Makefile: -------------------------------------------------------------------------------- 1 | TARGET=$(shell ls *.py | grep -v test | grep -v parsetab.py) 2 | ARGS= 3 | 4 | PYTHON=python3 5 | #PYTHON=python 6 | #OPT=-m pdb 7 | #OPT=-m cProfile -s time 8 | #OPT=-m cProfile -o profile.rslt 9 | 10 | .PHONY: all 11 | all: test 12 | 13 | .PHONY: run 14 | run: 15 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) 16 | 17 | .PHONY: test 18 | test: 19 | $(PYTHON) -m pytest -vv 20 | 21 | .PHONY: check 22 | check: 23 | $(PYTHON) $(OPT) $(TARGET) $(ARGS) > tmp.v 24 | iverilog -tnull -Wall tmp.v 25 | rm -f tmp.v 26 | 27 | .PHONY: clean 28 | clean: 29 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 30 | -------------------------------------------------------------------------------- /tests/verilog/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests/verilog/from_verilog_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests_obsolete/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests_obsolete/extension/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests_obsolete/extension/dataflow_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /tests_obsolete/extension/pipeline_/Makefile: -------------------------------------------------------------------------------- 1 | PYTHON=python3 2 | #PYTHON=python 3 | 4 | .PHONY: all 5 | all: clean 6 | 7 | .PHONY: test 8 | test: 9 | $(PYTHON) -m pytest -vv 10 | 11 | .PHONY: run 12 | run: 13 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make run -C {} 14 | 15 | .PHONY: clean 16 | clean: 17 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 18 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 19 | -------------------------------------------------------------------------------- /veriloggen/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 5 | -------------------------------------------------------------------------------- /veriloggen/VERSION: -------------------------------------------------------------------------------- 1 | 2.3.0 2 | -------------------------------------------------------------------------------- /veriloggen/core/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/core/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/veriloggen/core/__init__.py -------------------------------------------------------------------------------- /veriloggen/dataflow/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/dataflow/__init__.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | from .dataflow import Dataflow, DataflowManager, reset 5 | from .dtypes import * 6 | -------------------------------------------------------------------------------- /veriloggen/fsm/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/fsm/__init__.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | from .fsm import reset 5 | -------------------------------------------------------------------------------- /veriloggen/optimizer/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/optimizer/__init__.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | from .optimizer import optimize, try_optimize 5 | -------------------------------------------------------------------------------- /veriloggen/pipeline/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/pipeline/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/veriloggen/pipeline/__init__.py -------------------------------------------------------------------------------- /veriloggen/resolver/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/resolver/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/veriloggen/resolver/__init__.py -------------------------------------------------------------------------------- /veriloggen/seq/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/seq/__init__.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | from .seq import reset 5 | -------------------------------------------------------------------------------- /veriloggen/simulation/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/simulation/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/veriloggen/simulation/__init__.py -------------------------------------------------------------------------------- /veriloggen/stream/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/stream/__init__.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | from .stream import Stream, StreamManager, reset 5 | from .stypes import * 6 | -------------------------------------------------------------------------------- /veriloggen/thread/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/thread/axi.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | from .axim import AXIM, AXIMLite, AXIMVerify, AXIMLiteVerify 5 | from .axis import AXIS, AXISLite, AXISRegister, AXISLiteRegister 6 | from .axistreamin import AXIStreamIn, AXIStreamInFifo 7 | from .axistreamout import AXIStreamOut, AXIStreamOutFifo 8 | from .axim_for_axistream import AXIM_for_AXIStreamIn, AXIM_for_AXIStreamOut 9 | -------------------------------------------------------------------------------- /veriloggen/types/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 5 | -------------------------------------------------------------------------------- /veriloggen/types/__init__.py: -------------------------------------------------------------------------------- 1 | from __future__ import absolute_import 2 | from __future__ import print_function 3 | 4 | from .fixed import reset as fixed_reset 5 | 6 | 7 | def reset(): 8 | fixed_reset() 9 | -------------------------------------------------------------------------------- /veriloggen/types/template/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | find . -maxdepth 1 -type d | grep "./" | xargs -I {} make clean -C {} 5 | -------------------------------------------------------------------------------- /veriloggen/types/template/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/veriloggen/types/template/__init__.py -------------------------------------------------------------------------------- /veriloggen/types/template/ipxact.xdc: -------------------------------------------------------------------------------- 1 | set_false_path -from \[get_cells -hier -regexp {.*cdc_from.*}] -to \[get_cells -hier -regexp {.*cdc_to.*}] 2 | set_false_path -from \[get_cells -hier -regexp {.*cdc_from.*}] 3 | -------------------------------------------------------------------------------- /veriloggen/types/template/xgui_tcl.txt: -------------------------------------------------------------------------------- 1 | 2 | proc init_gui { IPINST } { 3 | ipgui::add_param $IPINST -name "Component_Name" 4 | #Adding Page 5 | ipgui::add_page $IPINST -name "Page 0" 6 | 7 | 8 | } 9 | -------------------------------------------------------------------------------- /veriloggen/verilog/Makefile: -------------------------------------------------------------------------------- 1 | .PHONY: clean 2 | clean: 3 | rm -rf *.pyc __pycache__ parsetab.py .cache *.out *.png *.dot tmp.v *.vcd 4 | -------------------------------------------------------------------------------- /veriloggen/verilog/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/PyHDI/veriloggen/448975b44ecdd58222a10db34d3ae65c874dbc8c/veriloggen/verilog/__init__.py --------------------------------------------------------------------------------