├── .gitattributes ├── .gitignore ├── Board ├── fdd_ide.pcb ├── fdd_ide.sch └── readme_board.txt ├── Case ├── cartFDD-Bot.stl ├── cartFDD-top.stl ├── case.jpg └── readme_case.txt ├── Doc ├── disclaimer.txt ├── partslist.txt ├── readme.txt └── schematics.pdf ├── Firmware ├── Sources │ ├── HddFddp_vclc.pin │ ├── HddFddp_vclc.pof │ ├── HddFddp_vclc.vhd │ └── readme_firmware.txt ├── fdd_ide.pof ├── old │ ├── Sources │ │ ├── HddFddp_vclc.pin │ │ ├── HddFddp_vclc.pof │ │ ├── HddFddp_vclc.vhd │ │ └── readme_firmware.txt │ └── fdd_ide.pof └── readme_firmware.txt ├── Gerber ├── FDDIDE001R07_CLC.BDR ├── FDDIDE001R07_CLC.BMS ├── FDDIDE001R07_CLC.BOT ├── FDDIDE001R07_CLC.BSL ├── FDDIDE001R07_CLC.NCD ├── FDDIDE001R07_CLC.TMS ├── FDDIDE001R07_CLC.TOP ├── FDDIDE001R07_CLC.TSL └── readme_gerber.txt ├── ROM ├── FDD-IDE_V21.ROM ├── FDD-IDE_V21_29F040.ROM ├── old │ ├── ROM_IDEFDDV1.ROM │ ├── ROM_IDEFDDV1_NextorAlpha.ROM │ ├── ROM_IDEFDDV1_NextorBeta.ROM │ └── readme_roms.txt ├── old1 │ ├── FDD-IDE_V2.ROM │ └── FDD-IDE_V2_29F040.ROM ├── old2 │ ├── FDD-IDE_V21.ROM │ └── FDD-IDE_V21_29F040.ROM └── readme_roms.txt └── readme.txt /.gitattributes: -------------------------------------------------------------------------------- 1 | * linguist-vendored 2 | *.php linguist-vendored=false 3 | <<<<<<< HEAD 4 | 5 | ======= 6 | >>>>>>> origin/master 7 | -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- 1 | # Windows image file caches 2 | Thumbs.db 3 | ehthumbs.db 4 | 5 | # Folder config file 6 | Desktop.ini 7 | 8 | # Recycle Bin used on file shares 9 | $RECYCLE.BIN/ 10 | 11 | # Windows Installer files 12 | *.cab 13 | *.msi 14 | *.msm 15 | *.msp 16 | 17 | # Windows shortcuts 18 | *.lnk 19 | 20 | # ========================= 21 | # Operating System Files 22 | # ========================= 23 | 24 | # OSX 25 | # ========================= 26 | 27 | .DS_Store 28 | .AppleDouble 29 | .LSOverride 30 | 31 | # Thumbnails 32 | ._* 33 | 34 | # Files that might appear in the root of a volume 35 | .DocumentRevisions-V100 36 | .fseventsd 37 | .Spotlight-V100 38 | .TemporaryItems 39 | .Trashes 40 | .VolumeIcon.icns 41 | 42 | # Directories potentially created on remote AFP share 43 | .AppleDB 44 | .AppleDesktop 45 | Network Trash Folder 46 | Temporary Items 47 | .apdisk 48 | -------------------------------------------------------------------------------- /Board/fdd_ide.pcb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Board/fdd_ide.pcb -------------------------------------------------------------------------------- /Board/fdd_ide.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Board/fdd_ide.sch -------------------------------------------------------------------------------- /Board/readme_board.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD+IDE Cartridge version 1.2 3 | Copyright (c) 2015-2020 RBSC 4 | -------------------------------------------- 5 | 6 | The board layout was created by RBSC. Commercial usage is not allowed! 7 | 8 | See the readme.txt file for more info. 9 | -------------------------------------------------------------------------------- /Case/cartFDD-Bot.stl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Case/cartFDD-Bot.stl -------------------------------------------------------------------------------- /Case/cartFDD-top.stl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Case/cartFDD-top.stl -------------------------------------------------------------------------------- /Case/case.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Case/case.jpg -------------------------------------------------------------------------------- /Case/readme_case.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD+IDE Cartridge version 1.2 3 | Copyright (c) 2015-2023 RBSC 4 | -------------------------------------------- 5 | 6 | The case was created by Ach (Artyem Chitailo) and the original model was first released on Thingyverse: 7 | 8 | https://www.thingiverse.com/thing:6172167 9 | 10 | See the readme.txt file for more info. -------------------------------------------------------------------------------- /Doc/disclaimer.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Doc/disclaimer.txt -------------------------------------------------------------------------------- /Doc/partslist.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD+IDE Cartridge version 1.2 3 | Copyright (c) 2015-2022 RBSC 4 | -------------------------------------------- 5 | 6 | Partslist 7 | --------- 8 | 9 | Integrated circuits: 10 | 11 | Altera EPM7128SLC84-15 - PLCC-84 12 | WDC WD37C65BJM - PLCC-44 or ST AIC37C65CL - PLCC-44 (with v1.10 firmware) 13 | AM29F002BT-70JC - PLCC-32 14 | 15 | Connectors: 16 | 17 | CF-50P (CF slot) 18 | IDC-34 (FDD) 19 | IDC-10 (JTAG) 20 | 21 | Jumpers: 22 | 3 pin - 4 23 | 2 pin - 3 24 | 25 | Resistors SMD 0603: 26 | 27 | R1,R2,R3,R4,R5 - 150-330 Ohm 28 | R6,R7,R8 - 10 kOhm 29 | R9 (for LED) - 750 Ohm - 1 kOhm 30 | R10,R15 - 1 kOhm 31 | R11,R12,R13,R14 - 4,7 kOhm - 10 kOhm 32 | 33 | Capacitors SMD 0603: 34 | 35 | C3 - 15 pF 36 | C4 - 47 pF 37 | the rest - 100 nF 38 | 39 | Capacitors SMD 1210 (tantalum) 40 | C1,C11 - 10 uF 16v 41 | 42 | Quartz resonator - 16 mHz 43 | 44 | LED - any SMD 0603 or normal LED 45 | -------------------------------------------------------------------------------- /Doc/readme.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD-IDE Cartridge version 1.2 3 | Copyright (c) 2015-2023 RBSC 4 | Updated: 27.08.2023 5 | -------------------------------------------- 6 | 7 | The FDD-IDE is a combo controller that allows to connect up to 2 floppy drives (PC 1.44Mb or older 720kb) and one Compact Flash 8 | card-based disk drive to any MSX computer. The device has the selection to use either Sunrise or Nextor BIOS to operate the 9 | disk drive. For Sunrise the partitions must be not larger than 32Mb, for Nextor the partitions could be maximum 4Gb in size. 10 | 11 | 12 | Jumper settings 13 | --------------- 14 | 15 | The cartridge has a set of jumpers to configure the hardware and internal software. There are 4 jumpers consisting of 3 pins 16 | and 3 jumpers consisting of 2 pins. The jumpers that consist of 3 pins are used to configure the DS (drive select) signal. 17 | The 2-pin jumpers configure the on-board devices and BIOSes. 18 | 19 | When all 3 pin jumpers are in the upper position, then a normal PC drive (with default DS1 setting) can be connected to the standard 20 | PC floppy cable. There can be maximum 2 drives connected to the cartridge. The drive connected to the middle cable's connector will 21 | be B: and the drive connected to the end of the cable will be A:. 22 | 23 | When all 3 pin jumpers are in the lower position, then a normal PC drive (with default DS2 setting) can be connected to the standard 24 | PC floppy cable. There can be maximum 2 drives connected to the cartridge. The drive connected to the middle cable's connector will 25 | be A: and the drive connected to the end of the cable will be B:. 26 | 27 | When the 3 pin jumpers are set as shown for DS0 (see the markings on the cartridge), then a DS/DD 720kb drive with DS0 setting can be 28 | connected to the controller with the straight floppy cable (PC cable with a "twist" won't work). Only one drive can be connected to 29 | the controller in this case. 30 | 31 | The "F+H" jumper is used to enable or disable the expanded slot. If the jumper is set, then both floppy controller and IDE controller 32 | are enabled. If the jumper is off, then only one controller is enabled (see below). 33 | 34 | The "F/H" jumper is used to set a priority for controllers when "F+H" jumper is installed. A set jumper will make IDE controller 35 | to be the first device and the floppy controller will be the second device. If the "F+H" jumper is not installed, then the "F/H" 36 | jumper enables only one controller - either floppy or IDE. The set jumper enables IDE controller in this case. 37 | 38 | The "ROM 1/2" jumper is used to select which IDE BIOS will be used by the cartridge. The set jumper will enable the "Sunrise" BIOS, 39 | otherwise the "Nextor" BIOS will be enabled. 40 | 41 | 42 | NOTES 43 | ----- 44 | 45 | Starting from firmware version 1.10 it's possible to use the AIC37C65CL or similar FDD controller chip with the board. The previous 46 | version of the firmware is incompatible with these chips. 47 | 48 | Previously, we provided 3 different ROMs, but now there's only one. The older ROMs are still located in the "old" and "old1" 49 | subfolders. 50 | 51 | The current ROM file "FDD-IDE_V21.ROM" contains a slightly patched version of the Sunrise IDE ROM and the Release version of the 52 | Nextor 2.1.1 IDE ROM that natively supports Carnivore2 and FDD-IDE controllers. This ROM is faster and has the slave device detection 53 | disabled. It is 262kb in size. 54 | 55 | The "FDD-IDE_V21_29F040.ROM" is the file that you can burn directly into the 29F040 FlashROM chip. It is 512kb in size and contains 56 | the same data as the "FDD-IDE_V21.ROM" file. 57 | 58 | 59 | 60 | IMPORTANT! 61 | ---------- 62 | 63 | The RBSC provides all the files and information for free, without any liability (see the disclaimer.txt file). The provided information, 64 | software or hardware must not be used for commercial purposes unless permitted by the RBSC. Producing a small amount of bare boards for 65 | personal projects and selling the rest of the batch is allowed without the permission of RBSC. 66 | 67 | When the sources of the tools are used to create alternative projects, please always mention the original source and the copyright! 68 | 69 | 70 | Contact information 71 | ------------------- 72 | 73 | The members of RBSC group TNT23, Wierzbowsky, Ptero, GreyWolf, SuperMax, Pyhesty, VWarlock and DJS3000 can be contacted via the group's 74 | e-mail address: 75 | 76 | info@rbsc.su 77 | 78 | The group's coordinator could be reached via this e-mail address: 79 | 80 | admin@rbsc.su 81 | 82 | The group's website can be found here: 83 | 84 | https://rbsc.su/ 85 | https://rbsc.su/ru 86 | 87 | The RBSC's hardware repository can be found here: 88 | 89 | https://github.com/rbsc 90 | 91 | The RBSC's 3D model repository can be found here: 92 | 93 | https://www.thingiverse.com/groups/rbsc/things 94 | 95 | -= ! MSX FOREVER ! =- 96 | -------------------------------------------------------------------------------- /Doc/schematics.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Doc/schematics.pdf -------------------------------------------------------------------------------- /Firmware/Sources/HddFddp_vclc.pin: -------------------------------------------------------------------------------- 1 | -- Copyright (C) 1991-2009 Altera Corporation 2 | -- Your use of Altera Corporation's design tools, logic functions 3 | -- and other software and tools, and its AMPP partner logic 4 | -- functions, and any output files from any of the foregoing 5 | -- (including device programming or simulation files), and any 6 | -- associated documentation or information are expressly subject 7 | -- to the terms and conditions of the Altera Program License 8 | -- Subscription Agreement, Altera MegaCore Function License 9 | -- Agreement, or other applicable license agreement, including, 10 | -- without limitation, that your use is for the sole purpose of 11 | -- programming logic devices manufactured by Altera and sold by 12 | -- Altera or its authorized distributors. Please refer to the 13 | -- applicable agreement for further details. 14 | -- 15 | -- This is a Quartus II output file. It is for reporting purposes only, and is 16 | -- not intended for use as a Quartus II input file. This file cannot be used 17 | -- to make Quartus II pin assignments - for instructions on how to make pin 18 | -- assignments, please see Quartus II help. 19 | --------------------------------------------------------------------------------- 20 | 21 | 22 | 23 | --------------------------------------------------------------------------------- 24 | -- NC : No Connect. This pin has no internal connection to the device. 25 | -- DNU : Do Not Use. This pin MUST NOT be connected. 26 | -- VCC : Dedicated power pin, which MUST be connected to VCC. 27 | -- VCCIO : Dedicated power pin, which MUST be connected to VCC 28 | -- of its bank. 29 | -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. 30 | -- It can also be used to report unused dedicated pins. The connection 31 | -- on the board for unused dedicated pins depends on whether this will 32 | -- be used in a future design. One example is device migration. When 33 | -- using device migration, refer to the device pin-tables. If it is a 34 | -- GND pin in the pin table or if it will not be used in a future design 35 | -- for another purpose the it MUST be connected to GND. If it is an unused 36 | -- dedicated pin, then it can be connected to a valid signal on the board 37 | -- (low, high, or toggling) if that signal is required for a different 38 | -- revision of the design. 39 | -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. 40 | -- This pin should be connected to GND. It may also be connected to a 41 | -- valid signal on the board (low, high, or toggling) if that signal 42 | -- is required for a different revision of the design. 43 | -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), 44 | -- connect each pin marked GND* either individually through a 10k Ohm resistor 45 | -- to GND or tie all pins together and connect through a single 10k Ohm resistor 46 | -- to GND. 47 | -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND 48 | -- or leave it unconnected. 49 | -- RESERVED : Unused I/O pin, which MUST be left unconnected. 50 | -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. 51 | -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. 52 | -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. 53 | -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. 54 | -- NON_MIGRATABLE: This pin cannot be migrated. 55 | --------------------------------------------------------------------------------- 56 | 57 | 58 | 59 | --------------------------------------------------------------------------------- 60 | -- Pin directions (input, output or bidir) are based on device operating in user mode. 61 | --------------------------------------------------------------------------------- 62 | 63 | Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version 64 | CHIP "HddFddp_vclc" ASSIGNED TO AN: EPM7128SLC84-15 65 | 66 | Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment 67 | ------------------------------------------------------------------------------------------------------------- 68 | conf1 : 1 : input : TTL : : : Y 69 | conf2 : 2 : input : TTL : : : Y 70 | VCCINT : 3 : power : : 5.0V : : 71 | WDCReset : 4 : output : TTL : : : Y 72 | WDCCs_n : 5 : output : TTL : : : Y 73 | WDCLDOR_n : 6 : output : TTL : : : Y 74 | GND : 7 : gnd : : : : 75 | ROMCs_n : 8 : output : TTL : : : Y 76 | ROMAdr14 : 9 : output : TTL : : : Y 77 | ROMAdr17 : 10 : output : TTL : : : Y 78 | ROMWe_n : 11 : output : TTL : : : Y 79 | pSltCLC : 12 : input : TTL : : : Y 80 | VCCIO : 13 : power : : 5.0V : : 81 | TDI : 14 : input : TTL : : : N 82 | ROMAdr16 : 15 : output : TTL : : : Y 83 | ROMAdr15 : 16 : output : TTL : : : Y 84 | pSltDat[7] : 17 : bidir : TTL : : : Y 85 | pSltDat[6] : 18 : bidir : TTL : : : Y 86 | GND : 19 : gnd : : : : 87 | pSltDat[5] : 20 : bidir : TTL : : : Y 88 | pSltDat[4] : 21 : bidir : TTL : : : Y 89 | pSltDat[3] : 22 : bidir : TTL : : : Y 90 | TMS : 23 : input : TTL : : : N 91 | pSltDat[2] : 24 : bidir : TTL : : : Y 92 | pSltDat[1] : 25 : bidir : TTL : : : Y 93 | VCCIO : 26 : power : : 5.0V : : 94 | pSltDat[0] : 27 : bidir : TTL : : : Y 95 | pSltAdr[5] : 28 : input : TTL : : : Y 96 | pSltAdr[4] : 29 : input : TTL : : : Y 97 | pSltAdr[3] : 30 : input : TTL : : : Y 98 | pSltAdr[2] : 31 : input : TTL : : : Y 99 | GND : 32 : gnd : : : : 100 | pSltAdr[1] : 33 : input : TTL : : : Y 101 | pSltAdr[0] : 34 : input : TTL : : : Y 102 | pSltAdr[14] : 35 : input : TTL : : : Y 103 | pSltAdr[13] : 36 : input : TTL : : : Y 104 | pSltAdr[12] : 37 : input : TTL : : : Y 105 | VCCIO : 38 : power : : 5.0V : : 106 | pSltAdr[8] : 39 : input : TTL : : : Y 107 | pSltAdr[7] : 40 : input : TTL : : : Y 108 | pSltAdr[6] : 41 : input : TTL : : : Y 109 | GND : 42 : gnd : : : : 110 | VCCINT : 43 : power : : 5.0V : : 111 | pSltAdr[11] : 44 : input : TTL : : : Y 112 | pSltAdr[10] : 45 : input : TTL : : : Y 113 | pSltAdr[9] : 46 : input : TTL : : : Y 114 | GND : 47 : gnd : : : : 115 | pSltAdr[15] : 48 : input : TTL : : : Y 116 | pSltRst_n : 49 : input : TTL : : : Y 117 | pSltWr_n : 50 : input : TTL : : : Y 118 | pSltRd_n : 51 : input : TTL : : : Y 119 | pIDEAdr[2] : 52 : output : TTL : : : Y 120 | VCCIO : 53 : power : : 5.0V : : 121 | pIDEAdr[1] : 54 : output : TTL : : : Y 122 | pIDEAdr[0] : 55 : output : TTL : : : Y 123 | pIDEDat[0] : 56 : bidir : TTL : : : Y 124 | pIDEDat[1] : 57 : bidir : TTL : : : Y 125 | pIDEDat[8] : 58 : bidir : TTL : : : Y 126 | GND : 59 : gnd : : : : 127 | pIDEDat[2] : 60 : bidir : TTL : : : Y 128 | pIDEDat[9] : 61 : bidir : TTL : : : Y 129 | TCK : 62 : input : TTL : : : N 130 | pIDEDat[10] : 63 : bidir : TTL : : : Y 131 | pIDEWR_n : 64 : output : TTL : : : Y 132 | pIDERD_n : 65 : output : TTL : : : Y 133 | VCCIO : 66 : power : : 5.0V : : 134 | pIDECS3_n : 67 : output : TTL : : : Y 135 | pIDECS1_n : 68 : output : TTL : : : Y 136 | pIDEDat[15] : 69 : bidir : TTL : : : Y 137 | pIDEDat[7] : 70 : bidir : TTL : : : Y 138 | TDO : 71 : output : TTL : : : N 139 | GND : 72 : gnd : : : : 140 | pIDEDat[3] : 73 : bidir : TTL : : : Y 141 | pIDEDat[11] : 74 : bidir : TTL : : : Y 142 | pIDEDat[5] : 75 : bidir : TTL : : : Y 143 | pIDEDat[12] : 76 : bidir : TTL : : : Y 144 | pIDEDat[4] : 77 : bidir : TTL : : : Y 145 | VCCIO : 78 : power : : 5.0V : : 146 | pIDEDat[14] : 79 : bidir : TTL : : : Y 147 | pIDEDat[6] : 80 : bidir : TTL : : : Y 148 | pIDEDat[13] : 81 : bidir : TTL : : : Y 149 | GND : 82 : gnd : : : : 150 | conf0 : 83 : input : TTL : : : Y 151 | pSltSltsl_n : 84 : input : TTL : : : Y 152 | -------------------------------------------------------------------------------- /Firmware/Sources/HddFddp_vclc.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Firmware/Sources/HddFddp_vclc.pof -------------------------------------------------------------------------------- /Firmware/Sources/HddFddp_vclc.vhd: -------------------------------------------------------------------------------- 1 | ---------------------------------------------------------------- 2 | -- FDD-IDE firmware v1.10 3 | -- Copyright 2015-2022 (C) RBSC 4 | ---------------------------------------------------------------- 5 | 6 | library IEEE; 7 | use IEEE.std_logic_1164.all; 8 | use IEEE.std_logic_unsigned.all; 9 | 10 | entity HddFddp_vclc is 11 | port( 12 | pSltAdr : IN std_logic_vector(15 downto 0); 13 | ROMAdr14 : OUT std_logic; 14 | ROMAdr15 : OUT std_logic; 15 | ROMAdr16 : OUT std_logic; 16 | ROMAdr17 : OUT std_logic; 17 | conf0 : IN std_logic; 18 | conf1 : IN std_logic; 19 | conf2 : IN std_logic; 20 | pSltDat : INOUT std_logic_vector(7 downto 0); 21 | pIDEAdr : OUT std_logic_vector(2 downto 0); 22 | pIDEDat : INOUT std_logic_vector(15 downto 0); 23 | WDCReset : OUT std_logic; 24 | pIDECS1_n : OUT std_logic; 25 | pIDECS3_n : OUT std_logic; 26 | pIDERD_n : OUT std_logic; 27 | pIDEWR_n : OUT std_logic; 28 | pSltRd_n : IN std_logic; 29 | pSltRst_n : IN std_logic; 30 | pSltCLC : IN std_logic; 31 | ROMCs_n : OUT std_logic; 32 | ROMWe_n : OUT std_logic; 33 | pSltSltsl_n : IN std_logic; 34 | WDCCs_n : OUT std_logic; 35 | WDCLDOR_n : OUT std_logic; 36 | pSltWr_n : IN std_logic 37 | ); 38 | end HddFddp_vclc; 39 | 40 | architecture RTL of HddFddp_vclc is 41 | 42 | 43 | 44 | -- signal pSltClk_n : std_logic; 45 | signal cReg : std_logic_vector(7 downto 0); 46 | signal ExpSltReg : std_logic_vector(7 downto 0); 47 | signal DecExp1s0 : std_logic; 48 | signal DecExp0s1 : std_logic; 49 | signal DecExp1s1 : std_logic; 50 | signal DecExp1s2 : std_logic; 51 | signal DecExp0s2 : std_logic; 52 | signal IDEReg : std_logic; 53 | signal IDEsOUT : std_logic_vector(7 downto 0); 54 | signal IDEsIN : std_logic_vector(7 downto 0); 55 | -- signal expFlash : std_logic; 56 | -- signal expEnCount : std_logic_vector(1 downto 0); 57 | signal DecIDEconf : std_logic; 58 | signal CLC_n : std_logic; 59 | signal RD_hT1 : std_logic; 60 | signal RD_hT2 : std_logic; 61 | -- signal RD_hT3 : std_logic; 62 | signal WR_hT1 : std_logic; 63 | signal WR_hT2 : std_logic; 64 | -- signal pSltWr : std_logic; 65 | signal rdtn : std_logic; 66 | -- signal CLCcount : std_logic_vector(15 downto 0); 67 | -- signal countRun : std_logic; 68 | signal FDDregDec : std_logic; 69 | -- signal DecSccA : std_logic; 70 | -- signal DecSccB : std_logic; 71 | signal WDCR :std_logic; 72 | 73 | begin 74 | 75 | ---------------------------------------------------------------- 76 | -- Dummy pin 77 | ---------------------------------------------------------------- 78 | 79 | 80 | 81 | ---------------------------------------------------------------- 82 | -- Expand slot decoding 83 | ---------------------------------------------------------------- 84 | -- 0000h-3FFFh 85 | DecExp1s0 <= '1' when pSltSltsl_n = '0' and pSltAdr(15 downto 14) = "00" and ExpSltReg(1 downto 0) = "0" & (not conf1) 86 | else '0'; 87 | -- 4000h-7FFFh 88 | DecExp0s1 <= '1' when pSltSltsl_n = '0' and pSltAdr(15 downto 14) = "01" and ExpSltReg(3 downto 2) = "0" & conf1 89 | else '0'; 90 | DecExp1s1 <= '1' when pSltSltsl_n = '0' and pSltAdr(15 downto 14) = "01" and ExpSltReg(3 downto 2) = "0" & (not conf1) 91 | else '0'; 92 | -- 8000h-BFFFh 93 | DecExp1s2 <= '1' when pSltSltsl_n = '0' and pSltAdr(15 downto 14) = "10" and ExpSltReg(5 downto 4) = "0" & (not conf1) 94 | else '0'; 95 | DecExp0s2 <= '1' when pSltSltsl_n = '0' and pSltAdr(15 downto 14) = "10" and ExpSltReg(5 downto 4) = "0" & conf1 96 | else '0'; 97 | DecIDEconf <= '1' when DecExp0s1 = '1' and pSltAdr(15 downto 0) = "0100000100000100" 98 | else '0'; 99 | ---------------------------------------------------------------- 100 | -- Adapt timing 101 | ---------------------------------------------------------------- 102 | CLC_n <= not pSltCLC; 103 | -- pSltWr <= not pSltWR_n; 104 | -- rdtn <= '0' when ((pSltRst_n = '1' and pSltRd_n = '0') and pSltSltsl_n = '0') and pSltWr_n = '1' 105 | -- else '1'; 106 | process(pSltRst_n, CLC_n, pSltRd_n) 107 | begin 108 | -- if (pSltRst_n = '0') then 109 | --- if (RD_hT2 = '0') then 110 | --- if (pSltRd_n = '0' and CLC_n = '0' and IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '0')) then 111 | --- RD_hT1 <= '1'; 112 | --- end if; 113 | --- elsif (pSltRd_n'event and pSltRd_n = '1') then 114 | --- if(RD_hT2 = '1') then 115 | --- RD_hT1 <= '0'; 116 | --- end if; 117 | --- end if; 118 | if (pSltRd_n = '1') then 119 | RD_hT1 <= '0'; 120 | elsif (RD_hT2 = '0') then 121 | if (pSltRd_n = '0' and CLC_n = '0' and IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '0')) then 122 | RD_hT1 <= '1'; 123 | end if; 124 | end if; 125 | -- if (pSltRst_n = '0') then 126 | -- RD_hT2 <= '0'; 127 | -- elsif (CLC_n'event and CLC_n = '1') then 128 | if (CLC_n'event and CLC_n = '1') then 129 | RD_hT2 <= not pSltRd_n; 130 | end if; 131 | end process; 132 | 133 | process(pSltRst_n, CLC_n) 134 | begin 135 | if (pSltRst_n = '0') then 136 | WR_hT2 <= '0'; 137 | elsif (CLC_n'event and CLC_n = '0') then 138 | WR_hT2 <= WR_hT1; 139 | end if; 140 | end process; 141 | 142 | 143 | process(pSltWr_n,WR_hT2) 144 | begin 145 | -- if (WR_hT2 = '0') then 146 | -- if (pSltWr_n = '0' and IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '1')) then 147 | -- WR_hT1 <= '1'; 148 | -- end if; 149 | -- elsif (pSltWr_n'event and pSltWr_n = '1') then 150 | -- if (WR_hT2 = '1') then 151 | -- WR_hT1 <= '0'; 152 | -- end if; 153 | -- end if; 154 | if (pSltWr_n = '1') then 155 | WR_hT1 <= '0'; 156 | elsif (WR_hT2 = '0') then 157 | if (pSltWr_n = '0' and IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '1')) then 158 | WR_hT1 <= '1'; 159 | end if; 160 | end if; 161 | 162 | end process; 163 | ---------------------------------------------------------------- 164 | -- Set Register 165 | ---------------------------------------------------------------- 166 | process(pSltRst_n, pSltWr_n) 167 | begin 168 | 169 | if (pSltRst_n = '0') then 170 | 171 | ExpSltReg <= "00000000"; 172 | cReg <= "00000000"; 173 | 174 | elsif (pSltWr_n'event and pSltWr_n = '0') then 175 | 176 | -- Expand Slot Register 177 | if (pSltSltsl_n = '0' and pSltAdr(15 downto 0) = "1111111111111111" and 178 | conf0 = '0') then 179 | ExpSltReg <= pSltDat; 180 | end if; 181 | -- Config IDE Sunrise Register 182 | if (DecIDEconf = '1') then 183 | cReg <= pSltDat; 184 | end if; 185 | 186 | end if; 187 | end process; 188 | 189 | ---------------------------------------------------------------- 190 | -- FDD WDC controler decoder 191 | ---------------------------------------------------------------- 192 | FDDregDec <= '0'; 193 | -- FDDregDec <= '1' when DecExp1s1 = '1' and pSltAdr(13 downto 2) = "111111111100" -- 3FF(0/1/2/3) 194 | -- else '0'; 195 | --WDCReset <= '1' when pSltRst_n = '0' 196 | -- else '0'; 197 | process (pSltRst_n, CLC_n, WDCR) 198 | begin 199 | if (CLC_n'event and CLC_n = '1') then 200 | WDCR <= not pSltRst_n; 201 | end if; 202 | end process; 203 | WDCReset <= WDCR; 204 | WDCCs_n <= '0' when (DecExp1s2 = '1' or DecExp1s0 = '1') and pSltAdr(13 downto 12) = "00" and (pSltAdr(0) = '1' or pSltRd_n = '0') 205 | -- else '0' when FDDregDec = '1' and pSltAdr(1) = '0' 206 | else '1'; 207 | WDCLDOR_n <= '0' when (DecExp1s2 = '1' or DecExp1s0 = '1') and pSltAdr(13 downto 12) = "01" 208 | -- else '0' when FDDregDec = '1' and pSltAdr(1) = '1' 209 | else '1'; 210 | 211 | ---------------------------------------------------------------- 212 | -- ROM decoder 213 | ---------------------------------------------------------------- 214 | 215 | -- ROMReset_n <= pSltRst_n; 216 | 217 | ROMCs_n <= '0' when ((DecExp1s1 = '1' and FDDregDec = '0') or DecExp0s1 = '1' or (DecExp0s2 = '1' and pSltRd_n = '1'--and expFlash = '1' 218 | )) and IDEReg = '0' 219 | else '1'; 220 | ROMAdr14 <= cReg(7) when DecExp1s1 = '0' 221 | else '1'; -- fdd rom 1C000-1FFFF 222 | ROMAdr15 <= cReg(6) when DecExp1s1 = '0' 223 | else '1'; -- fdd rom 224 | ROMAdr16 <= cReg(5) when DecExp1s1 = '0' 225 | else '1'; -- fdd rom 226 | ROMAdr17 <= cReg(4) or conf2 when DecExp1s1 = '0' -- and expFlash = '1' -- Expand Flash 227 | -- else conf2 when DecExp1s1 = '0' 228 | else '0'; -- fdd rom 229 | 230 | ROMWe_n <= '0' when DecExp0s2 = '1' and WR_hT1 = '1' -- pSltWr_n = '0' -- and expFlash = '1' 231 | else '1'; 232 | ---------------------------------------------------------------- 233 | -- IDE Processing 234 | ---------------------------------------------------------------- 235 | 236 | IDEReg <= '0' when pSltAdr(9 downto 8) = "11" 237 | else '1' when DecExp0s1 = '1' and cReg(0) = '1' and pSltAdr(13 downto 10) = "1111" -- 7C00h-7FEFh 238 | else '0'; 239 | process(IDEReg, RD_hT1) 240 | begin 241 | if (CLC_n'event and CLC_n = '0') then 242 | if (IDEReg = '1' and pSltAdr(9) = '0' and pSltAdr(0) = '0' and pSltRd_n = '0' and RD_hT2 = '1') then 243 | IDEsIN <= pIDEDat(15 downto 8); 244 | end if; 245 | end if; 246 | end process; 247 | process(IDEReg) 248 | begin 249 | if (IDEReg = '1' and pSltAdr(9) = '0' and pSltWr_n = '0' and pSltAdr(0) = '0') then 250 | IDEsOUT <= pSltDat; 251 | end if; 252 | end process; 253 | pIDEDat(15 downto 8) <= pSltDat when IDEReg = '1' and pSltAdr(9) = '1' and RD_hT1 = '0' 254 | -- and RD_hT2 = '0' 255 | and pSltRd_n = '1' 256 | else pSltDat when IDEReg = '1' and RD_hT1 = '0' 257 | -- and RD_hT2 = '0' 258 | and pSltRd_n = '1' 259 | else (others => 'Z'); 260 | pIDEDat(7 downto 0) <= pSltDat when IDEReg = '1' and pSltAdr(9) = '1' and RD_hT1 = '0' 261 | -- and RD_hT2 = '0' 262 | and pSltRd_n = '1' 263 | else IDEsOUT when IDEReg = '1' and pSltAdr(9) = '0' and pSltAdr(0) = '1' 264 | and RD_hT1 = '0' -- and RD_hT2 = '0' -- and pSltRd_n = '1' 265 | else (others => 'Z'); 266 | 267 | pIDEAdr <= pSltAdr(2 downto 0) when pSltAdr(9) = '1' 268 | else "000"; 269 | pIDECS1_n <= pSltAdr(3) when pSltAdr(9) = '1' 270 | else '0'; 271 | pIDECS3_n <= not pSltAdr(3) when pSltAdr(9) = '1' 272 | else '1'; 273 | -- pIDERD_n <= '0' when IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '0') and RD_hT1 = '1' -- and pSltRd_n = '0' 274 | -- else '1'; 275 | -- pIDEWR_n <= '0' when IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '1') and WR_hT1 = '1' -- and pSltWr_n = '0' 276 | -- else '1'; 277 | pIDERD_n <= not RD_hT1; 278 | pIDEWR_n <= not WR_hT1; 279 | ---------------------------------------------------------------- 280 | -- Read DATA 281 | ---------------------------------------------------------------- 282 | 283 | pSltDat <= not ExpSltReg when pSltSltsl_n = '0' and pSltAdr(15 downto 0) = "1111111111111111" and 284 | conf0 = '0' and pSltRd_n = '0' -- and RD_hT1 ='1' 285 | 286 | else IDEsIN when IDEReg = '1' and pSltAdr(9) = '0' and pSltAdr(0) = '1' 287 | and pSltRd_n = '0' -- and RD_hT1 = '1' 288 | else pIDEDat(7 downto 0) when IDEReg = '1' and (pSltAdr(0) = '0' or pSltAdr(9) = '1') 289 | and pSltRd_n = '0' -- and RD_hT1 = '1' 290 | else (others => 'Z'); 291 | 292 | 293 | end RTL; 294 | -------------------------------------------------------------------------------- /Firmware/Sources/readme_firmware.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD+IDE Cartridge version 1.2 3 | Copyright (c) 2015-2022 RBSC 4 | -------------------------------------------- 5 | 6 | The Altera firmware was created by RBSC. Commercial usage is not allowed! 7 | 8 | When the sources are used to create alternative projects, please always 9 | mention the original source and the copyright! 10 | 11 | See the readme.txt file for more info. -------------------------------------------------------------------------------- /Firmware/fdd_ide.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Firmware/fdd_ide.pof -------------------------------------------------------------------------------- /Firmware/old/Sources/HddFddp_vclc.pin: -------------------------------------------------------------------------------- 1 | -- Copyright (C) 1991-2009 Altera Corporation 2 | -- Your use of Altera Corporation's design tools, logic functions 3 | -- and other software and tools, and its AMPP partner logic 4 | -- functions, and any output files from any of the foregoing 5 | -- (including device programming or simulation files), and any 6 | -- associated documentation or information are expressly subject 7 | -- to the terms and conditions of the Altera Program License 8 | -- Subscription Agreement, Altera MegaCore Function License 9 | -- Agreement, or other applicable license agreement, including, 10 | -- without limitation, that your use is for the sole purpose of 11 | -- programming logic devices manufactured by Altera and sold by 12 | -- Altera or its authorized distributors. Please refer to the 13 | -- applicable agreement for further details. 14 | -- 15 | -- This is a Quartus II output file. It is for reporting purposes only, and is 16 | -- not intended for use as a Quartus II input file. This file cannot be used 17 | -- to make Quartus II pin assignments - for instructions on how to make pin 18 | -- assignments, please see Quartus II help. 19 | --------------------------------------------------------------------------------- 20 | 21 | 22 | 23 | --------------------------------------------------------------------------------- 24 | -- NC : No Connect. This pin has no internal connection to the device. 25 | -- DNU : Do Not Use. This pin MUST NOT be connected. 26 | -- VCC : Dedicated power pin, which MUST be connected to VCC. 27 | -- VCCIO : Dedicated power pin, which MUST be connected to VCC 28 | -- of its bank. 29 | -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. 30 | -- It can also be used to report unused dedicated pins. The connection 31 | -- on the board for unused dedicated pins depends on whether this will 32 | -- be used in a future design. One example is device migration. When 33 | -- using device migration, refer to the device pin-tables. If it is a 34 | -- GND pin in the pin table or if it will not be used in a future design 35 | -- for another purpose the it MUST be connected to GND. If it is an unused 36 | -- dedicated pin, then it can be connected to a valid signal on the board 37 | -- (low, high, or toggling) if that signal is required for a different 38 | -- revision of the design. 39 | -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. 40 | -- This pin should be connected to GND. It may also be connected to a 41 | -- valid signal on the board (low, high, or toggling) if that signal 42 | -- is required for a different revision of the design. 43 | -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), 44 | -- connect each pin marked GND* either individually through a 10k Ohm resistor 45 | -- to GND or tie all pins together and connect through a single 10k Ohm resistor 46 | -- to GND. 47 | -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND 48 | -- or leave it unconnected. 49 | -- RESERVED : Unused I/O pin, which MUST be left unconnected. 50 | -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. 51 | -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. 52 | -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. 53 | -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. 54 | -- NON_MIGRATABLE: This pin cannot be migrated. 55 | --------------------------------------------------------------------------------- 56 | 57 | 58 | 59 | --------------------------------------------------------------------------------- 60 | -- Pin directions (input, output or bidir) are based on device operating in user mode. 61 | --------------------------------------------------------------------------------- 62 | 63 | Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version 64 | CHIP "HddFddp_vclc" ASSIGNED TO AN: EPM7128SLC84-15 65 | 66 | Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment 67 | ------------------------------------------------------------------------------------------------------------- 68 | conf1 : 1 : input : TTL : : : Y 69 | conf2 : 2 : input : TTL : : : Y 70 | VCCINT : 3 : power : : 5.0V : : 71 | WDCReset : 4 : output : TTL : : : Y 72 | WDCCs_n : 5 : output : TTL : : : Y 73 | WDCLDOR_n : 6 : output : TTL : : : Y 74 | GND : 7 : gnd : : : : 75 | ROMCs_n : 8 : output : TTL : : : Y 76 | ROMAdr14 : 9 : output : TTL : : : Y 77 | ROMAdr17 : 10 : output : TTL : : : Y 78 | ROMWe_n : 11 : output : TTL : : : Y 79 | pSltCLC : 12 : input : TTL : : : Y 80 | VCCIO : 13 : power : : 5.0V : : 81 | TDI : 14 : input : TTL : : : N 82 | ROMAdr16 : 15 : output : TTL : : : Y 83 | ROMAdr15 : 16 : output : TTL : : : Y 84 | pSltDat[7] : 17 : bidir : TTL : : : Y 85 | pSltDat[6] : 18 : bidir : TTL : : : Y 86 | GND : 19 : gnd : : : : 87 | pSltDat[5] : 20 : bidir : TTL : : : Y 88 | pSltDat[4] : 21 : bidir : TTL : : : Y 89 | pSltDat[3] : 22 : bidir : TTL : : : Y 90 | TMS : 23 : input : TTL : : : N 91 | pSltDat[2] : 24 : bidir : TTL : : : Y 92 | pSltDat[1] : 25 : bidir : TTL : : : Y 93 | VCCIO : 26 : power : : 5.0V : : 94 | pSltDat[0] : 27 : bidir : TTL : : : Y 95 | pSltAdr[5] : 28 : input : TTL : : : Y 96 | pSltAdr[4] : 29 : input : TTL : : : Y 97 | pSltAdr[3] : 30 : input : TTL : : : Y 98 | pSltAdr[2] : 31 : input : TTL : : : Y 99 | GND : 32 : gnd : : : : 100 | pSltAdr[1] : 33 : input : TTL : : : Y 101 | pSltAdr[0] : 34 : input : TTL : : : Y 102 | pSltAdr[14] : 35 : input : TTL : : : Y 103 | pSltAdr[13] : 36 : input : TTL : : : Y 104 | pSltAdr[12] : 37 : input : TTL : : : Y 105 | VCCIO : 38 : power : : 5.0V : : 106 | pSltAdr[8] : 39 : input : TTL : : : Y 107 | pSltAdr[7] : 40 : input : TTL : : : Y 108 | pSltAdr[6] : 41 : input : TTL : : : Y 109 | GND : 42 : gnd : : : : 110 | VCCINT : 43 : power : : 5.0V : : 111 | pSltAdr[11] : 44 : input : TTL : : : Y 112 | pSltAdr[10] : 45 : input : TTL : : : Y 113 | pSltAdr[9] : 46 : input : TTL : : : Y 114 | GND : 47 : gnd : : : : 115 | pSltAdr[15] : 48 : input : TTL : : : Y 116 | pSltRst_n : 49 : input : TTL : : : Y 117 | pSltWr_n : 50 : input : TTL : : : Y 118 | pSltRd_n : 51 : input : TTL : : : Y 119 | pIDEAdr[2] : 52 : output : TTL : : : Y 120 | VCCIO : 53 : power : : 5.0V : : 121 | pIDEAdr[1] : 54 : output : TTL : : : Y 122 | pIDEAdr[0] : 55 : output : TTL : : : Y 123 | pIDEDat[0] : 56 : bidir : TTL : : : Y 124 | pIDEDat[1] : 57 : bidir : TTL : : : Y 125 | pIDEDat[8] : 58 : bidir : TTL : : : Y 126 | GND : 59 : gnd : : : : 127 | pIDEDat[2] : 60 : bidir : TTL : : : Y 128 | pIDEDat[9] : 61 : bidir : TTL : : : Y 129 | TCK : 62 : input : TTL : : : N 130 | pIDEDat[10] : 63 : bidir : TTL : : : Y 131 | pIDEWR_n : 64 : output : TTL : : : Y 132 | pIDERD_n : 65 : output : TTL : : : Y 133 | VCCIO : 66 : power : : 5.0V : : 134 | pIDECS3_n : 67 : output : TTL : : : Y 135 | pIDECS1_n : 68 : output : TTL : : : Y 136 | pIDEDat[15] : 69 : bidir : TTL : : : Y 137 | pIDEDat[7] : 70 : bidir : TTL : : : Y 138 | TDO : 71 : output : TTL : : : N 139 | GND : 72 : gnd : : : : 140 | pIDEDat[3] : 73 : bidir : TTL : : : Y 141 | pIDEDat[11] : 74 : bidir : TTL : : : Y 142 | pIDEDat[5] : 75 : bidir : TTL : : : Y 143 | pIDEDat[12] : 76 : bidir : TTL : : : Y 144 | pIDEDat[4] : 77 : bidir : TTL : : : Y 145 | VCCIO : 78 : power : : 5.0V : : 146 | pIDEDat[14] : 79 : bidir : TTL : : : Y 147 | pIDEDat[6] : 80 : bidir : TTL : : : Y 148 | pIDEDat[13] : 81 : bidir : TTL : : : Y 149 | GND : 82 : gnd : : : : 150 | conf0 : 83 : input : TTL : : : Y 151 | pSltSltsl_n : 84 : input : TTL : : : Y 152 | -------------------------------------------------------------------------------- /Firmware/old/Sources/HddFddp_vclc.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Firmware/old/Sources/HddFddp_vclc.pof -------------------------------------------------------------------------------- /Firmware/old/Sources/HddFddp_vclc.vhd: -------------------------------------------------------------------------------- 1 | ---------------------------------------------------------------- 2 | -- FDD-IDE firmware v1.00 3 | -- Copyright 2015-2022 (C) RBSC 4 | ---------------------------------------------------------------- 5 | 6 | library IEEE; 7 | use IEEE.std_logic_1164.all; 8 | use IEEE.std_logic_unsigned.all; 9 | 10 | entity HddFddp_vclc is 11 | port( 12 | pSltAdr : IN std_logic_vector(15 downto 0); 13 | ROMAdr14 : OUT std_logic; 14 | ROMAdr15 : OUT std_logic; 15 | ROMAdr16 : OUT std_logic; 16 | ROMAdr17 : OUT std_logic; 17 | conf0 : IN std_logic; 18 | conf1 : IN std_logic; 19 | conf2 : IN std_logic; 20 | pSltDat : INOUT std_logic_vector(7 downto 0); 21 | pIDEAdr : OUT std_logic_vector(2 downto 0); 22 | pIDEDat : INOUT std_logic_vector(15 downto 0); 23 | WDCReset : OUT std_logic; 24 | pIDECS1_n : OUT std_logic; 25 | pIDECS3_n : OUT std_logic; 26 | pIDERD_n : OUT std_logic; 27 | pIDEWR_n : OUT std_logic; 28 | pSltRd_n : IN std_logic; 29 | pSltRst_n : IN std_logic; 30 | pSltCLC : IN std_logic; 31 | ROMCs_n : OUT std_logic; 32 | ROMWe_n : OUT std_logic; 33 | pSltSltsl_n : IN std_logic; 34 | WDCCs_n : OUT std_logic; 35 | WDCLDOR_n : OUT std_logic; 36 | pSltWr_n : IN std_logic 37 | ); 38 | end HddFddp_vclc; 39 | 40 | architecture RTL of HddFddp_vclc is 41 | 42 | 43 | 44 | -- signal pSltClk_n : std_logic; 45 | signal cReg : std_logic_vector(7 downto 0); 46 | signal ExpSltReg : std_logic_vector(7 downto 0); 47 | signal DecExp1s0 : std_logic; 48 | signal DecExp0s1 : std_logic; 49 | signal DecExp1s1 : std_logic; 50 | signal DecExp1s2 : std_logic; 51 | signal DecExp0s2 : std_logic; 52 | signal IDEReg : std_logic; 53 | signal IDEsOUT : std_logic_vector(7 downto 0); 54 | signal IDEsIN : std_logic_vector(7 downto 0); 55 | -- signal expFlash : std_logic; 56 | -- signal expEnCount : std_logic_vector(1 downto 0); 57 | signal DecIDEconf : std_logic; 58 | signal CLC_n : std_logic; 59 | signal RD_hT1 : std_logic; 60 | signal RD_hT2 : std_logic; 61 | -- signal RD_hT3 : std_logic; 62 | signal WR_hT1 : std_logic; 63 | signal WR_hT2 : std_logic; 64 | -- signal pSltWr : std_logic; 65 | signal rdtn : std_logic; 66 | -- signal CLCcount : std_logic_vector(15 downto 0); 67 | -- signal countRun : std_logic; 68 | signal FDDregDec : std_logic; 69 | -- signal DecSccA : std_logic; 70 | -- signal DecSccB : std_logic; 71 | 72 | 73 | begin 74 | 75 | ---------------------------------------------------------------- 76 | -- Dummy pin 77 | ---------------------------------------------------------------- 78 | 79 | 80 | 81 | ---------------------------------------------------------------- 82 | -- Expand slot decoding 83 | ---------------------------------------------------------------- 84 | -- 0000h-3FFFh 85 | DecExp1s0 <= '1' when pSltSltsl_n = '0' and pSltAdr(15 downto 14) = "00" and ExpSltReg(1 downto 0) = "0" & (not conf1) 86 | else '0'; 87 | -- 4000h-7FFFh 88 | DecExp0s1 <= '1' when pSltSltsl_n = '0' and pSltAdr(15 downto 14) = "01" and ExpSltReg(3 downto 2) = "0" & conf1 89 | else '0'; 90 | DecExp1s1 <= '1' when pSltSltsl_n = '0' and pSltAdr(15 downto 14) = "01" and ExpSltReg(3 downto 2) = "0" & (not conf1) 91 | else '0'; 92 | -- 8000h-BFFFh 93 | DecExp1s2 <= '1' when pSltSltsl_n = '0' and pSltAdr(15 downto 14) = "10" and ExpSltReg(5 downto 4) = "0" & (not conf1) 94 | else '0'; 95 | DecExp0s2 <= '1' when pSltSltsl_n = '0' and pSltAdr(15 downto 14) = "10" and ExpSltReg(5 downto 4) = "0" & conf1 96 | else '0'; 97 | DecIDEconf <= '1' when DecExp0s1 = '1' and pSltAdr(15 downto 0) = "0100000100000100" 98 | else '0'; 99 | ---------------------------------------------------------------- 100 | -- Adapt timing 101 | ---------------------------------------------------------------- 102 | CLC_n <= not pSltCLC; 103 | -- pSltWr <= not pSltWR_n; 104 | -- rdtn <= '0' when ((pSltRst_n = '1' and pSltRd_n = '0') and pSltSltsl_n = '0') and pSltWr_n = '1' 105 | -- else '1'; 106 | process(pSltRst_n, CLC_n, pSltRd_n) 107 | begin 108 | -- if (pSltRst_n = '0') then 109 | --- if (RD_hT2 = '0') then 110 | --- if (pSltRd_n = '0' and CLC_n = '0' and IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '0')) then 111 | --- RD_hT1 <= '1'; 112 | --- end if; 113 | --- elsif (pSltRd_n'event and pSltRd_n = '1') then 114 | --- if(RD_hT2 = '1') then 115 | --- RD_hT1 <= '0'; 116 | --- end if; 117 | --- end if; 118 | if (pSltRd_n = '1') then 119 | RD_hT1 <= '0'; 120 | elsif (RD_hT2 = '0') then 121 | if (pSltRd_n = '0' and CLC_n = '0' and IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '0')) then 122 | RD_hT1 <= '1'; 123 | end if; 124 | end if; 125 | -- if (pSltRst_n = '0') then 126 | -- RD_hT2 <= '0'; 127 | -- elsif (CLC_n'event and CLC_n = '1') then 128 | if (CLC_n'event and CLC_n = '1') then 129 | RD_hT2 <= not pSltRd_n; 130 | end if; 131 | end process; 132 | 133 | process(pSltRst_n, CLC_n) 134 | begin 135 | if (pSltRst_n = '0') then 136 | WR_hT2 <= '0'; 137 | elsif (CLC_n'event and CLC_n = '0') then 138 | WR_hT2 <= WR_hT1; 139 | end if; 140 | end process; 141 | 142 | 143 | process(pSltWr_n,WR_hT2) 144 | begin 145 | -- if (WR_hT2 = '0') then 146 | -- if (pSltWr_n = '0' and IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '1')) then 147 | -- WR_hT1 <= '1'; 148 | -- end if; 149 | -- elsif (pSltWr_n'event and pSltWr_n = '1') then 150 | -- if (WR_hT2 = '1') then 151 | -- WR_hT1 <= '0'; 152 | -- end if; 153 | -- end if; 154 | if (pSltWr_n = '1') then 155 | WR_hT1 <= '0'; 156 | elsif (WR_hT2 = '0') then 157 | if (pSltWr_n = '0' and IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '1')) then 158 | WR_hT1 <= '1'; 159 | end if; 160 | end if; 161 | 162 | end process; 163 | ---------------------------------------------------------------- 164 | -- Set Register 165 | ---------------------------------------------------------------- 166 | process(pSltRst_n, pSltWr_n) 167 | begin 168 | 169 | if (pSltRst_n = '0') then 170 | 171 | ExpSltReg <= "00000000"; 172 | cReg <= "00000000"; 173 | 174 | elsif (pSltWr_n'event and pSltWr_n = '0') then 175 | 176 | -- Expand Slot Register 177 | if (pSltSltsl_n = '0' and pSltAdr(15 downto 0) = "1111111111111111" and 178 | conf0 = '0') then 179 | ExpSltReg <= pSltDat; 180 | end if; 181 | -- Config IDE Sunrise Register 182 | if (DecIDEconf = '1') then 183 | cReg <= pSltDat; 184 | end if; 185 | 186 | end if; 187 | end process; 188 | 189 | ---------------------------------------------------------------- 190 | -- FDD WDC controler decoder 191 | ---------------------------------------------------------------- 192 | 193 | FDDregDec <= '1' when DecExp1s1 = '1' and pSltAdr(13 downto 2) = "111111111100" -- 3FF(0/1/2/3) 194 | else '0'; 195 | WDCReset <= '1' when pSltRst_n = '0' 196 | else '0'; 197 | WDCCs_n <= '0' when ((DecExp1s2 = '1' or DecExp1s0 = '1') and pSltAdr(13 downto 12) = "00") or 198 | (FDDregDec = '1' and pSltAdr(1) = '0') 199 | else '1'; 200 | WDCLDOR_n <= '0' when ((DecExp1s2 = '1' or DecExp1s0 = '1') and pSltAdr(13 downto 12) = "01") or 201 | (FDDregDec = '1' and pSltAdr(1) = '1') 202 | else '1'; 203 | 204 | ---------------------------------------------------------------- 205 | -- ROM decoder 206 | ---------------------------------------------------------------- 207 | 208 | -- ROMReset_n <= pSltRst_n; 209 | 210 | ROMCs_n <= '0' when ((DecExp1s1 = '1' and FDDregDec = '0') or DecExp0s1 = '1' or (DecExp0s2 = '1' and pSltRd_n = '1'--and expFlash = '1' 211 | )) and IDEReg = '0' 212 | else '1'; 213 | ROMAdr14 <= cReg(7) when DecExp1s1 = '0' 214 | else '1'; -- fdd rom 1C000-1FFFF 215 | ROMAdr15 <= cReg(6) when DecExp1s1 = '0' 216 | else '1'; -- fdd rom 217 | ROMAdr16 <= cReg(5) when DecExp1s1 = '0' 218 | else '1'; -- fdd rom 219 | ROMAdr17 <= cReg(4) or conf2 when DecExp1s1 = '0' -- and expFlash = '1' -- Expand Flash 220 | -- else conf2 when DecExp1s1 = '0' 221 | else '0'; -- fdd rom 222 | 223 | ROMWe_n <= '0' when DecExp0s2 = '1' and WR_hT1 = '1' -- pSltWr_n = '0' -- and expFlash = '1' 224 | else '1'; 225 | ---------------------------------------------------------------- 226 | -- IDE Processing 227 | ---------------------------------------------------------------- 228 | 229 | IDEReg <= '0' when pSltAdr(9 downto 8) = "11" 230 | else '1' when DecExp0s1 = '1' and cReg(0) = '1' and pSltAdr(13 downto 10) = "1111" -- 7C00h-7FEFh 231 | else '0'; 232 | process(IDEReg, RD_hT1) 233 | begin 234 | if (CLC_n'event and CLC_n = '0') then 235 | if (IDEReg = '1' and pSltAdr(9) = '0' and pSltAdr(0) = '0' and pSltRd_n = '0' and RD_hT2 = '1') then 236 | IDEsIN <= pIDEDat(15 downto 8); 237 | end if; 238 | end if; 239 | end process; 240 | process(IDEReg) 241 | begin 242 | if (IDEReg = '1' and pSltAdr(9) = '0' and pSltWr_n = '0' and pSltAdr(0) = '0') then 243 | IDEsOUT <= pSltDat; 244 | end if; 245 | end process; 246 | pIDEDat(15 downto 8) <= pSltDat when IDEReg = '1' and pSltAdr(9) = '1' and RD_hT1 = '0' 247 | -- and RD_hT2 = '0' 248 | and pSltRd_n = '1' 249 | else pSltDat when IDEReg = '1' and RD_hT1 = '0' 250 | -- and RD_hT2 = '0' 251 | and pSltRd_n = '1' 252 | else (others => 'Z'); 253 | pIDEDat(7 downto 0) <= pSltDat when IDEReg = '1' and pSltAdr(9) = '1' and RD_hT1 = '0' 254 | -- and RD_hT2 = '0' 255 | and pSltRd_n = '1' 256 | else IDEsOUT when IDEReg = '1' and pSltAdr(9) = '0' and pSltAdr(0) = '1' 257 | and RD_hT1 = '0' -- and RD_hT2 = '0' -- and pSltRd_n = '1' 258 | else (others => 'Z'); 259 | 260 | pIDEAdr <= pSltAdr(2 downto 0) when pSltAdr(9) = '1' 261 | else "000"; 262 | pIDECS1_n <= pSltAdr(3) when pSltAdr(9) = '1' 263 | else '0'; 264 | pIDECS3_n <= not pSltAdr(3) when pSltAdr(9) = '1' 265 | else '1'; 266 | -- pIDERD_n <= '0' when IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '0') and RD_hT1 = '1' -- and pSltRd_n = '0' 267 | -- else '1'; 268 | -- pIDEWR_n <= '0' when IDEReg = '1' and (pSltAdr(9) = '1' or pSltAdr(0) = '1') and WR_hT1 = '1' -- and pSltWr_n = '0' 269 | -- else '1'; 270 | pIDERD_n <= not RD_hT1; 271 | pIDEWR_n <= not WR_hT1; 272 | ---------------------------------------------------------------- 273 | -- Read DATA 274 | ---------------------------------------------------------------- 275 | 276 | pSltDat <= not ExpSltReg when pSltSltsl_n = '0' and pSltAdr(15 downto 0) = "1111111111111111" and 277 | conf0 = '0' and pSltRd_n = '0' -- and RD_hT1 ='1' 278 | 279 | else IDEsIN when IDEReg = '1' and pSltAdr(9) = '0' and pSltAdr(0) = '1' 280 | and pSltRd_n = '0' -- and RD_hT1 = '1' 281 | else pIDEDat(7 downto 0) when IDEReg = '1' and (pSltAdr(0) = '0' or pSltAdr(9) = '1') 282 | and pSltRd_n = '0' -- and RD_hT1 = '1' 283 | else (others => 'Z'); 284 | 285 | 286 | end RTL; 287 | -------------------------------------------------------------------------------- /Firmware/old/Sources/readme_firmware.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD+IDE Cartridge version 1.2 3 | Copyright (c) 2015-2020 RBSC 4 | -------------------------------------------- 5 | 6 | The Altera firmware was created by RBSC. Commercial usage is not allowed! 7 | 8 | When the sources are used to create alternative projects, please always 9 | mention the original source and the copyright! 10 | 11 | See the readme.txt file for more info. -------------------------------------------------------------------------------- /Firmware/old/fdd_ide.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/Firmware/old/fdd_ide.pof -------------------------------------------------------------------------------- /Firmware/readme_firmware.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD+IDE Cartridge version 1.2 3 | Copyright (c) 2015-2022 RBSC 4 | -------------------------------------------- 5 | 6 | The Altera firmware was created by RBSC. Commercial usage is not allowed! 7 | 8 | When the sources are used to create alternative projects, please always 9 | mention the original source and the copyright! 10 | 11 | See the readme.txt file for more info. -------------------------------------------------------------------------------- /Gerber/FDDIDE001R07_CLC.BDR: -------------------------------------------------------------------------------- 1 | G04* 2 | G04 File: FDDIDE001R07_CLC.BDR, Sun Oct 04 14:24:40 2015* 3 | G04 Source: P-CAD 2006 PCB, Version 19.02.958, (G:\Platform\MSX\Projects\Ptero\FDD+HDD\FDDIDE001r07_clc.pcb)* 4 | G04 Format: Gerber Format (RS-274-D), ASCII* 5 | G04* 6 | G04 Format Options: Absolute Positioning* 7 | G04 Leading-Zero Suppression* 8 | G04 Scale Factor 1:1* 9 | G04 NO Circular Interpolation* 10 | G04 Inch Units* 11 | G04 Numeric Format: 4.4 (XXXX.XXXX)* 12 | G04 G54 NOT Used for Aperture Change* 13 | G04 Apertures Embedded* 14 | G04* 15 | G04 File Options: Offset = (0.0mil,0.0mil)* 16 | G04 Drill Symbol Size = 80.0mil* 17 | G04 No Pad/Via Holes* 18 | G04* 19 | G04 File Contents: No Pads* 20 | G04 No Vias* 21 | G04 No Designators* 22 | G04 No Types* 23 | G04 No Values* 24 | G04 No Drill Symbols* 25 | G04 Board* 26 | G04* 27 | %INFDDIDE001R07_CLC.BDR*% 28 | %ICAS*% 29 | %MOIN*% 30 | G04* 31 | G04 Aperture MACROs for general use --- invoked via D-code assignment * 32 | G04* 33 | G04 General MACRO for flashed round with rotation and/or offset hole * 34 | %AMROTOFFROUND* 35 | 1,1,$1,0.0000,0.0000* 36 | 1,0,$2,$3,$4*% 37 | G04* 38 | G04 General MACRO for flashed oval (obround) with rotation and/or offset hole * 39 | %AMROTOFFOVAL* 40 | 21,1,$1,$2,0.0000,0.0000,$3* 41 | 1,1,$4,$5,$6* 42 | 1,1,$4,0-$5,0-$6* 43 | 1,0,$7,$8,$9*% 44 | G04* 45 | G04 General MACRO for flashed oval (obround) with rotation and no hole * 46 | %AMROTOVALNOHOLE* 47 | 21,1,$1,$2,0.0000,0.0000,$3* 48 | 1,1,$4,$5,$6* 49 | 1,1,$4,0-$5,0-$6*% 50 | G04* 51 | G04 General MACRO for flashed rectangle with rotation and/or offset hole * 52 | %AMROTOFFRECT* 53 | 21,1,$1,$2,0.0000,0.0000,$3* 54 | 1,0,$4,$5,$6*% 55 | G04* 56 | G04 General MACRO for flashed rectangle with rotation and no hole * 57 | %AMROTRECTNOHOLE* 58 | 21,1,$1,$2,0.0000,0.0000,$3*% 59 | G04* 60 | G04 General MACRO for flashed rounded-rectangle * 61 | %AMROUNDRECT* 62 | 21,1,$1,$2-$4,0.0000,0.0000,$3* 63 | 21,1,$1-$4,$2,0.0000,0.0000,$3* 64 | 1,1,$4,$5,$6* 65 | 1,1,$4,$7,$8* 66 | 1,1,$4,0-$5,0-$6* 67 | 1,1,$4,0-$7,0-$8* 68 | 1,0,$9,$10,$11*% 69 | G04* 70 | G04 General MACRO for flashed rounded-rectangle with rotation and no hole * 71 | %AMROUNDRECTNOHOLE* 72 | 21,1,$1,$2-$4,0.0000,0.0000,$3* 73 | 21,1,$1-$4,$2,0.0000,0.0000,$3* 74 | 1,1,$4,$5,$6* 75 | 1,1,$4,$7,$8* 76 | 1,1,$4,0-$5,0-$6* 77 | 1,1,$4,0-$7,0-$8*% 78 | G04* 79 | G04 General MACRO for flashed regular polygon * 80 | %AMREGPOLY* 81 | 5,1,$1,0.0000,0.0000,$2,$3+$4* 82 | 1,0,$5,$6,$7*% 83 | G04* 84 | G04 General MACRO for flashed regular polygon with no hole * 85 | %AMREGPOLYNOHOLE* 86 | 5,1,$1,0.0000,0.0000,$2,$3+$4*% 87 | G04* 88 | G04 General MACRO for target * 89 | %AMTARGET* 90 | 6,0,0,$1,$2,$3,4,$4,$5,$6*% 91 | G04* 92 | G04 General MACRO for mounting hole * 93 | %AMMTHOLE* 94 | 1,1,$1,0,0* 95 | 1,0,$2,0,0* 96 | $1=$1-$2* 97 | $1=$1/2* 98 | 21,1,$2+$1,$3,0,0,$4* 99 | 21,1,$3,$2+$1,0,0,$4*% 100 | G04* 101 | G04* 102 | G04 D10 : "Ellipse X10.0mil Y10.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 103 | G04 Disc: OuterDia=0.0100* 104 | %ADD10C, 0.0100*% 105 | G04 D11 : "Ellipse X12.0mil Y12.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 106 | G04 Disc: OuterDia=0.0120* 107 | %ADD11C, 0.0120*% 108 | G04 D12 : "Ellipse X2.0mil Y2.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 109 | G04 Disc: OuterDia=0.0020* 110 | %ADD12C, 0.0020*% 111 | G04 D13 : "Ellipse X20.0mil Y20.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 112 | G04 Disc: OuterDia=0.0200* 113 | %ADD13C, 0.0200*% 114 | G04 D14 : "Ellipse X25.0mil Y25.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 115 | G04 Disc: OuterDia=0.0250* 116 | %ADD14C, 0.0250*% 117 | G04 D15 : "Ellipse X3.9mil Y3.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 118 | G04 Disc: OuterDia=0.0039* 119 | %ADD15C, 0.0039*% 120 | G04 D16 : "Ellipse X30.0mil Y30.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 121 | G04 Disc: OuterDia=0.0300* 122 | %ADD16C, 0.0300*% 123 | G04 D17 : "Ellipse X35.0mil Y35.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 124 | G04 Disc: OuterDia=0.0350* 125 | %ADD17C, 0.0350*% 126 | G04 D18 : "Ellipse X40.0mil Y40.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 127 | G04 Disc: OuterDia=0.0400* 128 | %ADD18C, 0.0400*% 129 | G04 D19 : "Ellipse X45.0mil Y45.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 130 | G04 Disc: OuterDia=0.0450* 131 | %ADD19C, 0.0450*% 132 | G04 D20 : "Ellipse X5.0mil Y5.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 133 | G04 Disc: OuterDia=0.0050* 134 | %ADD20C, 0.0050*% 135 | G04 D21 : "Ellipse X5.9mil Y5.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 136 | G04 Disc: OuterDia=0.0059* 137 | %ADD21C, 0.0059*% 138 | G04 D22 : "Ellipse X5.9mil Y5.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 139 | G04 Disc: OuterDia=0.0059* 140 | %ADD22C, 0.0059*% 141 | G04 D23 : "Ellipse X50.0mil Y50.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 142 | G04 Disc: OuterDia=0.0500* 143 | %ADD23C, 0.0500*% 144 | G04 D24 : "Ellipse X6.1mil Y6.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 145 | G04 Disc: OuterDia=0.0061* 146 | %ADD24C, 0.0061*% 147 | G04 D25 : "Ellipse X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 148 | G04 Disc: OuterDia=0.0600* 149 | %ADD25C, 0.0600*% 150 | G04 D26 : "Ellipse X7.9mil Y7.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 151 | G04 Disc: OuterDia=0.0079* 152 | %ADD26C, 0.0079*% 153 | G04 D27 : "Ellipse X80.0mil Y80.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 154 | G04 Disc: OuterDia=0.0800* 155 | %ADD27C, 0.0800*% 156 | G04 D28 : "Ellipse X9.8mil Y9.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 157 | G04 Disc: OuterDia=0.0098* 158 | %ADD28C, 0.0098*% 159 | G04 D29 : "Ellipse X199.0mil Y199.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 160 | G04 Disc: OuterDia=0.1990* 161 | %ADD29C, 0.1990*% 162 | G04 D30 : "Ellipse X45.1mil Y45.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 163 | G04 Disc: OuterDia=0.0451* 164 | %ADD30C, 0.0451*% 165 | G04 D31 : "Ellipse X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 166 | G04 Disc: OuterDia=0.0600* 167 | %ADD31C, 0.0600*% 168 | G04 D32 : "Ellipse X75.0mil Y75.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 169 | G04 Disc: OuterDia=0.0750* 170 | %ADD32C, 0.0750*% 171 | G04 D33 : "Ellipse X86.0mil Y86.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 172 | G04 Disc: OuterDia=0.0860* 173 | %ADD33C, 0.0860*% 174 | G04 D34 : "Mounting Hole X184.0mil Y184.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 175 | G04 Mounting Hole: Diameter=0.1840, Rotation=0.0, LineWidth=0.0050 * 176 | %ADD34MTHOLE, 0.1840 X0.1640 X0.0050 X0.0*% 177 | G04 D35 : "Mounting Hole X30.1mil Y30.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 178 | G04 Mounting Hole: Diameter=0.0301, Rotation=0.0, LineWidth=0.0050 * 179 | %ADD35MTHOLE, 0.0301 X0.0101 X0.0050 X0.0*% 180 | G04 D36 : "Mounting Hole X71.0mil Y71.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 181 | G04 Mounting Hole: Diameter=0.0710, Rotation=0.0, LineWidth=0.0050 * 182 | %ADD36MTHOLE, 0.0710 X0.0510 X0.0050 X0.0*% 183 | G04 D37 : "Ellipse X143.7mil Y143.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 184 | G04 Disc: OuterDia=0.1437* 185 | %ADD37C, 0.1437*% 186 | G04 D38 : "Ellipse X158.7mil Y158.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 187 | G04 Disc: OuterDia=0.1587* 188 | %ADD38C, 0.1587*% 189 | G04 D39 : "Rounded Rectangle X50.0mil Y350.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 190 | G04 RoundRct: DimX=0.0500, DimY=0.3500, CornerRad=0.0125, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 191 | %ADD39ROUNDRECTNOHOLE, 0.0500 X0.3500 X0.0 X0.0250 X-0.0125 X-0.1625 X-0.0125 X0.1625*% 192 | G04 D40 : "Rounded Rectangle X65.0mil Y365.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 193 | G04 RoundRct: DimX=0.0650, DimY=0.3650, CornerRad=0.0163, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 194 | %ADD40ROUNDRECTNOHOLE, 0.0650 X0.3650 X0.0 X0.0325 X-0.0163 X-0.1663 X-0.0163 X0.1663*% 195 | G04 D41 : "Rectangle X63.0mil Y106.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 196 | G04 Rectangular: DimX=0.0630, DimY=0.1063, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 197 | %ADD41R, 0.0630 X0.1063*% 198 | G04 D42 : "Rectangle X78.0mil Y121.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 199 | G04 Rectangular: DimX=0.0780, DimY=0.1213, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 200 | %ADD42R, 0.0780 X0.1213*% 201 | G04 D43 : "Rectangle X15.7mil Y137.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 202 | G04 Rectangular: DimX=0.0157, DimY=0.1378, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 203 | %ADD43R, 0.0157 X0.1378*% 204 | G04 D44 : "Rectangle X30.7mil Y152.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 205 | G04 Rectangular: DimX=0.0307, DimY=0.1528, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 206 | %ADD44R, 0.0307 X0.1528*% 207 | G04 D45 : "Rectangle X23.6mil Y86.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 208 | G04 Rectangular: DimX=0.0236, DimY=0.0866, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 209 | %ADD45R, 0.0236 X0.0866*% 210 | G04 D46 : "Rectangle X86.6mil Y23.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 211 | G04 Rectangular: DimX=0.0866, DimY=0.0236, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 212 | %ADD46R, 0.0866 X0.0236*% 213 | G04 D47 : "Rectangle X24.4mil Y118.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 214 | G04 Rectangular: DimX=0.0244, DimY=0.1181, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 215 | %ADD47R, 0.0244 X0.1181*% 216 | G04 D48 : "Rectangle X24.4mil Y98.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 217 | G04 Rectangular: DimX=0.0244, DimY=0.0984, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 218 | %ADD48R, 0.0244 X0.0984*% 219 | G04 D49 : "Rectangle X98.4mil Y24.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 220 | G04 Rectangular: DimX=0.0984, DimY=0.0244, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 221 | %ADD49R, 0.0984 X0.0244*% 222 | G04 D50 : "Rectangle X24.8mil Y78.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 223 | G04 Rectangular: DimX=0.0248, DimY=0.0787, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 224 | %ADD50R, 0.0248 X0.0787*% 225 | G04 D51 : "Rectangle X78.7mil Y24.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 226 | G04 Rectangular: DimX=0.0787, DimY=0.0248, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 227 | %ADD51R, 0.0787 X0.0248*% 228 | G04 D52 : "Rectangle X38.6mil Y101.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 229 | G04 Rectangular: DimX=0.0386, DimY=0.1016, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 230 | %ADD52R, 0.0386 X0.1016*% 231 | G04 D53 : "Rectangle X101.6mil Y38.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 232 | G04 Rectangular: DimX=0.1016, DimY=0.0386, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 233 | %ADD53R, 0.1016 X0.0386*% 234 | G04 D54 : "Rectangle X39.4mil Y113.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 235 | G04 Rectangular: DimX=0.0394, DimY=0.1134, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 236 | %ADD54R, 0.0394 X0.1134*% 237 | G04 D55 : "Rectangle X113.4mil Y39.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 238 | G04 Rectangular: DimX=0.1134, DimY=0.0394, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 239 | %ADD55R, 0.1134 X0.0394*% 240 | G04 D56 : "Rectangle X39.4mil Y133.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 241 | G04 Rectangular: DimX=0.0394, DimY=0.1331, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 242 | %ADD56R, 0.0394 X0.1331*% 243 | G04 D57 : "Rectangle X39.8mil Y93.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 244 | G04 Rectangular: DimX=0.0398, DimY=0.0937, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 245 | %ADD57R, 0.0398 X0.0937*% 246 | G04 D58 : "Rectangle X93.7mil Y39.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 247 | G04 Rectangular: DimX=0.0937, DimY=0.0398, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 248 | %ADD58R, 0.0937 X0.0398*% 249 | G04 D59 : "Rectangle X43.3mil Y39.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 250 | G04 Rectangular: DimX=0.0433, DimY=0.0394, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 251 | %ADD59R, 0.0433 X0.0394*% 252 | G04 D60 : "Rectangle X39.4mil Y43.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 253 | G04 Rectangular: DimX=0.0394, DimY=0.0433, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 254 | %ADD60R, 0.0394 X0.0433*% 255 | G04 D61 : "Rectangle X45.0mil Y120.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 256 | G04 Rectangular: DimX=0.0450, DimY=0.1200, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 257 | %ADD61R, 0.0450 X0.1200*% 258 | G04 D62 : "Rectangle X51.2mil Y59.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 259 | G04 Rectangular: DimX=0.0512, DimY=0.0591, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 260 | %ADD62R, 0.0512 X0.0591*% 261 | G04 D63 : "Rectangle X59.1mil Y51.2mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 262 | G04 Rectangular: DimX=0.0591, DimY=0.0512, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 263 | %ADD63R, 0.0591 X0.0512*% 264 | G04 D64 : "Rectangle X58.3mil Y54.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 265 | G04 Rectangular: DimX=0.0583, DimY=0.0544, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 266 | %ADD64R, 0.0583 X0.0544*% 267 | G04 D65 : "Rectangle X54.4mil Y58.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 268 | G04 Rectangular: DimX=0.0544, DimY=0.0583, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 269 | %ADD65R, 0.0544 X0.0583*% 270 | G04 D66 : "Rectangle X60.0mil Y135.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 271 | G04 Rectangular: DimX=0.0600, DimY=0.1350, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 272 | %ADD66R, 0.0600 X0.1350*% 273 | G04 D67 : "Rectangle X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 274 | G04 Square: Side=0.0600, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000* 275 | %ADD67R, 0.0600 X0.0600*% 276 | G04 D68 : "Rectangle X66.2mil Y74.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 277 | G04 Rectangular: DimX=0.0662, DimY=0.0741, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 278 | %ADD68R, 0.0662 X0.0741*% 279 | G04 D69 : "Rectangle X74.1mil Y66.2mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 280 | G04 Rectangular: DimX=0.0741, DimY=0.0662, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 281 | %ADD69R, 0.0741 X0.0662*% 282 | G04 D70 : "Rectangle X75.0mil Y75.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 283 | G04 Square: Side=0.0750, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000* 284 | %ADD70R, 0.0750 X0.0750*% 285 | G04 D71 : "Ellipse X40.0mil Y40.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 286 | G04 Disc: OuterDia=0.0400* 287 | %ADD71C, 0.0400*% 288 | G04 D72 : "Ellipse X55.0mil Y55.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 289 | G04 Disc: OuterDia=0.0550* 290 | %ADD72C, 0.0550*% 291 | G04 D73 : "Ellipse X70.0mil Y70.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 292 | G04 Disc: OuterDia=0.0700* 293 | %ADD73C, 0.0700*% 294 | G04* 295 | %FSLAX44Y44*% 296 | %SFA1B1*% 297 | %OFA0.0000B0.0000*% 298 | G04* 299 | G70* 300 | G90* 301 | G01* 302 | D2* 303 | %LNBoard*% 304 | D20* 305 | X266750Y260875* 306 | Y266000D1* 307 | X271500* 308 | Y285875* 309 | X235125* 310 | X232500* 311 | Y283750* 312 | Y269625* 313 | X236500* 314 | Y266000* 315 | X241250* 316 | Y260875* 317 | X241375* 318 | X266750* 319 | D02M02* 320 | -------------------------------------------------------------------------------- /Gerber/FDDIDE001R07_CLC.BMS: -------------------------------------------------------------------------------- 1 | G04* 2 | G04 File: FDDIDE001R07_CLC.BMS, Sun Oct 04 14:24:40 2015* 3 | G04 Source: P-CAD 2006 PCB, Version 19.02.958, (G:\Platform\MSX\Projects\Ptero\FDD+HDD\FDDIDE001r07_clc.pcb)* 4 | G04 Format: Gerber Format (RS-274-D), ASCII* 5 | G04* 6 | G04 Format Options: Absolute Positioning* 7 | G04 Leading-Zero Suppression* 8 | G04 Scale Factor 1:1* 9 | G04 NO Circular Interpolation* 10 | G04 Inch Units* 11 | G04 Numeric Format: 4.4 (XXXX.XXXX)* 12 | G04 G54 NOT Used for Aperture Change* 13 | G04 Apertures Embedded* 14 | G04* 15 | G04 File Options: Offset = (0.0mil,0.0mil)* 16 | G04 Drill Symbol Size = 80.0mil* 17 | G04 No Pad/Via Holes* 18 | G04* 19 | G04 File Contents: Pads* 20 | G04 No Vias* 21 | G04 No Designators* 22 | G04 No Types* 23 | G04 No Values* 24 | G04 No Drill Symbols* 25 | G04 Bot Mask* 26 | G04* 27 | %INFDDIDE001R07_CLC.BMS*% 28 | %ICAS*% 29 | %MOIN*% 30 | G04* 31 | G04 Aperture MACROs for general use --- invoked via D-code assignment * 32 | G04* 33 | G04 General MACRO for flashed round with rotation and/or offset hole * 34 | %AMROTOFFROUND* 35 | 1,1,$1,0.0000,0.0000* 36 | 1,0,$2,$3,$4*% 37 | G04* 38 | G04 General MACRO for flashed oval (obround) with rotation and/or offset hole * 39 | %AMROTOFFOVAL* 40 | 21,1,$1,$2,0.0000,0.0000,$3* 41 | 1,1,$4,$5,$6* 42 | 1,1,$4,0-$5,0-$6* 43 | 1,0,$7,$8,$9*% 44 | G04* 45 | G04 General MACRO for flashed oval (obround) with rotation and no hole * 46 | %AMROTOVALNOHOLE* 47 | 21,1,$1,$2,0.0000,0.0000,$3* 48 | 1,1,$4,$5,$6* 49 | 1,1,$4,0-$5,0-$6*% 50 | G04* 51 | G04 General MACRO for flashed rectangle with rotation and/or offset hole * 52 | %AMROTOFFRECT* 53 | 21,1,$1,$2,0.0000,0.0000,$3* 54 | 1,0,$4,$5,$6*% 55 | G04* 56 | G04 General MACRO for flashed rectangle with rotation and no hole * 57 | %AMROTRECTNOHOLE* 58 | 21,1,$1,$2,0.0000,0.0000,$3*% 59 | G04* 60 | G04 General MACRO for flashed rounded-rectangle * 61 | %AMROUNDRECT* 62 | 21,1,$1,$2-$4,0.0000,0.0000,$3* 63 | 21,1,$1-$4,$2,0.0000,0.0000,$3* 64 | 1,1,$4,$5,$6* 65 | 1,1,$4,$7,$8* 66 | 1,1,$4,0-$5,0-$6* 67 | 1,1,$4,0-$7,0-$8* 68 | 1,0,$9,$10,$11*% 69 | G04* 70 | G04 General MACRO for flashed rounded-rectangle with rotation and no hole * 71 | %AMROUNDRECTNOHOLE* 72 | 21,1,$1,$2-$4,0.0000,0.0000,$3* 73 | 21,1,$1-$4,$2,0.0000,0.0000,$3* 74 | 1,1,$4,$5,$6* 75 | 1,1,$4,$7,$8* 76 | 1,1,$4,0-$5,0-$6* 77 | 1,1,$4,0-$7,0-$8*% 78 | G04* 79 | G04 General MACRO for flashed regular polygon * 80 | %AMREGPOLY* 81 | 5,1,$1,0.0000,0.0000,$2,$3+$4* 82 | 1,0,$5,$6,$7*% 83 | G04* 84 | G04 General MACRO for flashed regular polygon with no hole * 85 | %AMREGPOLYNOHOLE* 86 | 5,1,$1,0.0000,0.0000,$2,$3+$4*% 87 | G04* 88 | G04 General MACRO for target * 89 | %AMTARGET* 90 | 6,0,0,$1,$2,$3,4,$4,$5,$6*% 91 | G04* 92 | G04 General MACRO for mounting hole * 93 | %AMMTHOLE* 94 | 1,1,$1,0,0* 95 | 1,0,$2,0,0* 96 | $1=$1-$2* 97 | $1=$1/2* 98 | 21,1,$2+$1,$3,0,0,$4* 99 | 21,1,$3,$2+$1,0,0,$4*% 100 | G04* 101 | G04* 102 | G04 D10 : "Ellipse X10.0mil Y10.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 103 | G04 Disc: OuterDia=0.0100* 104 | %ADD10C, 0.0100*% 105 | G04 D11 : "Ellipse X12.0mil Y12.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 106 | G04 Disc: OuterDia=0.0120* 107 | %ADD11C, 0.0120*% 108 | G04 D12 : "Ellipse X2.0mil Y2.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 109 | G04 Disc: OuterDia=0.0020* 110 | %ADD12C, 0.0020*% 111 | G04 D13 : "Ellipse X20.0mil Y20.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 112 | G04 Disc: OuterDia=0.0200* 113 | %ADD13C, 0.0200*% 114 | G04 D14 : "Ellipse X25.0mil Y25.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 115 | G04 Disc: OuterDia=0.0250* 116 | %ADD14C, 0.0250*% 117 | G04 D15 : "Ellipse X3.9mil Y3.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 118 | G04 Disc: OuterDia=0.0039* 119 | %ADD15C, 0.0039*% 120 | G04 D16 : "Ellipse X30.0mil Y30.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 121 | G04 Disc: OuterDia=0.0300* 122 | %ADD16C, 0.0300*% 123 | G04 D17 : "Ellipse X35.0mil Y35.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 124 | G04 Disc: OuterDia=0.0350* 125 | %ADD17C, 0.0350*% 126 | G04 D18 : "Ellipse X40.0mil Y40.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 127 | G04 Disc: OuterDia=0.0400* 128 | %ADD18C, 0.0400*% 129 | G04 D19 : "Ellipse X45.0mil Y45.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 130 | G04 Disc: OuterDia=0.0450* 131 | %ADD19C, 0.0450*% 132 | G04 D20 : "Ellipse X5.0mil Y5.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 133 | G04 Disc: OuterDia=0.0050* 134 | %ADD20C, 0.0050*% 135 | G04 D21 : "Ellipse X5.9mil Y5.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 136 | G04 Disc: OuterDia=0.0059* 137 | %ADD21C, 0.0059*% 138 | G04 D22 : "Ellipse X5.9mil Y5.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 139 | G04 Disc: OuterDia=0.0059* 140 | %ADD22C, 0.0059*% 141 | G04 D23 : "Ellipse X50.0mil Y50.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 142 | G04 Disc: OuterDia=0.0500* 143 | %ADD23C, 0.0500*% 144 | G04 D24 : "Ellipse X6.1mil Y6.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 145 | G04 Disc: OuterDia=0.0061* 146 | %ADD24C, 0.0061*% 147 | G04 D25 : "Ellipse X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 148 | G04 Disc: OuterDia=0.0600* 149 | %ADD25C, 0.0600*% 150 | G04 D26 : "Ellipse X7.9mil Y7.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 151 | G04 Disc: OuterDia=0.0079* 152 | %ADD26C, 0.0079*% 153 | G04 D27 : "Ellipse X80.0mil Y80.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 154 | G04 Disc: OuterDia=0.0800* 155 | %ADD27C, 0.0800*% 156 | G04 D28 : "Ellipse X9.8mil Y9.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 157 | G04 Disc: OuterDia=0.0098* 158 | %ADD28C, 0.0098*% 159 | G04 D29 : "Ellipse X199.0mil Y199.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 160 | G04 Disc: OuterDia=0.1990* 161 | %ADD29C, 0.1990*% 162 | G04 D30 : "Ellipse X45.1mil Y45.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 163 | G04 Disc: OuterDia=0.0451* 164 | %ADD30C, 0.0451*% 165 | G04 D31 : "Ellipse X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 166 | G04 Disc: OuterDia=0.0600* 167 | %ADD31C, 0.0600*% 168 | G04 D32 : "Ellipse X75.0mil Y75.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 169 | G04 Disc: OuterDia=0.0750* 170 | %ADD32C, 0.0750*% 171 | G04 D33 : "Ellipse X86.0mil Y86.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 172 | G04 Disc: OuterDia=0.0860* 173 | %ADD33C, 0.0860*% 174 | G04 D34 : "Mounting Hole X184.0mil Y184.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 175 | G04 Mounting Hole: Diameter=0.1840, Rotation=0.0, LineWidth=0.0050 * 176 | %ADD34MTHOLE, 0.1840 X0.1640 X0.0050 X0.0*% 177 | G04 D35 : "Mounting Hole X30.1mil Y30.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 178 | G04 Mounting Hole: Diameter=0.0301, Rotation=0.0, LineWidth=0.0050 * 179 | %ADD35MTHOLE, 0.0301 X0.0101 X0.0050 X0.0*% 180 | G04 D36 : "Mounting Hole X71.0mil Y71.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 181 | G04 Mounting Hole: Diameter=0.0710, Rotation=0.0, LineWidth=0.0050 * 182 | %ADD36MTHOLE, 0.0710 X0.0510 X0.0050 X0.0*% 183 | G04 D37 : "Ellipse X143.7mil Y143.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 184 | G04 Disc: OuterDia=0.1437* 185 | %ADD37C, 0.1437*% 186 | G04 D38 : "Ellipse X158.7mil Y158.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 187 | G04 Disc: OuterDia=0.1587* 188 | %ADD38C, 0.1587*% 189 | G04 D39 : "Rounded Rectangle X50.0mil Y350.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 190 | G04 RoundRct: DimX=0.0500, DimY=0.3500, CornerRad=0.0125, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 191 | %ADD39ROUNDRECTNOHOLE, 0.0500 X0.3500 X0.0 X0.0250 X-0.0125 X-0.1625 X-0.0125 X0.1625*% 192 | G04 D40 : "Rounded Rectangle X65.0mil Y365.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 193 | G04 RoundRct: DimX=0.0650, DimY=0.3650, CornerRad=0.0163, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 194 | %ADD40ROUNDRECTNOHOLE, 0.0650 X0.3650 X0.0 X0.0325 X-0.0163 X-0.1663 X-0.0163 X0.1663*% 195 | G04 D41 : "Rectangle X63.0mil Y106.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 196 | G04 Rectangular: DimX=0.0630, DimY=0.1063, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 197 | %ADD41R, 0.0630 X0.1063*% 198 | G04 D42 : "Rectangle X78.0mil Y121.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 199 | G04 Rectangular: DimX=0.0780, DimY=0.1213, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 200 | %ADD42R, 0.0780 X0.1213*% 201 | G04 D43 : "Rectangle X15.7mil Y137.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 202 | G04 Rectangular: DimX=0.0157, DimY=0.1378, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 203 | %ADD43R, 0.0157 X0.1378*% 204 | G04 D44 : "Rectangle X30.7mil Y152.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 205 | G04 Rectangular: DimX=0.0307, DimY=0.1528, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 206 | %ADD44R, 0.0307 X0.1528*% 207 | G04 D45 : "Rectangle X23.6mil Y86.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 208 | G04 Rectangular: DimX=0.0236, DimY=0.0866, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 209 | %ADD45R, 0.0236 X0.0866*% 210 | G04 D46 : "Rectangle X86.6mil Y23.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 211 | G04 Rectangular: DimX=0.0866, DimY=0.0236, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 212 | %ADD46R, 0.0866 X0.0236*% 213 | G04 D47 : "Rectangle X24.4mil Y118.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 214 | G04 Rectangular: DimX=0.0244, DimY=0.1181, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 215 | %ADD47R, 0.0244 X0.1181*% 216 | G04 D48 : "Rectangle X24.4mil Y98.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 217 | G04 Rectangular: DimX=0.0244, DimY=0.0984, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 218 | %ADD48R, 0.0244 X0.0984*% 219 | G04 D49 : "Rectangle X98.4mil Y24.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 220 | G04 Rectangular: DimX=0.0984, DimY=0.0244, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 221 | %ADD49R, 0.0984 X0.0244*% 222 | G04 D50 : "Rectangle X24.8mil Y78.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 223 | G04 Rectangular: DimX=0.0248, DimY=0.0787, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 224 | %ADD50R, 0.0248 X0.0787*% 225 | G04 D51 : "Rectangle X78.7mil Y24.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 226 | G04 Rectangular: DimX=0.0787, DimY=0.0248, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 227 | %ADD51R, 0.0787 X0.0248*% 228 | G04 D52 : "Rectangle X38.6mil Y101.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 229 | G04 Rectangular: DimX=0.0386, DimY=0.1016, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 230 | %ADD52R, 0.0386 X0.1016*% 231 | G04 D53 : "Rectangle X101.6mil Y38.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 232 | G04 Rectangular: DimX=0.1016, DimY=0.0386, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 233 | %ADD53R, 0.1016 X0.0386*% 234 | G04 D54 : "Rectangle X39.4mil Y113.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 235 | G04 Rectangular: DimX=0.0394, DimY=0.1134, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 236 | %ADD54R, 0.0394 X0.1134*% 237 | G04 D55 : "Rectangle X113.4mil Y39.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 238 | G04 Rectangular: DimX=0.1134, DimY=0.0394, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 239 | %ADD55R, 0.1134 X0.0394*% 240 | G04 D56 : "Rectangle X39.4mil Y133.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 241 | G04 Rectangular: DimX=0.0394, DimY=0.1331, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 242 | %ADD56R, 0.0394 X0.1331*% 243 | G04 D57 : "Rectangle X39.8mil Y93.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 244 | G04 Rectangular: DimX=0.0398, DimY=0.0937, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 245 | %ADD57R, 0.0398 X0.0937*% 246 | G04 D58 : "Rectangle X93.7mil Y39.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 247 | G04 Rectangular: DimX=0.0937, DimY=0.0398, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 248 | %ADD58R, 0.0937 X0.0398*% 249 | G04 D59 : "Rectangle X43.3mil Y39.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 250 | G04 Rectangular: DimX=0.0433, DimY=0.0394, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 251 | %ADD59R, 0.0433 X0.0394*% 252 | G04 D60 : "Rectangle X39.4mil Y43.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 253 | G04 Rectangular: DimX=0.0394, DimY=0.0433, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 254 | %ADD60R, 0.0394 X0.0433*% 255 | G04 D61 : "Rectangle X45.0mil Y120.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 256 | G04 Rectangular: DimX=0.0450, DimY=0.1200, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 257 | %ADD61R, 0.0450 X0.1200*% 258 | G04 D62 : "Rectangle X51.2mil Y59.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 259 | G04 Rectangular: DimX=0.0512, DimY=0.0591, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 260 | %ADD62R, 0.0512 X0.0591*% 261 | G04 D63 : "Rectangle X59.1mil Y51.2mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 262 | G04 Rectangular: DimX=0.0591, DimY=0.0512, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 263 | %ADD63R, 0.0591 X0.0512*% 264 | G04 D64 : "Rectangle X58.3mil Y54.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 265 | G04 Rectangular: DimX=0.0583, DimY=0.0544, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 266 | %ADD64R, 0.0583 X0.0544*% 267 | G04 D65 : "Rectangle X54.4mil Y58.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 268 | G04 Rectangular: DimX=0.0544, DimY=0.0583, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 269 | %ADD65R, 0.0544 X0.0583*% 270 | G04 D66 : "Rectangle X60.0mil Y135.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 271 | G04 Rectangular: DimX=0.0600, DimY=0.1350, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 272 | %ADD66R, 0.0600 X0.1350*% 273 | G04 D67 : "Rectangle X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 274 | G04 Square: Side=0.0600, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000* 275 | %ADD67R, 0.0600 X0.0600*% 276 | G04 D68 : "Rectangle X66.2mil Y74.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 277 | G04 Rectangular: DimX=0.0662, DimY=0.0741, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 278 | %ADD68R, 0.0662 X0.0741*% 279 | G04 D69 : "Rectangle X74.1mil Y66.2mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 280 | G04 Rectangular: DimX=0.0741, DimY=0.0662, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 281 | %ADD69R, 0.0741 X0.0662*% 282 | G04 D70 : "Rectangle X75.0mil Y75.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 283 | G04 Square: Side=0.0750, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000* 284 | %ADD70R, 0.0750 X0.0750*% 285 | G04 D71 : "Ellipse X40.0mil Y40.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 286 | G04 Disc: OuterDia=0.0400* 287 | %ADD71C, 0.0400*% 288 | G04 D72 : "Ellipse X55.0mil Y55.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 289 | G04 Disc: OuterDia=0.0550* 290 | %ADD72C, 0.0550*% 291 | G04 D73 : "Ellipse X70.0mil Y70.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 292 | G04 Disc: OuterDia=0.0700* 293 | %ADD73C, 0.0700*% 294 | G04* 295 | %FSLAX44Y44*% 296 | %SFA1B1*% 297 | %OFA0.0000B0.0000*% 298 | G04* 299 | G70* 300 | G90* 301 | G01* 302 | D2* 303 | %LNBot Mask*% 304 | D32* 305 | X245500Y276750D3* 306 | Y281000D3* 307 | Y280000D3* 308 | X244500Y281000D3* 309 | Y280000D3* 310 | X247500Y276750D3* 311 | X248500Y281000D3* 312 | X247500D3* 313 | X246500D3* 314 | Y280000D3* 315 | X247500D3* 316 | X248500D3* 317 | X249500Y281000D3* 318 | Y280000D3* 319 | X250500D3* 320 | Y281000D3* 321 | D42* 322 | X259551Y273625D3* 323 | X258448D3* 324 | D32* 325 | X245500Y279000D3* 326 | X244500D3* 327 | X246500D3* 328 | X247500D3* 329 | D69* 330 | Y282625D3* 331 | Y281876D3* 332 | X249500Y282625D3* 333 | Y281876D3* 334 | X250500Y282625D3* 335 | Y281876D3* 336 | D68* 337 | X261625Y273125D3* 338 | X262373D3* 339 | D64* 340 | X267250Y268750D3* 341 | X267919D3* 342 | X267250Y268125D3* 343 | X267919D3* 344 | X267250Y269375D3* 345 | X267919D3* 346 | D55* 347 | X250625Y273000D3* 348 | Y273500D3* 349 | Y274000D3* 350 | D54* 351 | X249875Y274750D3* 352 | X248875D3* 353 | X249375D3* 354 | D55* 355 | X246125Y273500D3* 356 | Y273000D3* 357 | Y274000D3* 358 | D54* 359 | X247875Y274750D3* 360 | X247375D3* 361 | X246875D3* 362 | D55* 363 | X250625Y270000D3* 364 | Y270500D3* 365 | Y271500D3* 366 | Y272000D3* 367 | Y272500D3* 368 | Y271000D3* 369 | X246125Y270000D3* 370 | Y270500D3* 371 | Y272500D3* 372 | Y272000D3* 373 | Y271500D3* 374 | Y271000D3* 375 | D56* 376 | X248375Y274650D3* 377 | D54* 378 | X248875Y269250D3* 379 | X249375D3* 380 | X249875D3* 381 | X246875D3* 382 | X247375D3* 383 | X247875D3* 384 | X248375D3* 385 | D69* 386 | X248500Y282625D3* 387 | Y281876D3* 388 | D64* 389 | X263187Y273125D3* 390 | X263856D3* 391 | D32* 392 | X270000Y268500D3* 393 | X269000D3* 394 | Y269500D3* 395 | X270000D3* 396 | X269000Y270500D3* 397 | X270000D3* 398 | X269000Y271500D3* 399 | X270000D3* 400 | Y272500D3* 401 | D70* 402 | X269000D3* 403 | D64* 404 | X267250Y270000D3* 405 | X267919D3* 406 | D29* 407 | X243000Y269221D3* 408 | X265000Y267174D3* 409 | D33* 410 | X253652Y277679D3* 411 | D44* 412 | X255625Y275395D3* 413 | X255875D3* 414 | X256375D3* 415 | X256875D3* 416 | X256125D3* 417 | X257375D3* 418 | X257875D3* 419 | X256625D3* 420 | X257125D3* 421 | X257625D3* 422 | X259625D3* 423 | X260125D3* 424 | X260625D3* 425 | X258875D3* 426 | X259375D3* 427 | X259875D3* 428 | X260375D3* 429 | X260875D3* 430 | X258625D3* 431 | X259125D3* 432 | X261625D3* 433 | X262125D3* 434 | X262625D3* 435 | X263125D3* 436 | X263625D3* 437 | X261875D3* 438 | X262375D3* 439 | X262875D3* 440 | X263375D3* 441 | X263875D3* 442 | X264625D3* 443 | X265125D3* 444 | X265625D3* 445 | X266125D3* 446 | X266625D3* 447 | X264875D3* 448 | X265375D3* 449 | X265875D3* 450 | X266375D3* 451 | X266875D3* 452 | D33* 453 | X268848Y277679D3* 454 | D38* 455 | X253652Y276498D3* 456 | X268848D3* 457 | D66* 458 | X252077Y283500D3* 459 | D30* 460 | X252234Y284903D3* 461 | D44* 462 | X255125Y275395D3* 463 | X261125D3* 464 | X255375D3* 465 | X258375D3* 466 | X261375D3* 467 | X258125D3* 468 | D66* 469 | X270423Y283500D3* 470 | D30* 471 | X270266Y284903D3* 472 | D44* 473 | X264125Y275395D3* 474 | X267125D3* 475 | X264375D3* 476 | X267375D3* 477 | D40* 478 | X244000Y262750D3* 479 | X243000D3* 480 | X247000D3* 481 | X246000D3* 482 | X250000D3* 483 | X249000D3* 484 | X253000D3* 485 | X252000D3* 486 | X256000D3* 487 | X255000D3* 488 | X259000D3* 489 | X258000D3* 490 | X262000D3* 491 | X261000D3* 492 | X265000D3* 493 | X264000D3* 494 | X242000D3* 495 | X254000D3* 496 | X251000D3* 497 | X248000D3* 498 | X245000D3* 499 | X266000D3* 500 | X263000D3* 501 | X260000D3* 502 | X257000D3* 503 | D32* 504 | X238500Y283500D3* 505 | Y284500D3* 506 | X250500Y283500D3* 507 | X249500D3* 508 | X248500D3* 509 | X247500D3* 510 | X246500D3* 511 | X245500D3* 512 | X244500D3* 513 | X243500D3* 514 | X242500D3* 515 | X241500D3* 516 | Y284500D3* 517 | X242500D3* 518 | X243500D3* 519 | X244500D3* 520 | X245500D3* 521 | X246500D3* 522 | X247500D3* 523 | X248500D3* 524 | X249500D3* 525 | D70* 526 | X250500D3* 527 | D32* 528 | X240500D3* 529 | Y283500D3* 530 | X239500Y284500D3* 531 | Y283500D3* 532 | X237500Y284500D3* 533 | Y283500D3* 534 | X236500Y284500D3* 535 | Y283500D3* 536 | X235500Y284500D3* 537 | Y283500D3* 538 | X234500Y284500D3* 539 | Y283500D3* 540 | D02M02* 541 | -------------------------------------------------------------------------------- /Gerber/FDDIDE001R07_CLC.BSL: -------------------------------------------------------------------------------- 1 | G04* 2 | G04 File: FDDIDE001R07_CLC.BSL, Sun Oct 04 14:24:40 2015* 3 | G04 Source: P-CAD 2006 PCB, Version 19.02.958, (G:\Platform\MSX\Projects\Ptero\FDD+HDD\FDDIDE001r07_clc.pcb)* 4 | G04 Format: Gerber Format (RS-274-D), ASCII* 5 | G04* 6 | G04 Format Options: Absolute Positioning* 7 | G04 Leading-Zero Suppression* 8 | G04 Scale Factor 1:1* 9 | G04 NO Circular Interpolation* 10 | G04 Inch Units* 11 | G04 Numeric Format: 4.4 (XXXX.XXXX)* 12 | G04 G54 NOT Used for Aperture Change* 13 | G04 Apertures Embedded* 14 | G04* 15 | G04 File Options: Offset = (0.0mil,0.0mil)* 16 | G04 Drill Symbol Size = 80.0mil* 17 | G04 No Pad/Via Holes* 18 | G04* 19 | G04 File Contents: No Pads* 20 | G04 No Vias* 21 | G04 Designators* 22 | G04 No Types* 23 | G04 No Values* 24 | G04 No Drill Symbols* 25 | G04 Bot Silk* 26 | G04* 27 | %INFDDIDE001R07_CLC.BSL*% 28 | %ICAS*% 29 | %MOIN*% 30 | G04* 31 | G04 Aperture MACROs for general use --- invoked via D-code assignment * 32 | G04* 33 | G04 General MACRO for flashed round with rotation and/or offset hole * 34 | %AMROTOFFROUND* 35 | 1,1,$1,0.0000,0.0000* 36 | 1,0,$2,$3,$4*% 37 | G04* 38 | G04 General MACRO for flashed oval (obround) with rotation and/or offset hole * 39 | %AMROTOFFOVAL* 40 | 21,1,$1,$2,0.0000,0.0000,$3* 41 | 1,1,$4,$5,$6* 42 | 1,1,$4,0-$5,0-$6* 43 | 1,0,$7,$8,$9*% 44 | G04* 45 | G04 General MACRO for flashed oval (obround) with rotation and no hole * 46 | %AMROTOVALNOHOLE* 47 | 21,1,$1,$2,0.0000,0.0000,$3* 48 | 1,1,$4,$5,$6* 49 | 1,1,$4,0-$5,0-$6*% 50 | G04* 51 | G04 General MACRO for flashed rectangle with rotation and/or offset hole * 52 | %AMROTOFFRECT* 53 | 21,1,$1,$2,0.0000,0.0000,$3* 54 | 1,0,$4,$5,$6*% 55 | G04* 56 | G04 General MACRO for flashed rectangle with rotation and no hole * 57 | %AMROTRECTNOHOLE* 58 | 21,1,$1,$2,0.0000,0.0000,$3*% 59 | G04* 60 | G04 General MACRO for flashed rounded-rectangle * 61 | %AMROUNDRECT* 62 | 21,1,$1,$2-$4,0.0000,0.0000,$3* 63 | 21,1,$1-$4,$2,0.0000,0.0000,$3* 64 | 1,1,$4,$5,$6* 65 | 1,1,$4,$7,$8* 66 | 1,1,$4,0-$5,0-$6* 67 | 1,1,$4,0-$7,0-$8* 68 | 1,0,$9,$10,$11*% 69 | G04* 70 | G04 General MACRO for flashed rounded-rectangle with rotation and no hole * 71 | %AMROUNDRECTNOHOLE* 72 | 21,1,$1,$2-$4,0.0000,0.0000,$3* 73 | 21,1,$1-$4,$2,0.0000,0.0000,$3* 74 | 1,1,$4,$5,$6* 75 | 1,1,$4,$7,$8* 76 | 1,1,$4,0-$5,0-$6* 77 | 1,1,$4,0-$7,0-$8*% 78 | G04* 79 | G04 General MACRO for flashed regular polygon * 80 | %AMREGPOLY* 81 | 5,1,$1,0.0000,0.0000,$2,$3+$4* 82 | 1,0,$5,$6,$7*% 83 | G04* 84 | G04 General MACRO for flashed regular polygon with no hole * 85 | %AMREGPOLYNOHOLE* 86 | 5,1,$1,0.0000,0.0000,$2,$3+$4*% 87 | G04* 88 | G04 General MACRO for target * 89 | %AMTARGET* 90 | 6,0,0,$1,$2,$3,4,$4,$5,$6*% 91 | G04* 92 | G04 General MACRO for mounting hole * 93 | %AMMTHOLE* 94 | 1,1,$1,0,0* 95 | 1,0,$2,0,0* 96 | $1=$1-$2* 97 | $1=$1/2* 98 | 21,1,$2+$1,$3,0,0,$4* 99 | 21,1,$3,$2+$1,0,0,$4*% 100 | G04* 101 | G04* 102 | G04 D10 : "Ellipse X10.0mil Y10.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 103 | G04 Disc: OuterDia=0.0100* 104 | %ADD10C, 0.0100*% 105 | G04 D11 : "Ellipse X12.0mil Y12.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 106 | G04 Disc: OuterDia=0.0120* 107 | %ADD11C, 0.0120*% 108 | G04 D12 : "Ellipse X2.0mil Y2.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 109 | G04 Disc: OuterDia=0.0020* 110 | %ADD12C, 0.0020*% 111 | G04 D13 : "Ellipse X20.0mil Y20.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 112 | G04 Disc: OuterDia=0.0200* 113 | %ADD13C, 0.0200*% 114 | G04 D14 : "Ellipse X25.0mil Y25.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 115 | G04 Disc: OuterDia=0.0250* 116 | %ADD14C, 0.0250*% 117 | G04 D15 : "Ellipse X3.9mil Y3.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 118 | G04 Disc: OuterDia=0.0039* 119 | %ADD15C, 0.0039*% 120 | G04 D16 : "Ellipse X30.0mil Y30.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 121 | G04 Disc: OuterDia=0.0300* 122 | %ADD16C, 0.0300*% 123 | G04 D17 : "Ellipse X35.0mil Y35.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 124 | G04 Disc: OuterDia=0.0350* 125 | %ADD17C, 0.0350*% 126 | G04 D18 : "Ellipse X40.0mil Y40.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 127 | G04 Disc: OuterDia=0.0400* 128 | %ADD18C, 0.0400*% 129 | G04 D19 : "Ellipse X45.0mil Y45.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 130 | G04 Disc: OuterDia=0.0450* 131 | %ADD19C, 0.0450*% 132 | G04 D20 : "Ellipse X5.0mil Y5.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 133 | G04 Disc: OuterDia=0.0050* 134 | %ADD20C, 0.0050*% 135 | G04 D21 : "Ellipse X5.9mil Y5.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 136 | G04 Disc: OuterDia=0.0059* 137 | %ADD21C, 0.0059*% 138 | G04 D22 : "Ellipse X5.9mil Y5.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 139 | G04 Disc: OuterDia=0.0059* 140 | %ADD22C, 0.0059*% 141 | G04 D23 : "Ellipse X50.0mil Y50.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 142 | G04 Disc: OuterDia=0.0500* 143 | %ADD23C, 0.0500*% 144 | G04 D24 : "Ellipse X6.1mil Y6.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 145 | G04 Disc: OuterDia=0.0061* 146 | %ADD24C, 0.0061*% 147 | G04 D25 : "Ellipse X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 148 | G04 Disc: OuterDia=0.0600* 149 | %ADD25C, 0.0600*% 150 | G04 D26 : "Ellipse X7.9mil Y7.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 151 | G04 Disc: OuterDia=0.0079* 152 | %ADD26C, 0.0079*% 153 | G04 D27 : "Ellipse X80.0mil Y80.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 154 | G04 Disc: OuterDia=0.0800* 155 | %ADD27C, 0.0800*% 156 | G04 D28 : "Ellipse X9.8mil Y9.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 157 | G04 Disc: OuterDia=0.0098* 158 | %ADD28C, 0.0098*% 159 | G04 D29 : "Ellipse X199.0mil Y199.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 160 | G04 Disc: OuterDia=0.1990* 161 | %ADD29C, 0.1990*% 162 | G04 D30 : "Ellipse X45.1mil Y45.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 163 | G04 Disc: OuterDia=0.0451* 164 | %ADD30C, 0.0451*% 165 | G04 D31 : "Ellipse X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 166 | G04 Disc: OuterDia=0.0600* 167 | %ADD31C, 0.0600*% 168 | G04 D32 : "Ellipse X75.0mil Y75.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 169 | G04 Disc: OuterDia=0.0750* 170 | %ADD32C, 0.0750*% 171 | G04 D33 : "Ellipse X86.0mil Y86.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 172 | G04 Disc: OuterDia=0.0860* 173 | %ADD33C, 0.0860*% 174 | G04 D34 : "Mounting Hole X184.0mil Y184.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 175 | G04 Mounting Hole: Diameter=0.1840, Rotation=0.0, LineWidth=0.0050 * 176 | %ADD34MTHOLE, 0.1840 X0.1640 X0.0050 X0.0*% 177 | G04 D35 : "Mounting Hole X30.1mil Y30.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 178 | G04 Mounting Hole: Diameter=0.0301, Rotation=0.0, LineWidth=0.0050 * 179 | %ADD35MTHOLE, 0.0301 X0.0101 X0.0050 X0.0*% 180 | G04 D36 : "Mounting Hole X71.0mil Y71.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 181 | G04 Mounting Hole: Diameter=0.0710, Rotation=0.0, LineWidth=0.0050 * 182 | %ADD36MTHOLE, 0.0710 X0.0510 X0.0050 X0.0*% 183 | G04 D37 : "Ellipse X143.7mil Y143.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 184 | G04 Disc: OuterDia=0.1437* 185 | %ADD37C, 0.1437*% 186 | G04 D38 : "Ellipse X158.7mil Y158.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 187 | G04 Disc: OuterDia=0.1587* 188 | %ADD38C, 0.1587*% 189 | G04 D39 : "Rounded Rectangle X50.0mil Y350.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 190 | G04 RoundRct: DimX=0.0500, DimY=0.3500, CornerRad=0.0125, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 191 | %ADD39ROUNDRECTNOHOLE, 0.0500 X0.3500 X0.0 X0.0250 X-0.0125 X-0.1625 X-0.0125 X0.1625*% 192 | G04 D40 : "Rounded Rectangle X65.0mil Y365.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 193 | G04 RoundRct: DimX=0.0650, DimY=0.3650, CornerRad=0.0163, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 194 | %ADD40ROUNDRECTNOHOLE, 0.0650 X0.3650 X0.0 X0.0325 X-0.0163 X-0.1663 X-0.0163 X0.1663*% 195 | G04 D41 : "Rectangle X63.0mil Y106.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 196 | G04 Rectangular: DimX=0.0630, DimY=0.1063, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 197 | %ADD41R, 0.0630 X0.1063*% 198 | G04 D42 : "Rectangle X78.0mil Y121.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 199 | G04 Rectangular: DimX=0.0780, DimY=0.1213, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 200 | %ADD42R, 0.0780 X0.1213*% 201 | G04 D43 : "Rectangle X15.7mil Y137.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 202 | G04 Rectangular: DimX=0.0157, DimY=0.1378, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 203 | %ADD43R, 0.0157 X0.1378*% 204 | G04 D44 : "Rectangle X30.7mil Y152.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 205 | G04 Rectangular: DimX=0.0307, DimY=0.1528, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 206 | %ADD44R, 0.0307 X0.1528*% 207 | G04 D45 : "Rectangle X23.6mil Y86.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 208 | G04 Rectangular: DimX=0.0236, DimY=0.0866, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 209 | %ADD45R, 0.0236 X0.0866*% 210 | G04 D46 : "Rectangle X86.6mil Y23.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 211 | G04 Rectangular: DimX=0.0866, DimY=0.0236, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 212 | %ADD46R, 0.0866 X0.0236*% 213 | G04 D47 : "Rectangle X24.4mil Y118.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 214 | G04 Rectangular: DimX=0.0244, DimY=0.1181, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 215 | %ADD47R, 0.0244 X0.1181*% 216 | G04 D48 : "Rectangle X24.4mil Y98.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 217 | G04 Rectangular: DimX=0.0244, DimY=0.0984, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 218 | %ADD48R, 0.0244 X0.0984*% 219 | G04 D49 : "Rectangle X98.4mil Y24.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 220 | G04 Rectangular: DimX=0.0984, DimY=0.0244, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 221 | %ADD49R, 0.0984 X0.0244*% 222 | G04 D50 : "Rectangle X24.8mil Y78.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 223 | G04 Rectangular: DimX=0.0248, DimY=0.0787, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 224 | %ADD50R, 0.0248 X0.0787*% 225 | G04 D51 : "Rectangle X78.7mil Y24.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 226 | G04 Rectangular: DimX=0.0787, DimY=0.0248, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 227 | %ADD51R, 0.0787 X0.0248*% 228 | G04 D52 : "Rectangle X38.6mil Y101.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 229 | G04 Rectangular: DimX=0.0386, DimY=0.1016, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 230 | %ADD52R, 0.0386 X0.1016*% 231 | G04 D53 : "Rectangle X101.6mil Y38.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 232 | G04 Rectangular: DimX=0.1016, DimY=0.0386, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 233 | %ADD53R, 0.1016 X0.0386*% 234 | G04 D54 : "Rectangle X39.4mil Y113.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 235 | G04 Rectangular: DimX=0.0394, DimY=0.1134, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 236 | %ADD54R, 0.0394 X0.1134*% 237 | G04 D55 : "Rectangle X113.4mil Y39.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 238 | G04 Rectangular: DimX=0.1134, DimY=0.0394, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 239 | %ADD55R, 0.1134 X0.0394*% 240 | G04 D56 : "Rectangle X39.4mil Y133.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 241 | G04 Rectangular: DimX=0.0394, DimY=0.1331, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 242 | %ADD56R, 0.0394 X0.1331*% 243 | G04 D57 : "Rectangle X39.8mil Y93.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 244 | G04 Rectangular: DimX=0.0398, DimY=0.0937, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 245 | %ADD57R, 0.0398 X0.0937*% 246 | G04 D58 : "Rectangle X93.7mil Y39.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 247 | G04 Rectangular: DimX=0.0937, DimY=0.0398, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 248 | %ADD58R, 0.0937 X0.0398*% 249 | G04 D59 : "Rectangle X43.3mil Y39.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 250 | G04 Rectangular: DimX=0.0433, DimY=0.0394, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 251 | %ADD59R, 0.0433 X0.0394*% 252 | G04 D60 : "Rectangle X39.4mil Y43.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 253 | G04 Rectangular: DimX=0.0394, DimY=0.0433, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 254 | %ADD60R, 0.0394 X0.0433*% 255 | G04 D61 : "Rectangle X45.0mil Y120.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 256 | G04 Rectangular: DimX=0.0450, DimY=0.1200, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 257 | %ADD61R, 0.0450 X0.1200*% 258 | G04 D62 : "Rectangle X51.2mil Y59.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 259 | G04 Rectangular: DimX=0.0512, DimY=0.0591, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 260 | %ADD62R, 0.0512 X0.0591*% 261 | G04 D63 : "Rectangle X59.1mil Y51.2mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 262 | G04 Rectangular: DimX=0.0591, DimY=0.0512, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 263 | %ADD63R, 0.0591 X0.0512*% 264 | G04 D64 : "Rectangle X58.3mil Y54.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 265 | G04 Rectangular: DimX=0.0583, DimY=0.0544, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 266 | %ADD64R, 0.0583 X0.0544*% 267 | G04 D65 : "Rectangle X54.4mil Y58.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 268 | G04 Rectangular: DimX=0.0544, DimY=0.0583, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 269 | %ADD65R, 0.0544 X0.0583*% 270 | G04 D66 : "Rectangle X60.0mil Y135.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 271 | G04 Rectangular: DimX=0.0600, DimY=0.1350, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 272 | %ADD66R, 0.0600 X0.1350*% 273 | G04 D67 : "Rectangle X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 274 | G04 Square: Side=0.0600, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000* 275 | %ADD67R, 0.0600 X0.0600*% 276 | G04 D68 : "Rectangle X66.2mil Y74.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 277 | G04 Rectangular: DimX=0.0662, DimY=0.0741, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 278 | %ADD68R, 0.0662 X0.0741*% 279 | G04 D69 : "Rectangle X74.1mil Y66.2mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 280 | G04 Rectangular: DimX=0.0741, DimY=0.0662, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 281 | %ADD69R, 0.0741 X0.0662*% 282 | G04 D70 : "Rectangle X75.0mil Y75.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 283 | G04 Square: Side=0.0750, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000* 284 | %ADD70R, 0.0750 X0.0750*% 285 | G04 D71 : "Ellipse X40.0mil Y40.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 286 | G04 Disc: OuterDia=0.0400* 287 | %ADD71C, 0.0400*% 288 | G04 D72 : "Ellipse X55.0mil Y55.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 289 | G04 Disc: OuterDia=0.0550* 290 | %ADD72C, 0.0550*% 291 | G04 D73 : "Ellipse X70.0mil Y70.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 292 | G04 Disc: OuterDia=0.0700* 293 | %ADD73C, 0.0700*% 294 | G04* 295 | %FSLAX44Y44*% 296 | %SFA1B1*% 297 | %OFA0.0000B0.0000*% 298 | G04* 299 | G70* 300 | G90* 301 | G01* 302 | D2* 303 | %LNBot Silk*% 304 | %LPD*% 305 | D24* 306 | X262340Y272505* 307 | X262360Y272544D1* 308 | X262398Y272582* 309 | X262437Y272601* 310 | X262514* 311 | X262552Y272582* 312 | X262591Y272544* 313 | X262610Y272505* 314 | X262629Y272447* 315 | Y272351* 316 | X262610Y272293* 317 | X262591Y272255* 318 | X262552Y272216* 319 | X262514Y272197* 320 | X262437* 321 | X262398Y272216* 322 | X262360Y272255* 323 | X262340Y272293* 324 | X262167Y272524D2* 325 | X262128Y272544D1* 326 | X262071Y272601* 327 | Y272197* 328 | X261820Y272505D2* 329 | Y272524D1* 330 | X261801Y272563* 331 | X261782Y272582* 332 | X261743Y272601* 333 | X261666* 334 | X261628Y272582* 335 | X261608Y272563* 336 | X261589Y272524* 337 | Y272486* 338 | X261608Y272447* 339 | X261647Y272389* 340 | X261839Y272197* 341 | X261570* 342 | X266672Y269346D2* 343 | X266499D1* 344 | X266441Y269365* 345 | X266422Y269385* 346 | X266403Y269423* 347 | Y269462* 348 | X266422Y269500* 349 | X266441Y269520* 350 | X266499Y269539* 351 | X266672* 352 | Y269134* 353 | X266538Y269346D2* 354 | X266403Y269134D1* 355 | X266229Y269462D2* 356 | X266191Y269481D1* 357 | X266133Y269539* 358 | Y269134* 359 | X265883Y269443D2* 360 | Y269462D1* 361 | X265863Y269500* 362 | X265844Y269520* 363 | X265806Y269539* 364 | X265729* 365 | X265690Y269520* 366 | X265671Y269500* 367 | X265652Y269462* 368 | Y269423* 369 | X265671Y269385* 370 | X265709Y269327* 371 | X265902Y269134* 372 | X265632* 373 | X266672Y268721D2* 374 | X266499D1* 375 | X266441Y268740* 376 | X266422Y268760* 377 | X266403Y268798* 378 | Y268837* 379 | X266422Y268875* 380 | X266441Y268895* 381 | X266499Y268914* 382 | X266672* 383 | Y268509* 384 | X266538Y268721D2* 385 | X266403Y268509D1* 386 | X266229Y268837D2* 387 | X266191Y268856D1* 388 | X266133Y268914* 389 | Y268509* 390 | X265863Y268914D2* 391 | X265652D1* 392 | X265767Y268760* 393 | X265709* 394 | X265671Y268740* 395 | X265652Y268721* 396 | X265632Y268663* 397 | Y268625* 398 | X265652Y268567* 399 | X265690Y268529* 400 | X265748Y268509* 401 | X265806* 402 | X265863Y268529* 403 | X265883Y268548* 404 | X265902Y268586* 405 | X266797Y268096D2* 406 | X266624D1* 407 | X266566Y268115* 408 | X266547Y268135* 409 | X266528Y268173* 410 | Y268212* 411 | X266547Y268250* 412 | X266566Y268270* 413 | X266624Y268289* 414 | X266797* 415 | Y267884* 416 | X266663Y268096D2* 417 | X266528Y267884D1* 418 | X266354Y268212D2* 419 | X266316Y268231D1* 420 | X266258Y268289* 421 | Y267884* 422 | X265834D2* 423 | Y268289D1* 424 | X266027Y268019* 425 | X265738* 426 | X259278Y272818D2* 427 | X259297Y272856D1* 428 | X259336Y272895* 429 | X259374Y272914* 430 | X259451* 431 | X259490Y272895* 432 | X259528Y272856* 433 | X259547Y272818* 434 | X259567Y272760* 435 | Y272663* 436 | X259547Y272606* 437 | X259528Y272567* 438 | X259490Y272529* 439 | X259451Y272509* 440 | X259374* 441 | X259336Y272529* 442 | X259297Y272567* 443 | X259278Y272606* 444 | X259104Y272837D2* 445 | X259066Y272856D1* 446 | X259008Y272914* 447 | Y272509* 448 | X258719Y272837D2* 449 | X258681Y272856D1* 450 | X258623Y272914* 451 | Y272509* 452 | X266672Y269971D2* 453 | X266499D1* 454 | X266441Y269990* 455 | X266422Y270010* 456 | X266403Y270048* 457 | Y270087* 458 | X266422Y270125* 459 | X266441Y270145* 460 | X266499Y270164* 461 | X266672* 462 | Y269759* 463 | X266538Y269971D2* 464 | X266403Y269759D1* 465 | X266229Y270087D2* 466 | X266191Y270106D1* 467 | X266133Y270164* 468 | Y269759* 469 | X265844Y270087D2* 470 | X265806Y270106D1* 471 | X265748Y270164* 472 | Y269759* 473 | X264047Y272534D2* 474 | X263874D1* 475 | X263816Y272553* 476 | X263797Y272572* 477 | X263778Y272611* 478 | Y272649* 479 | X263797Y272688* 480 | X263816Y272707* 481 | X263874Y272726* 482 | X264047* 483 | Y272322* 484 | X263913Y272534D2* 485 | X263778Y272322D1* 486 | X263604Y272649D2* 487 | X263566Y272669D1* 488 | X263508Y272726* 489 | Y272322* 490 | X263161Y272726D2* 491 | X263219Y272707D1* 492 | X263258Y272649* 493 | X263277Y272553* 494 | Y272495* 495 | X263258Y272399* 496 | X263219Y272341* 497 | X263161Y272322* 498 | X263123* 499 | X263065Y272341* 500 | X263027Y272399* 501 | X263007Y272495* 502 | Y272553* 503 | X263027Y272649* 504 | X263065Y272707* 505 | X263123Y272726* 506 | X263161* 507 | X263027Y272649D2* 508 | X263258Y272399D1* 509 | D2* 510 | D21* 511 | X263932Y283940* 512 | X263956Y283990D1* 513 | X264005Y284039* 514 | X264055Y284063* 515 | X264153* 516 | X264202Y284039* 517 | X264251Y283990* 518 | X264276Y283940* 519 | X264301Y283867* 520 | Y283744* 521 | X264276Y283670* 522 | X264251Y283621* 523 | X264202Y283571* 524 | X264153Y283547* 525 | X264055* 526 | X264005Y283571* 527 | X263956Y283621* 528 | X263932Y283670* 529 | X263439Y284063D2* 530 | X263759D1* 531 | Y283547* 532 | Y283817D2* 533 | X263562D1* 534 | X262652Y283940D2* 535 | X262677Y283990D1* 536 | X262726Y284039* 537 | X262775Y284063* 538 | X262874* 539 | X262923Y284039* 540 | X262972Y283990* 541 | X262997Y283940* 542 | X263021Y283867* 543 | Y283744* 544 | X262997Y283670* 545 | X262972Y283621* 546 | X262923Y283571* 547 | X262874Y283547* 548 | X262775* 549 | X262726Y283571* 550 | X262677Y283621* 551 | X262652Y283670* 552 | X262209Y283891D2* 553 | Y283547D1* 554 | Y283817D2* 555 | X262258Y283867D1* 556 | X262308Y283891* 557 | X262381* 558 | X262431Y283867* 559 | X262480Y283817* 560 | X262504Y283744* 561 | Y283694* 562 | X262480Y283621* 563 | X262431Y283571* 564 | X262381Y283547* 565 | X262308* 566 | X262258Y283571* 567 | X262209Y283621* 568 | X262012Y283891D2* 569 | Y283547D1* 570 | Y283744D2* 571 | X261988Y283817D1* 572 | X261938Y283867* 573 | X261889Y283891* 574 | X261815* 575 | X261422Y284063D2* 576 | Y283547D1* 577 | Y283817D2* 578 | X261471Y283867D1* 579 | X261520Y283891* 580 | X261594* 581 | X261643Y283867* 582 | X261692Y283817* 583 | X261717Y283744* 584 | Y283694* 585 | X261692Y283621* 586 | X261643Y283571* 587 | X261594Y283547* 588 | X261520* 589 | X261471Y283571* 590 | X261422Y283621* 591 | X260733Y284162D2* 592 | X260782Y284113D1* 593 | X260831Y284039* 594 | X260880Y283940* 595 | X260905Y283817* 596 | Y283719* 597 | X260880Y283596* 598 | X260831Y283498* 599 | X260782Y283424* 600 | X260733Y283375* 601 | X260241Y284063D2* 602 | Y283547D1* 603 | X260044Y284063D2* 604 | Y283547D1* 605 | X259872* 606 | X259798Y283571* 607 | X259749Y283621* 608 | X259724Y283670* 609 | X259699Y283744* 610 | Y283867* 611 | X259724Y283940* 612 | X259749Y283990* 613 | X259798Y284039* 614 | X259872Y284063* 615 | X260044* 616 | X259207D2* 617 | X259527D1* 618 | Y283547* 619 | X259207* 620 | X259527Y283817D2* 621 | X259330D1* 622 | X258764Y284162D2* 623 | X258715Y284113D1* 624 | X258666Y284039* 625 | X258617Y283940* 626 | X258592Y283817* 627 | Y283719* 628 | X258617Y283596* 629 | X258666Y283498* 630 | X258715Y283424* 631 | X258764Y283375* 632 | D2* 633 | D24* 634 | X244260Y282611* 635 | Y282441D1* 636 | X244241Y282384* 637 | X244222Y282365* 638 | X244184Y282346* 639 | X244146* 640 | X244108Y282365* 641 | X244089Y282384* 642 | X244070Y282441* 643 | Y282611* 644 | X244468* 645 | X244260Y282479D2* 646 | X244468Y282346D1* 647 | X244070Y282005D2* 648 | Y282194D1* 649 | X244241Y282213* 650 | X244222Y282194* 651 | X244203Y282138* 652 | Y282081* 653 | X244222Y282024* 654 | X244260Y281986* 655 | X244317Y281967* 656 | X244355* 657 | X244411Y281986* 658 | X244449Y282024* 659 | X244468Y282081* 660 | Y282138* 661 | X244449Y282194* 662 | X244430Y282213* 663 | X244392Y282232* 664 | X245018Y282611D2* 665 | Y282441D1* 666 | X244999Y282384* 667 | X244980Y282365* 668 | X244942Y282346* 669 | X244904* 670 | X244866Y282365* 671 | X244847Y282384* 672 | X244828Y282441* 673 | Y282611* 674 | X245226* 675 | X245018Y282479D2* 676 | X245226Y282346D1* 677 | X244885Y281986D2* 678 | X244847Y282005D1* 679 | X244828Y282062* 680 | Y282100* 681 | X244847Y282156* 682 | X244904Y282194* 683 | X244999Y282213* 684 | X245093* 685 | X245169Y282194* 686 | X245207Y282156* 687 | X245226Y282100* 688 | Y282081* 689 | X245207Y282024* 690 | X245169Y281986* 691 | X245112Y281967* 692 | X245093* 693 | X245037Y281986* 694 | X244999Y282024* 695 | X244980Y282081* 696 | Y282100* 697 | X244999Y282156* 698 | X245037Y282194* 699 | X245093Y282213* 700 | X245776Y282611D2* 701 | Y282441D1* 702 | X245757Y282384* 703 | X245738Y282365* 704 | X245700Y282346* 705 | X245662* 706 | X245624Y282365* 707 | X245605Y282384* 708 | X245586Y282441* 709 | Y282611* 710 | X245984* 711 | X245776Y282479D2* 712 | X245984Y282346D1* 713 | Y282156D2* 714 | X245586Y281967D1* 715 | Y282232* 716 | X246533Y282611D2* 717 | Y282441D1* 718 | X246515Y282384* 719 | X246496Y282365* 720 | X246458Y282346* 721 | X246420* 722 | X246382Y282365* 723 | X246363Y282384* 724 | X246344Y282441* 725 | Y282611* 726 | X246742* 727 | X246533Y282479D2* 728 | X246742Y282346D1* 729 | X246344Y282138D2* 730 | X246363Y282194D1* 731 | X246401Y282213* 732 | X246439* 733 | X246477Y282194* 734 | X246496Y282156* 735 | X246515Y282081* 736 | X246533Y282024* 737 | X246571Y281986* 738 | X246609Y281967* 739 | X246666* 740 | X246704Y281986* 741 | X246723Y282005* 742 | X246742Y282062* 743 | Y282138* 744 | X246723Y282194* 745 | X246704Y282213* 746 | X246666Y282232* 747 | X246609* 748 | X246571Y282213* 749 | X246533Y282175* 750 | X246515Y282119* 751 | X246496Y282043* 752 | X246477Y282005* 753 | X246439Y281986* 754 | X246401* 755 | X246363Y282005* 756 | X246344Y282062* 757 | Y282138* 758 | X257753Y273473D2* 759 | Y273132D1* 760 | X257924Y273303D2* 761 | X257583D1* 762 | D2* 763 | D26* 764 | X259043Y273132* 765 | Y274117D1* 766 | X259118Y273132D2* 767 | Y274117D1* 768 | Y273132D2* 769 | X258881D1* 770 | X259118Y274117D2* 771 | X258881D1* 772 | D2* 773 | D10* 774 | X247854Y282939* 775 | Y282250D1* 776 | X247145Y282939D2* 777 | Y282250D1* 778 | Y282939D2* 779 | X247854D1* 780 | X247145Y281562D2* 781 | X247854D1* 782 | Y282250* 783 | X247145Y281562D2* 784 | Y282250D1* 785 | X249854Y282939D2* 786 | Y282250D1* 787 | X249145Y282939D2* 788 | Y282250D1* 789 | Y282939D2* 790 | X249854D1* 791 | X249145Y281562D2* 792 | X249854D1* 793 | Y282250* 794 | X249145Y281562D2* 795 | Y282250D1* 796 | X250854Y282939D2* 797 | Y282250D1* 798 | X250145Y282939D2* 799 | Y282250D1* 800 | Y282939D2* 801 | X250854D1* 802 | X250145Y281562D2* 803 | X250854D1* 804 | Y282250* 805 | X250145Y281562D2* 806 | Y282250D1* 807 | X261310Y273479D2* 808 | X261999D1* 809 | X261310Y272770D2* 810 | X261999D1* 811 | X261310D2* 812 | Y273479D1* 813 | X262687Y272770D2* 814 | Y273479D1* 815 | X261999* 816 | X262687Y272770D2* 817 | X261999D1* 818 | D2* 819 | D24* 820 | X268175Y268986* 821 | X267584D1* 822 | X266994D2* 823 | X267584D1* 824 | X268175Y268513D2* 825 | X267584D1* 826 | X266994D2* 827 | X267584D1* 828 | X266994D2* 829 | Y268986D1* 830 | X268175Y268513D2* 831 | Y268986D1* 832 | D2* 833 | D10* 834 | D24* 835 | Y268361* 836 | X267584D1* 837 | X266994D2* 838 | X267584D1* 839 | X268175Y267888D2* 840 | X267584D1* 841 | X266994D2* 842 | X267584D1* 843 | X266994D2* 844 | Y268361D1* 845 | X268175Y267888D2* 846 | Y268361D1* 847 | D2* 848 | D10* 849 | D24* 850 | Y269611* 851 | X267584D1* 852 | X266994D2* 853 | X267584D1* 854 | X268175Y269138D2* 855 | X267584D1* 856 | X266994D2* 857 | X267584D1* 858 | X266994D2* 859 | Y269611D1* 860 | X268175Y269138D2* 861 | Y269611D1* 862 | D2* 863 | D10* 864 | D11* 865 | X250625Y275000* 866 | X250875Y274750D1* 867 | X250625Y275000D2* 868 | X250225D1* 869 | X250875Y274750D2* 870 | Y274350D1* 871 | X246125Y275000D2* 872 | X245875Y274750D1* 873 | X246525Y275000D2* 874 | X246125D1* 875 | X245875Y274750D2* 876 | Y274350D1* 877 | X250875Y269250D2* 878 | X250625Y269000D1* 879 | X250875Y269650D2* 880 | Y269250D1* 881 | X250625Y269000D2* 882 | X250225D1* 883 | X245875Y269250D2* 884 | X246125Y269000D1* 885 | X246525D2* 886 | X246125D1* 887 | X245875Y269650D2* 888 | Y269250D1* 889 | D2* 890 | D22* 891 | X248375Y275650* 892 | X248467Y275672D1* 893 | X248539Y275736* 894 | X248573Y275825* 895 | X248562Y275920* 896 | X248507Y275999* 897 | X248422Y276044* 898 | X248327* 899 | X248242Y275999* 900 | X248187Y275920* 901 | X248176Y275825* 902 | X248210Y275736* 903 | X248282Y275672* 904 | X248375Y275650* 905 | D2* 906 | D10* 907 | X248854Y282939* 908 | Y282250D1* 909 | X248145Y282939D2* 910 | Y282250D1* 911 | Y282939D2* 912 | X248854D1* 913 | X248145Y281562D2* 914 | X248854D1* 915 | Y282250* 916 | X248145Y281562D2* 917 | Y282250D1* 918 | D2* 919 | D24* 920 | X264112Y273361* 921 | X263522D1* 922 | X262931D2* 923 | X263522D1* 924 | X264112Y272888D2* 925 | X263522D1* 926 | X262931D2* 927 | X263522D1* 928 | X262931D2* 929 | Y273361D1* 930 | X264112Y272888D2* 931 | Y273361D1* 932 | D2* 933 | D10* 934 | D24* 935 | X268175Y270236* 936 | X267584D1* 937 | X266994D2* 938 | X267584D1* 939 | X268175Y269763D2* 940 | X267584D1* 941 | X266994D2* 942 | X267584D1* 943 | X266994D2* 944 | Y270236D1* 945 | X268175Y269763D2* 946 | Y270236D1* 947 | D2* 948 | D10* 949 | D26* 950 | X251879Y285356* 951 | X252076D1* 952 | X251879Y284750D2* 953 | X252076D1* 954 | X251879Y285356D2* 955 | Y284750D1* 956 | Y277576D2* 957 | Y276970D1* 958 | Y277576D2* 959 | X252076D1* 960 | X251879Y276970D2* 961 | X252076D1* 962 | X254183Y281694D2* 963 | X254576Y281301D1* 964 | D2* 965 | D28* 966 | X254881Y274805* 967 | X254832Y274854D1* 968 | X254783Y274805* 969 | X254832Y274755* 970 | X254881Y274805* 971 | D2* 972 | D26* 973 | X267923Y281301* 974 | X268316Y281694D1* 975 | X270620Y285356D2* 976 | Y284750D1* 977 | X270423D2* 978 | X270620D1* 979 | X270423Y285356D2* 980 | X270620D1* 981 | X270423Y276970D2* 982 | X270620D1* 983 | X270423Y277576D2* 984 | X270620D1* 985 | Y276970* 986 | X252076Y285750D2* 987 | Y280592D1* 988 | X254183Y285750D2* 989 | Y281694D1* 990 | X268316Y285750D2* 991 | Y281694D1* 992 | X270423Y285750D2* 993 | Y280592D1* 994 | X252076Y280593D2* 995 | Y275316D1* 996 | X270423Y280593D2* 997 | Y275316D1* 998 | X252076D2* 999 | X254887D1* 1000 | X267612D2* 1001 | X270423D1* 1002 | X252076Y285750D2* 1003 | X254183D1* 1004 | D2* 1005 | D21* 1006 | X261015Y273801* 1007 | X261286D1* 1008 | X261138Y273998* 1009 | X261212* 1010 | X261261Y274022* 1011 | X261286Y274047* 1012 | X261311Y274121* 1013 | Y274170* 1014 | X261286Y274244* 1015 | X261237Y274293* 1016 | X261163Y274317* 1017 | X261089* 1018 | X261015Y274293* 1019 | X260991Y274268* 1020 | X260966Y274219* 1021 | X261581Y273801D2* 1022 | X261507Y273825D1* 1023 | X261483Y273875* 1024 | Y273924* 1025 | X261507Y273973* 1026 | X261557Y273998* 1027 | X261655Y274022* 1028 | X261729Y274047* 1029 | X261778Y274096* 1030 | X261803Y274145* 1031 | Y274219* 1032 | X261778Y274268* 1033 | X261753Y274293* 1034 | X261680Y274317* 1035 | X261581* 1036 | X261507Y274293* 1037 | X261483Y274268* 1038 | X261458Y274219* 1039 | Y274145* 1040 | X261483Y274096* 1041 | X261532Y274047* 1042 | X261606Y274022* 1043 | X261704Y273998* 1044 | X261753Y273973* 1045 | X261778Y273924* 1046 | Y273875* 1047 | X261753Y273825* 1048 | X261680Y273801* 1049 | X261581* 1050 | X255174Y273899D2* 1051 | X255223Y273875D1* 1052 | X255297Y273801* 1053 | Y274317* 1054 | D2* 1055 | D26* 1056 | X268316Y285750* 1057 | X270423D1* 1058 | D2* 1059 | D21* 1060 | X267251Y273801* 1061 | X267005D1* 1062 | X266981Y274022* 1063 | X267005Y273998* 1064 | X267079Y273973* 1065 | X267153* 1066 | X267227Y273998* 1067 | X267276Y274047* 1068 | X267301Y274121* 1069 | Y274170* 1070 | X267276Y274244* 1071 | X267227Y274293* 1072 | X267153Y274317* 1073 | X267079* 1074 | X267005Y274293* 1075 | X266981Y274268* 1076 | X266956Y274219* 1077 | X267596Y273801D2* 1078 | X267522Y273825D1* 1079 | X267473Y273899* 1080 | X267448Y274022* 1081 | Y274096* 1082 | X267473Y274219* 1083 | X267522Y274293* 1084 | X267596Y274317* 1085 | X267645* 1086 | X267719Y274293* 1087 | X267768Y274219* 1088 | X267793Y274096* 1089 | Y274022* 1090 | X267768Y273899* 1091 | X267719Y273825* 1092 | X267645Y273801* 1093 | X267596* 1094 | X267768Y273899D2* 1095 | X267473Y274219D1* 1096 | D2* 1097 | D26* 1098 | X254576Y281301* 1099 | X267923D1* 1100 | D2* 1101 | D10* 1102 | D02M02* 1103 | -------------------------------------------------------------------------------- /Gerber/FDDIDE001R07_CLC.NCD: -------------------------------------------------------------------------------- 1 | M48 2 | ;FILE_FORMAT=2:4 3 | INCH 4 | ;TYPE=PLATED 5 | T01C0.013 6 | T02C0.025 7 | T04C0.038 8 | T06C0.087 9 | ;TYPE=NON_PLATED 10 | T03C0.030 11 | T05C0.070 12 | T07C0.184 13 | % 14 | T01 15 | X+235625Y+273500 16 | Y+274500 17 | X+234875Y+274750 18 | X+239375Y+273500 19 | X+238750 20 | X+237875Y+274500 21 | X+239375Y+274750 22 | X+237875Y+277125 23 | X+239500Y+280500 24 | X+240625Y+273500 25 | X+240875Y+274125 26 | X+241625Y+273500 27 | X+242125Y+282375 28 | X+244625Y+266500 29 | X+245250Y+271500 30 | X+247500Y+270375 31 | X+247125Y+271500 32 | X+248625Y+270375 33 | X+247312Y+272000 34 | X+247500Y+272500 35 | X+247875Y+274000 36 | X+251250Y+269250 37 | X+249625Y+275625 38 | X+251625Y+275000 39 | X+249750Y+273500 40 | X+254125Y+268500 41 | X+253875Y+269250 42 | X+253750Y+271125 43 | X+254125Y+281000 44 | X+254500Y+280125 45 | X+253375Y+283125 46 | X+256000Y+268500 47 | X+255375Y+268875 48 | X+257375Y+272500 49 | X+256375Y+273875 50 | X+259500Y+270625 51 | X+258875Y+272000 52 | X+259000Y+283500 53 | X+262000Y+270875 54 | X+261625Y+271375 55 | X+262500Y+271250 56 | X+262625Y+273875 57 | X+263625Y+276875 58 | X+262250Y+276625 59 | X+263125Y+279625 60 | X+262500 61 | X+261875 62 | X+264500Y+268625 63 | X+265125 64 | X+265875Y+271875 65 | X+264375Y+272375 66 | X+265375Y+272500 67 | Y+278125 68 | X+265750Y+277625 69 | X+265375Y+277125 70 | X+265750Y+276625 71 | X+266000Y+281625 72 | X+266625Y+280875 73 | X+268375Y+268750 74 | Y+269375 75 | X+267875Y+267437 76 | Y+271375 77 | X+267625Y+272000 78 | X+269625Y+275250 79 | X+268750Y+273750 80 | X+267625Y+273875 81 | X+268125Y+274625 82 | X+268750 83 | X+267625Y+276625 84 | X+267375Y+280875 85 | X+268000Y+281625 86 | X+238250Y+273125 87 | X+237625Y+273000 88 | X+242250Y+281750 89 | X+248000Y+270125 90 | X+247000 91 | X+246625Y+276250 92 | X+247937Y+272813 93 | X+248375Y+273125 94 | X+249625Y+270125 95 | X+251625Y+276000 96 | X+250375Y+276250 97 | X+249375Y+278875 98 | X+254500Y+279125 99 | X+256250Y+279000 100 | X+260000Y+270250 101 | X+263000Y+270125 102 | X+265000Y+282125 103 | X+265500Y+281875 104 | X+267625Y+273250 105 | X+268125Y+279250 106 | X+269000Y+278875 107 | X+240000Y+273500 108 | X+239750Y+277125 109 | X+234250Y+279625 110 | Y+280250 111 | X+240125Y+280375 112 | X+240250Y+279750 113 | X+249000Y+265875 114 | X+246250Y+269375 115 | X+248875Y+268250 116 | X+251750Y+268500 117 | X+246125Y+274625 118 | X+246250Y+275250 119 | X+252000Y+274500 120 | Y+275500 121 | X+248938Y+273687 122 | X+246250Y+277375 123 | X+252000Y+276500 124 | X+254750Y+268500 125 | X+255250Y+269625 126 | X+258000Y+270750 127 | X+263875Y+273750 128 | X+264250Y+277000 129 | X+254750Y+280750 130 | X+257750Y+279875 131 | X+263750Y+279625 132 | X+267000Y+266687 133 | X+269750Y+273875 134 | X+240250Y+279000 135 | X+239750Y+281875 136 | T02 137 | X+233000Y+275500 138 | Y+284000 139 | X+243500Y+265000 140 | X+244375Y+272375 141 | X+248500Y+264875 142 | X+247500 143 | X+246500Y+265000 144 | X+251500Y+264875 145 | X+250500 146 | X+249500 147 | X+254500 148 | X+253500 149 | X+252500 150 | X+252875Y+280375 151 | X+257500Y+264875 152 | X+256500 153 | X+255500 154 | X+257625Y+274000 155 | X+256375Y+279875 156 | X+258500Y+264875 157 | X+259500 158 | X+260250Y+271125 159 | X+260375Y+273500 160 | X+261375Y+273875 161 | Y+276625 162 | X+262250Y+282625 163 | X+264500Y+279625 164 | X+270750Y+266625 165 | Y+280875 166 | X+237125Y+269750 167 | X+264000Y+285250 168 | T03 169 | X+252234Y+284903 170 | X+270266 171 | T04 172 | X+245500Y+276750 173 | Y+281000 174 | Y+280000 175 | X+244500Y+281000 176 | Y+280000 177 | X+247500Y+276750 178 | X+248500Y+281000 179 | X+247500 180 | X+246500 181 | Y+280000 182 | X+247500 183 | X+248500 184 | X+249500Y+281000 185 | Y+280000 186 | X+250500 187 | Y+281000 188 | X+245500Y+279000 189 | X+244500 190 | X+246500 191 | X+247500 192 | X+270000Y+268500 193 | X+269000 194 | Y+269500 195 | X+270000 196 | X+269000Y+270500 197 | X+270000 198 | X+269000Y+271500 199 | X+270000 200 | Y+272500 201 | X+269000 202 | X+238500Y+283500 203 | Y+284500 204 | X+250500Y+283500 205 | X+249500 206 | X+248500 207 | X+247500 208 | X+246500 209 | X+245500 210 | X+244500 211 | X+243500 212 | X+242500 213 | X+241500 214 | Y+284500 215 | X+242500 216 | X+243500 217 | X+244500 218 | X+245500 219 | X+246500 220 | X+247500 221 | X+248500 222 | X+249500 223 | X+250500 224 | X+240500 225 | Y+283500 226 | X+239500Y+284500 227 | Y+283500 228 | X+237500Y+284500 229 | Y+283500 230 | X+236500Y+284500 231 | Y+283500 232 | X+235500Y+284500 233 | Y+283500 234 | X+234500Y+284500 235 | Y+283500 236 | T05 237 | X+253652Y+277679 238 | X+268848 239 | T06 240 | X+253652Y+276498 241 | X+268848 242 | T07 243 | X+243000Y+269221 244 | X+265000Y+267174 245 | T00 246 | M30 247 | -------------------------------------------------------------------------------- /Gerber/FDDIDE001R07_CLC.TMS: -------------------------------------------------------------------------------- 1 | G04* 2 | G04 File: FDDIDE001R07_CLC.TMS, Sun Oct 04 14:24:40 2015* 3 | G04 Source: P-CAD 2006 PCB, Version 19.02.958, (G:\Platform\MSX\Projects\Ptero\FDD+HDD\FDDIDE001r07_clc.pcb)* 4 | G04 Format: Gerber Format (RS-274-D), ASCII* 5 | G04* 6 | G04 Format Options: Absolute Positioning* 7 | G04 Leading-Zero Suppression* 8 | G04 Scale Factor 1:1* 9 | G04 NO Circular Interpolation* 10 | G04 Inch Units* 11 | G04 Numeric Format: 4.4 (XXXX.XXXX)* 12 | G04 G54 NOT Used for Aperture Change* 13 | G04 Apertures Embedded* 14 | G04* 15 | G04 File Options: Offset = (0.0mil,0.0mil)* 16 | G04 Drill Symbol Size = 80.0mil* 17 | G04 No Pad/Via Holes* 18 | G04* 19 | G04 File Contents: Pads* 20 | G04 No Vias* 21 | G04 No Designators* 22 | G04 No Types* 23 | G04 No Values* 24 | G04 No Drill Symbols* 25 | G04 Top Mask* 26 | G04* 27 | %INFDDIDE001R07_CLC.TMS*% 28 | %ICAS*% 29 | %MOIN*% 30 | G04* 31 | G04 Aperture MACROs for general use --- invoked via D-code assignment * 32 | G04* 33 | G04 General MACRO for flashed round with rotation and/or offset hole * 34 | %AMROTOFFROUND* 35 | 1,1,$1,0.0000,0.0000* 36 | 1,0,$2,$3,$4*% 37 | G04* 38 | G04 General MACRO for flashed oval (obround) with rotation and/or offset hole * 39 | %AMROTOFFOVAL* 40 | 21,1,$1,$2,0.0000,0.0000,$3* 41 | 1,1,$4,$5,$6* 42 | 1,1,$4,0-$5,0-$6* 43 | 1,0,$7,$8,$9*% 44 | G04* 45 | G04 General MACRO for flashed oval (obround) with rotation and no hole * 46 | %AMROTOVALNOHOLE* 47 | 21,1,$1,$2,0.0000,0.0000,$3* 48 | 1,1,$4,$5,$6* 49 | 1,1,$4,0-$5,0-$6*% 50 | G04* 51 | G04 General MACRO for flashed rectangle with rotation and/or offset hole * 52 | %AMROTOFFRECT* 53 | 21,1,$1,$2,0.0000,0.0000,$3* 54 | 1,0,$4,$5,$6*% 55 | G04* 56 | G04 General MACRO for flashed rectangle with rotation and no hole * 57 | %AMROTRECTNOHOLE* 58 | 21,1,$1,$2,0.0000,0.0000,$3*% 59 | G04* 60 | G04 General MACRO for flashed rounded-rectangle * 61 | %AMROUNDRECT* 62 | 21,1,$1,$2-$4,0.0000,0.0000,$3* 63 | 21,1,$1-$4,$2,0.0000,0.0000,$3* 64 | 1,1,$4,$5,$6* 65 | 1,1,$4,$7,$8* 66 | 1,1,$4,0-$5,0-$6* 67 | 1,1,$4,0-$7,0-$8* 68 | 1,0,$9,$10,$11*% 69 | G04* 70 | G04 General MACRO for flashed rounded-rectangle with rotation and no hole * 71 | %AMROUNDRECTNOHOLE* 72 | 21,1,$1,$2-$4,0.0000,0.0000,$3* 73 | 21,1,$1-$4,$2,0.0000,0.0000,$3* 74 | 1,1,$4,$5,$6* 75 | 1,1,$4,$7,$8* 76 | 1,1,$4,0-$5,0-$6* 77 | 1,1,$4,0-$7,0-$8*% 78 | G04* 79 | G04 General MACRO for flashed regular polygon * 80 | %AMREGPOLY* 81 | 5,1,$1,0.0000,0.0000,$2,$3+$4* 82 | 1,0,$5,$6,$7*% 83 | G04* 84 | G04 General MACRO for flashed regular polygon with no hole * 85 | %AMREGPOLYNOHOLE* 86 | 5,1,$1,0.0000,0.0000,$2,$3+$4*% 87 | G04* 88 | G04 General MACRO for target * 89 | %AMTARGET* 90 | 6,0,0,$1,$2,$3,4,$4,$5,$6*% 91 | G04* 92 | G04 General MACRO for mounting hole * 93 | %AMMTHOLE* 94 | 1,1,$1,0,0* 95 | 1,0,$2,0,0* 96 | $1=$1-$2* 97 | $1=$1/2* 98 | 21,1,$2+$1,$3,0,0,$4* 99 | 21,1,$3,$2+$1,0,0,$4*% 100 | G04* 101 | G04* 102 | G04 D10 : "Ellipse X10.0mil Y10.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 103 | G04 Disc: OuterDia=0.0100* 104 | %ADD10C, 0.0100*% 105 | G04 D11 : "Ellipse X12.0mil Y12.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 106 | G04 Disc: OuterDia=0.0120* 107 | %ADD11C, 0.0120*% 108 | G04 D12 : "Ellipse X2.0mil Y2.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 109 | G04 Disc: OuterDia=0.0020* 110 | %ADD12C, 0.0020*% 111 | G04 D13 : "Ellipse X20.0mil Y20.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 112 | G04 Disc: OuterDia=0.0200* 113 | %ADD13C, 0.0200*% 114 | G04 D14 : "Ellipse X25.0mil Y25.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 115 | G04 Disc: OuterDia=0.0250* 116 | %ADD14C, 0.0250*% 117 | G04 D15 : "Ellipse X3.9mil Y3.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 118 | G04 Disc: OuterDia=0.0039* 119 | %ADD15C, 0.0039*% 120 | G04 D16 : "Ellipse X30.0mil Y30.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 121 | G04 Disc: OuterDia=0.0300* 122 | %ADD16C, 0.0300*% 123 | G04 D17 : "Ellipse X35.0mil Y35.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 124 | G04 Disc: OuterDia=0.0350* 125 | %ADD17C, 0.0350*% 126 | G04 D18 : "Ellipse X40.0mil Y40.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 127 | G04 Disc: OuterDia=0.0400* 128 | %ADD18C, 0.0400*% 129 | G04 D19 : "Ellipse X45.0mil Y45.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 130 | G04 Disc: OuterDia=0.0450* 131 | %ADD19C, 0.0450*% 132 | G04 D20 : "Ellipse X5.0mil Y5.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 133 | G04 Disc: OuterDia=0.0050* 134 | %ADD20C, 0.0050*% 135 | G04 D21 : "Ellipse X5.9mil Y5.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 136 | G04 Disc: OuterDia=0.0059* 137 | %ADD21C, 0.0059*% 138 | G04 D22 : "Ellipse X5.9mil Y5.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 139 | G04 Disc: OuterDia=0.0059* 140 | %ADD22C, 0.0059*% 141 | G04 D23 : "Ellipse X50.0mil Y50.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 142 | G04 Disc: OuterDia=0.0500* 143 | %ADD23C, 0.0500*% 144 | G04 D24 : "Ellipse X6.1mil Y6.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 145 | G04 Disc: OuterDia=0.0061* 146 | %ADD24C, 0.0061*% 147 | G04 D25 : "Ellipse X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 148 | G04 Disc: OuterDia=0.0600* 149 | %ADD25C, 0.0600*% 150 | G04 D26 : "Ellipse X7.9mil Y7.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 151 | G04 Disc: OuterDia=0.0079* 152 | %ADD26C, 0.0079*% 153 | G04 D27 : "Ellipse X80.0mil Y80.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 154 | G04 Disc: OuterDia=0.0800* 155 | %ADD27C, 0.0800*% 156 | G04 D28 : "Ellipse X9.8mil Y9.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 157 | G04 Disc: OuterDia=0.0098* 158 | %ADD28C, 0.0098*% 159 | G04 D29 : "Ellipse X199.0mil Y199.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 160 | G04 Disc: OuterDia=0.1990* 161 | %ADD29C, 0.1990*% 162 | G04 D30 : "Ellipse X45.1mil Y45.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 163 | G04 Disc: OuterDia=0.0451* 164 | %ADD30C, 0.0451*% 165 | G04 D31 : "Ellipse X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 166 | G04 Disc: OuterDia=0.0600* 167 | %ADD31C, 0.0600*% 168 | G04 D32 : "Ellipse X75.0mil Y75.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 169 | G04 Disc: OuterDia=0.0750* 170 | %ADD32C, 0.0750*% 171 | G04 D33 : "Ellipse X86.0mil Y86.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 172 | G04 Disc: OuterDia=0.0860* 173 | %ADD33C, 0.0860*% 174 | G04 D34 : "Mounting Hole X184.0mil Y184.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 175 | G04 Mounting Hole: Diameter=0.1840, Rotation=0.0, LineWidth=0.0050 * 176 | %ADD34MTHOLE, 0.1840 X0.1640 X0.0050 X0.0*% 177 | G04 D35 : "Mounting Hole X30.1mil Y30.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 178 | G04 Mounting Hole: Diameter=0.0301, Rotation=0.0, LineWidth=0.0050 * 179 | %ADD35MTHOLE, 0.0301 X0.0101 X0.0050 X0.0*% 180 | G04 D36 : "Mounting Hole X71.0mil Y71.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 181 | G04 Mounting Hole: Diameter=0.0710, Rotation=0.0, LineWidth=0.0050 * 182 | %ADD36MTHOLE, 0.0710 X0.0510 X0.0050 X0.0*% 183 | G04 D37 : "Ellipse X143.7mil Y143.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 184 | G04 Disc: OuterDia=0.1437* 185 | %ADD37C, 0.1437*% 186 | G04 D38 : "Ellipse X158.7mil Y158.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 187 | G04 Disc: OuterDia=0.1587* 188 | %ADD38C, 0.1587*% 189 | G04 D39 : "Rounded Rectangle X50.0mil Y350.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 190 | G04 RoundRct: DimX=0.0500, DimY=0.3500, CornerRad=0.0125, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 191 | %ADD39ROUNDRECTNOHOLE, 0.0500 X0.3500 X0.0 X0.0250 X-0.0125 X-0.1625 X-0.0125 X0.1625*% 192 | G04 D40 : "Rounded Rectangle X65.0mil Y365.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 193 | G04 RoundRct: DimX=0.0650, DimY=0.3650, CornerRad=0.0163, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 194 | %ADD40ROUNDRECTNOHOLE, 0.0650 X0.3650 X0.0 X0.0325 X-0.0163 X-0.1663 X-0.0163 X0.1663*% 195 | G04 D41 : "Rectangle X63.0mil Y106.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 196 | G04 Rectangular: DimX=0.0630, DimY=0.1063, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 197 | %ADD41R, 0.0630 X0.1063*% 198 | G04 D42 : "Rectangle X78.0mil Y121.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 199 | G04 Rectangular: DimX=0.0780, DimY=0.1213, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 200 | %ADD42R, 0.0780 X0.1213*% 201 | G04 D43 : "Rectangle X15.7mil Y137.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 202 | G04 Rectangular: DimX=0.0157, DimY=0.1378, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 203 | %ADD43R, 0.0157 X0.1378*% 204 | G04 D44 : "Rectangle X30.7mil Y152.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 205 | G04 Rectangular: DimX=0.0307, DimY=0.1528, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 206 | %ADD44R, 0.0307 X0.1528*% 207 | G04 D45 : "Rectangle X23.6mil Y86.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 208 | G04 Rectangular: DimX=0.0236, DimY=0.0866, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 209 | %ADD45R, 0.0236 X0.0866*% 210 | G04 D46 : "Rectangle X86.6mil Y23.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 211 | G04 Rectangular: DimX=0.0866, DimY=0.0236, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 212 | %ADD46R, 0.0866 X0.0236*% 213 | G04 D47 : "Rectangle X24.4mil Y118.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 214 | G04 Rectangular: DimX=0.0244, DimY=0.1181, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 215 | %ADD47R, 0.0244 X0.1181*% 216 | G04 D48 : "Rectangle X24.4mil Y98.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 217 | G04 Rectangular: DimX=0.0244, DimY=0.0984, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 218 | %ADD48R, 0.0244 X0.0984*% 219 | G04 D49 : "Rectangle X98.4mil Y24.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 220 | G04 Rectangular: DimX=0.0984, DimY=0.0244, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 221 | %ADD49R, 0.0984 X0.0244*% 222 | G04 D50 : "Rectangle X24.8mil Y78.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 223 | G04 Rectangular: DimX=0.0248, DimY=0.0787, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 224 | %ADD50R, 0.0248 X0.0787*% 225 | G04 D51 : "Rectangle X78.7mil Y24.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 226 | G04 Rectangular: DimX=0.0787, DimY=0.0248, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 227 | %ADD51R, 0.0787 X0.0248*% 228 | G04 D52 : "Rectangle X38.6mil Y101.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 229 | G04 Rectangular: DimX=0.0386, DimY=0.1016, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 230 | %ADD52R, 0.0386 X0.1016*% 231 | G04 D53 : "Rectangle X101.6mil Y38.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 232 | G04 Rectangular: DimX=0.1016, DimY=0.0386, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 233 | %ADD53R, 0.1016 X0.0386*% 234 | G04 D54 : "Rectangle X39.4mil Y113.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 235 | G04 Rectangular: DimX=0.0394, DimY=0.1134, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 236 | %ADD54R, 0.0394 X0.1134*% 237 | G04 D55 : "Rectangle X113.4mil Y39.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 238 | G04 Rectangular: DimX=0.1134, DimY=0.0394, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 239 | %ADD55R, 0.1134 X0.0394*% 240 | G04 D56 : "Rectangle X39.4mil Y133.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 241 | G04 Rectangular: DimX=0.0394, DimY=0.1331, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 242 | %ADD56R, 0.0394 X0.1331*% 243 | G04 D57 : "Rectangle X39.8mil Y93.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 244 | G04 Rectangular: DimX=0.0398, DimY=0.0937, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 245 | %ADD57R, 0.0398 X0.0937*% 246 | G04 D58 : "Rectangle X93.7mil Y39.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 247 | G04 Rectangular: DimX=0.0937, DimY=0.0398, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 248 | %ADD58R, 0.0937 X0.0398*% 249 | G04 D59 : "Rectangle X43.3mil Y39.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 250 | G04 Rectangular: DimX=0.0433, DimY=0.0394, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 251 | %ADD59R, 0.0433 X0.0394*% 252 | G04 D60 : "Rectangle X39.4mil Y43.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 253 | G04 Rectangular: DimX=0.0394, DimY=0.0433, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 254 | %ADD60R, 0.0394 X0.0433*% 255 | G04 D61 : "Rectangle X45.0mil Y120.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 256 | G04 Rectangular: DimX=0.0450, DimY=0.1200, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 257 | %ADD61R, 0.0450 X0.1200*% 258 | G04 D62 : "Rectangle X51.2mil Y59.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 259 | G04 Rectangular: DimX=0.0512, DimY=0.0591, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 260 | %ADD62R, 0.0512 X0.0591*% 261 | G04 D63 : "Rectangle X59.1mil Y51.2mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 262 | G04 Rectangular: DimX=0.0591, DimY=0.0512, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 263 | %ADD63R, 0.0591 X0.0512*% 264 | G04 D64 : "Rectangle X58.3mil Y54.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 265 | G04 Rectangular: DimX=0.0583, DimY=0.0544, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 266 | %ADD64R, 0.0583 X0.0544*% 267 | G04 D65 : "Rectangle X54.4mil Y58.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 268 | G04 Rectangular: DimX=0.0544, DimY=0.0583, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 269 | %ADD65R, 0.0544 X0.0583*% 270 | G04 D66 : "Rectangle X60.0mil Y135.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 271 | G04 Rectangular: DimX=0.0600, DimY=0.1350, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 272 | %ADD66R, 0.0600 X0.1350*% 273 | G04 D67 : "Rectangle X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 274 | G04 Square: Side=0.0600, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000* 275 | %ADD67R, 0.0600 X0.0600*% 276 | G04 D68 : "Rectangle X66.2mil Y74.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 277 | G04 Rectangular: DimX=0.0662, DimY=0.0741, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 278 | %ADD68R, 0.0662 X0.0741*% 279 | G04 D69 : "Rectangle X74.1mil Y66.2mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 280 | G04 Rectangular: DimX=0.0741, DimY=0.0662, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 281 | %ADD69R, 0.0741 X0.0662*% 282 | G04 D70 : "Rectangle X75.0mil Y75.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 283 | G04 Square: Side=0.0750, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000* 284 | %ADD70R, 0.0750 X0.0750*% 285 | G04 D71 : "Ellipse X40.0mil Y40.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 286 | G04 Disc: OuterDia=0.0400* 287 | %ADD71C, 0.0400*% 288 | G04 D72 : "Ellipse X55.0mil Y55.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 289 | G04 Disc: OuterDia=0.0550* 290 | %ADD72C, 0.0550*% 291 | G04 D73 : "Ellipse X70.0mil Y70.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 292 | G04 Disc: OuterDia=0.0700* 293 | %ADD73C, 0.0700*% 294 | G04* 295 | %FSLAX44Y44*% 296 | %SFA1B1*% 297 | %OFA0.0000B0.0000*% 298 | G04* 299 | G70* 300 | G90* 301 | G01* 302 | D2* 303 | %LNTop Mask*% 304 | D42* 305 | X237948Y268000D3* 306 | X239051D3* 307 | D32* 308 | X245500Y276750D3* 309 | D65* 310 | X244562Y277437D3* 311 | Y278106D3* 312 | D32* 313 | X245500Y281000D3* 314 | Y280000D3* 315 | X244500Y281000D3* 316 | Y280000D3* 317 | X247500Y276750D3* 318 | D65* 319 | Y277437D3* 320 | Y278106D3* 321 | D32* 322 | X248500Y281000D3* 323 | X247500D3* 324 | X246500D3* 325 | Y280000D3* 326 | X247500D3* 327 | X248500D3* 328 | X249500Y281000D3* 329 | Y280000D3* 330 | X250500D3* 331 | Y281000D3* 332 | D69* 333 | X259812Y283375D3* 334 | Y282626D3* 335 | D68* 336 | X269125Y275250D3* 337 | X268376D3* 338 | D64* 339 | X269000Y284437D3* 340 | X269669D3* 341 | X269000Y283937D3* 342 | X269669D3* 343 | X269000Y283437D3* 344 | X269669D3* 345 | D69* 346 | X235500Y281500D3* 347 | Y280751D3* 348 | X237500Y281500D3* 349 | Y280751D3* 350 | X238500Y281500D3* 351 | Y280751D3* 352 | D32* 353 | X245500Y279000D3* 354 | X244500D3* 355 | X246500D3* 356 | X247500D3* 357 | D68* 358 | X233875Y275437D3* 359 | X234623D3* 360 | D65* 361 | X248937Y274125D3* 362 | Y274794D3* 363 | X245500Y277437D3* 364 | Y278106D3* 365 | D64* 366 | X261125Y267625D3* 367 | X260455D3* 368 | D69* 369 | X264000Y283687D3* 370 | Y282939D3* 371 | D42* 372 | X269073Y279875D3* 373 | X270176D3* 374 | D68* 375 | X267187Y283750D3* 376 | X267935D3* 377 | D69* 378 | X236500Y281500D3* 379 | Y280751D3* 380 | D32* 381 | X270000Y268500D3* 382 | X269000D3* 383 | Y269500D3* 384 | X270000D3* 385 | X269000Y270500D3* 386 | X270000D3* 387 | X269000Y271500D3* 388 | X270000D3* 389 | Y272500D3* 390 | D70* 391 | X269000D3* 392 | D69* 393 | X267000Y267937D3* 394 | Y267189D3* 395 | D29* 396 | X243000Y269221D3* 397 | D57* 398 | X237260Y271770D3* 399 | X237760D3* 400 | X238260D3* 401 | X238760D3* 402 | X239260D3* 403 | Y278220D3* 404 | X238760D3* 405 | X238260D3* 406 | X237760D3* 407 | X237260D3* 408 | X239760Y271770D3* 409 | X240260D3* 410 | X240760D3* 411 | X241260D3* 412 | X241760D3* 413 | X242260D3* 414 | Y278220D3* 415 | X241760D3* 416 | X241260D3* 417 | X240760D3* 418 | X240260D3* 419 | X239760D3* 420 | D58* 421 | X236540Y274000D3* 422 | Y273500D3* 423 | Y273000D3* 424 | Y272500D3* 425 | Y274500D3* 426 | Y277500D3* 427 | Y277000D3* 428 | Y276500D3* 429 | Y276000D3* 430 | Y275500D3* 431 | X242990Y272500D3* 432 | Y273000D3* 433 | Y273500D3* 434 | Y274000D3* 435 | Y274500D3* 436 | Y275500D3* 437 | Y276000D3* 438 | Y276500D3* 439 | Y277000D3* 440 | Y277500D3* 441 | X236540Y275000D3* 442 | X242990D3* 443 | D29* 444 | X265000Y267174D3* 445 | D52* 446 | X261000Y280833D3* 447 | X256500D3* 448 | X256000D3* 449 | D53* 450 | X255291Y280125D3* 451 | Y279625D3* 452 | Y279125D3* 453 | Y278625D3* 454 | Y278125D3* 455 | Y277625D3* 456 | Y277125D3* 457 | Y276625D3* 458 | D52* 459 | X260500Y280833D3* 460 | D53* 461 | X255291Y276125D3* 462 | Y275625D3* 463 | Y275125D3* 464 | Y274625D3* 465 | Y274125D3* 466 | Y273625D3* 467 | Y273125D3* 468 | Y272625D3* 469 | Y272125D3* 470 | Y271625D3* 471 | D52* 472 | X260000Y280833D3* 473 | D53* 474 | X255291Y271125D3* 475 | Y270625D3* 476 | Y270125D3* 477 | D52* 478 | X256000Y269416D3* 479 | X256500D3* 480 | X257000D3* 481 | X257500D3* 482 | X258000D3* 483 | X258500D3* 484 | X259000D3* 485 | X259500Y280833D3* 486 | Y269416D3* 487 | X260000D3* 488 | X260500D3* 489 | X261000D3* 490 | X261500D3* 491 | X262000D3* 492 | X262500D3* 493 | X263000D3* 494 | X263500D3* 495 | X264000D3* 496 | X259000Y280833D3* 497 | X264500Y269416D3* 498 | X265000D3* 499 | X265500D3* 500 | X266000D3* 501 | D53* 502 | X266708Y270125D3* 503 | Y270625D3* 504 | Y271125D3* 505 | Y271625D3* 506 | Y272125D3* 507 | Y272625D3* 508 | D52* 509 | X258500Y280833D3* 510 | D53* 511 | X266708Y273125D3* 512 | Y273625D3* 513 | Y274125D3* 514 | Y274625D3* 515 | Y275125D3* 516 | Y275625D3* 517 | Y276125D3* 518 | Y276625D3* 519 | Y277125D3* 520 | Y277625D3* 521 | D52* 522 | X258000Y280833D3* 523 | D53* 524 | X266708Y278125D3* 525 | Y278625D3* 526 | Y279125D3* 527 | Y279625D3* 528 | Y280125D3* 529 | D52* 530 | X266000Y280833D3* 531 | X265500D3* 532 | X265000D3* 533 | X264500D3* 534 | X264000D3* 535 | X257500D3* 536 | X263500D3* 537 | X263000D3* 538 | X262500D3* 539 | X262000D3* 540 | X261500D3* 541 | X257000D3* 542 | D33* 543 | X253652Y277679D3* 544 | X268848D3* 545 | D38* 546 | X253652Y276498D3* 547 | X268848D3* 548 | D30* 549 | X252234Y284903D3* 550 | X270266D3* 551 | D40* 552 | X244000Y262750D3* 553 | X243000D3* 554 | X247000D3* 555 | X246000D3* 556 | X250000D3* 557 | X249000D3* 558 | X253000D3* 559 | X252000D3* 560 | X256000D3* 561 | X255000D3* 562 | X259000D3* 563 | X258000D3* 564 | X262000D3* 565 | X261000D3* 566 | X265000D3* 567 | X264000D3* 568 | X242000D3* 569 | X254000D3* 570 | X251000D3* 571 | X248000D3* 572 | X245000D3* 573 | X266000D3* 574 | X263000D3* 575 | X260000D3* 576 | X257000D3* 577 | D32* 578 | X238500Y283500D3* 579 | Y284500D3* 580 | X250500Y283500D3* 581 | X249500D3* 582 | X248500D3* 583 | X247500D3* 584 | X246500D3* 585 | X245500D3* 586 | X244500D3* 587 | X243500D3* 588 | X242500D3* 589 | X241500D3* 590 | Y284500D3* 591 | X242500D3* 592 | X243500D3* 593 | X244500D3* 594 | X245500D3* 595 | X246500D3* 596 | X247500D3* 597 | X248500D3* 598 | X249500D3* 599 | D70* 600 | X250500D3* 601 | D32* 602 | X240500D3* 603 | Y283500D3* 604 | X239500Y284500D3* 605 | Y283500D3* 606 | X237500Y284500D3* 607 | Y283500D3* 608 | X236500Y284500D3* 609 | Y283500D3* 610 | X235500Y284500D3* 611 | Y283500D3* 612 | X234500Y284500D3* 613 | Y283500D3* 614 | D02M02* 615 | -------------------------------------------------------------------------------- /Gerber/FDDIDE001R07_CLC.TSL: -------------------------------------------------------------------------------- 1 | G04* 2 | G04 File: FDDIDE001R07_CLC.TSL, Sun Oct 04 14:24:40 2015* 3 | G04 Source: P-CAD 2006 PCB, Version 19.02.958, (G:\Platform\MSX\Projects\Ptero\FDD+HDD\FDDIDE001r07_clc.pcb)* 4 | G04 Format: Gerber Format (RS-274-D), ASCII* 5 | G04* 6 | G04 Format Options: Absolute Positioning* 7 | G04 Leading-Zero Suppression* 8 | G04 Scale Factor 1:1* 9 | G04 NO Circular Interpolation* 10 | G04 Inch Units* 11 | G04 Numeric Format: 4.4 (XXXX.XXXX)* 12 | G04 G54 NOT Used for Aperture Change* 13 | G04 Apertures Embedded* 14 | G04* 15 | G04 File Options: Offset = (0.0mil,0.0mil)* 16 | G04 Drill Symbol Size = 80.0mil* 17 | G04 No Pad/Via Holes* 18 | G04* 19 | G04 File Contents: No Pads* 20 | G04 No Vias* 21 | G04 Designators* 22 | G04 No Types* 23 | G04 No Values* 24 | G04 No Drill Symbols* 25 | G04 Top Silk* 26 | G04* 27 | %INFDDIDE001R07_CLC.TSL*% 28 | %ICAS*% 29 | %MOIN*% 30 | G04* 31 | G04 Aperture MACROs for general use --- invoked via D-code assignment * 32 | G04* 33 | G04 General MACRO for flashed round with rotation and/or offset hole * 34 | %AMROTOFFROUND* 35 | 1,1,$1,0.0000,0.0000* 36 | 1,0,$2,$3,$4*% 37 | G04* 38 | G04 General MACRO for flashed oval (obround) with rotation and/or offset hole * 39 | %AMROTOFFOVAL* 40 | 21,1,$1,$2,0.0000,0.0000,$3* 41 | 1,1,$4,$5,$6* 42 | 1,1,$4,0-$5,0-$6* 43 | 1,0,$7,$8,$9*% 44 | G04* 45 | G04 General MACRO for flashed oval (obround) with rotation and no hole * 46 | %AMROTOVALNOHOLE* 47 | 21,1,$1,$2,0.0000,0.0000,$3* 48 | 1,1,$4,$5,$6* 49 | 1,1,$4,0-$5,0-$6*% 50 | G04* 51 | G04 General MACRO for flashed rectangle with rotation and/or offset hole * 52 | %AMROTOFFRECT* 53 | 21,1,$1,$2,0.0000,0.0000,$3* 54 | 1,0,$4,$5,$6*% 55 | G04* 56 | G04 General MACRO for flashed rectangle with rotation and no hole * 57 | %AMROTRECTNOHOLE* 58 | 21,1,$1,$2,0.0000,0.0000,$3*% 59 | G04* 60 | G04 General MACRO for flashed rounded-rectangle * 61 | %AMROUNDRECT* 62 | 21,1,$1,$2-$4,0.0000,0.0000,$3* 63 | 21,1,$1-$4,$2,0.0000,0.0000,$3* 64 | 1,1,$4,$5,$6* 65 | 1,1,$4,$7,$8* 66 | 1,1,$4,0-$5,0-$6* 67 | 1,1,$4,0-$7,0-$8* 68 | 1,0,$9,$10,$11*% 69 | G04* 70 | G04 General MACRO for flashed rounded-rectangle with rotation and no hole * 71 | %AMROUNDRECTNOHOLE* 72 | 21,1,$1,$2-$4,0.0000,0.0000,$3* 73 | 21,1,$1-$4,$2,0.0000,0.0000,$3* 74 | 1,1,$4,$5,$6* 75 | 1,1,$4,$7,$8* 76 | 1,1,$4,0-$5,0-$6* 77 | 1,1,$4,0-$7,0-$8*% 78 | G04* 79 | G04 General MACRO for flashed regular polygon * 80 | %AMREGPOLY* 81 | 5,1,$1,0.0000,0.0000,$2,$3+$4* 82 | 1,0,$5,$6,$7*% 83 | G04* 84 | G04 General MACRO for flashed regular polygon with no hole * 85 | %AMREGPOLYNOHOLE* 86 | 5,1,$1,0.0000,0.0000,$2,$3+$4*% 87 | G04* 88 | G04 General MACRO for target * 89 | %AMTARGET* 90 | 6,0,0,$1,$2,$3,4,$4,$5,$6*% 91 | G04* 92 | G04 General MACRO for mounting hole * 93 | %AMMTHOLE* 94 | 1,1,$1,0,0* 95 | 1,0,$2,0,0* 96 | $1=$1-$2* 97 | $1=$1/2* 98 | 21,1,$2+$1,$3,0,0,$4* 99 | 21,1,$3,$2+$1,0,0,$4*% 100 | G04* 101 | G04* 102 | G04 D10 : "Ellipse X10.0mil Y10.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 103 | G04 Disc: OuterDia=0.0100* 104 | %ADD10C, 0.0100*% 105 | G04 D11 : "Ellipse X12.0mil Y12.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 106 | G04 Disc: OuterDia=0.0120* 107 | %ADD11C, 0.0120*% 108 | G04 D12 : "Ellipse X2.0mil Y2.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 109 | G04 Disc: OuterDia=0.0020* 110 | %ADD12C, 0.0020*% 111 | G04 D13 : "Ellipse X20.0mil Y20.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 112 | G04 Disc: OuterDia=0.0200* 113 | %ADD13C, 0.0200*% 114 | G04 D14 : "Ellipse X25.0mil Y25.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 115 | G04 Disc: OuterDia=0.0250* 116 | %ADD14C, 0.0250*% 117 | G04 D15 : "Ellipse X3.9mil Y3.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 118 | G04 Disc: OuterDia=0.0039* 119 | %ADD15C, 0.0039*% 120 | G04 D16 : "Ellipse X30.0mil Y30.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 121 | G04 Disc: OuterDia=0.0300* 122 | %ADD16C, 0.0300*% 123 | G04 D17 : "Ellipse X35.0mil Y35.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 124 | G04 Disc: OuterDia=0.0350* 125 | %ADD17C, 0.0350*% 126 | G04 D18 : "Ellipse X40.0mil Y40.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 127 | G04 Disc: OuterDia=0.0400* 128 | %ADD18C, 0.0400*% 129 | G04 D19 : "Ellipse X45.0mil Y45.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 130 | G04 Disc: OuterDia=0.0450* 131 | %ADD19C, 0.0450*% 132 | G04 D20 : "Ellipse X5.0mil Y5.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 133 | G04 Disc: OuterDia=0.0050* 134 | %ADD20C, 0.0050*% 135 | G04 D21 : "Ellipse X5.9mil Y5.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 136 | G04 Disc: OuterDia=0.0059* 137 | %ADD21C, 0.0059*% 138 | G04 D22 : "Ellipse X5.9mil Y5.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 139 | G04 Disc: OuterDia=0.0059* 140 | %ADD22C, 0.0059*% 141 | G04 D23 : "Ellipse X50.0mil Y50.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 142 | G04 Disc: OuterDia=0.0500* 143 | %ADD23C, 0.0500*% 144 | G04 D24 : "Ellipse X6.1mil Y6.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 145 | G04 Disc: OuterDia=0.0061* 146 | %ADD24C, 0.0061*% 147 | G04 D25 : "Ellipse X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 148 | G04 Disc: OuterDia=0.0600* 149 | %ADD25C, 0.0600*% 150 | G04 D26 : "Ellipse X7.9mil Y7.9mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 151 | G04 Disc: OuterDia=0.0079* 152 | %ADD26C, 0.0079*% 153 | G04 D27 : "Ellipse X80.0mil Y80.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 154 | G04 Disc: OuterDia=0.0800* 155 | %ADD27C, 0.0800*% 156 | G04 D28 : "Ellipse X9.8mil Y9.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Draw"* 157 | G04 Disc: OuterDia=0.0098* 158 | %ADD28C, 0.0098*% 159 | G04 D29 : "Ellipse X199.0mil Y199.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 160 | G04 Disc: OuterDia=0.1990* 161 | %ADD29C, 0.1990*% 162 | G04 D30 : "Ellipse X45.1mil Y45.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 163 | G04 Disc: OuterDia=0.0451* 164 | %ADD30C, 0.0451*% 165 | G04 D31 : "Ellipse X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 166 | G04 Disc: OuterDia=0.0600* 167 | %ADD31C, 0.0600*% 168 | G04 D32 : "Ellipse X75.0mil Y75.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 169 | G04 Disc: OuterDia=0.0750* 170 | %ADD32C, 0.0750*% 171 | G04 D33 : "Ellipse X86.0mil Y86.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 172 | G04 Disc: OuterDia=0.0860* 173 | %ADD33C, 0.0860*% 174 | G04 D34 : "Mounting Hole X184.0mil Y184.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 175 | G04 Mounting Hole: Diameter=0.1840, Rotation=0.0, LineWidth=0.0050 * 176 | %ADD34MTHOLE, 0.1840 X0.1640 X0.0050 X0.0*% 177 | G04 D35 : "Mounting Hole X30.1mil Y30.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 178 | G04 Mounting Hole: Diameter=0.0301, Rotation=0.0, LineWidth=0.0050 * 179 | %ADD35MTHOLE, 0.0301 X0.0101 X0.0050 X0.0*% 180 | G04 D36 : "Mounting Hole X71.0mil Y71.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 181 | G04 Mounting Hole: Diameter=0.0710, Rotation=0.0, LineWidth=0.0050 * 182 | %ADD36MTHOLE, 0.0710 X0.0510 X0.0050 X0.0*% 183 | G04 D37 : "Ellipse X143.7mil Y143.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 184 | G04 Disc: OuterDia=0.1437* 185 | %ADD37C, 0.1437*% 186 | G04 D38 : "Ellipse X158.7mil Y158.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 187 | G04 Disc: OuterDia=0.1587* 188 | %ADD38C, 0.1587*% 189 | G04 D39 : "Rounded Rectangle X50.0mil Y350.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 190 | G04 RoundRct: DimX=0.0500, DimY=0.3500, CornerRad=0.0125, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 191 | %ADD39ROUNDRECTNOHOLE, 0.0500 X0.3500 X0.0 X0.0250 X-0.0125 X-0.1625 X-0.0125 X0.1625*% 192 | G04 D40 : "Rounded Rectangle X65.0mil Y365.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 193 | G04 RoundRct: DimX=0.0650, DimY=0.3650, CornerRad=0.0163, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 194 | %ADD40ROUNDRECTNOHOLE, 0.0650 X0.3650 X0.0 X0.0325 X-0.0163 X-0.1663 X-0.0163 X0.1663*% 195 | G04 D41 : "Rectangle X63.0mil Y106.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 196 | G04 Rectangular: DimX=0.0630, DimY=0.1063, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 197 | %ADD41R, 0.0630 X0.1063*% 198 | G04 D42 : "Rectangle X78.0mil Y121.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 199 | G04 Rectangular: DimX=0.0780, DimY=0.1213, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 200 | %ADD42R, 0.0780 X0.1213*% 201 | G04 D43 : "Rectangle X15.7mil Y137.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 202 | G04 Rectangular: DimX=0.0157, DimY=0.1378, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 203 | %ADD43R, 0.0157 X0.1378*% 204 | G04 D44 : "Rectangle X30.7mil Y152.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 205 | G04 Rectangular: DimX=0.0307, DimY=0.1528, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 206 | %ADD44R, 0.0307 X0.1528*% 207 | G04 D45 : "Rectangle X23.6mil Y86.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 208 | G04 Rectangular: DimX=0.0236, DimY=0.0866, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 209 | %ADD45R, 0.0236 X0.0866*% 210 | G04 D46 : "Rectangle X86.6mil Y23.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 211 | G04 Rectangular: DimX=0.0866, DimY=0.0236, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 212 | %ADD46R, 0.0866 X0.0236*% 213 | G04 D47 : "Rectangle X24.4mil Y118.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 214 | G04 Rectangular: DimX=0.0244, DimY=0.1181, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 215 | %ADD47R, 0.0244 X0.1181*% 216 | G04 D48 : "Rectangle X24.4mil Y98.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 217 | G04 Rectangular: DimX=0.0244, DimY=0.0984, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 218 | %ADD48R, 0.0244 X0.0984*% 219 | G04 D49 : "Rectangle X98.4mil Y24.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 220 | G04 Rectangular: DimX=0.0984, DimY=0.0244, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 221 | %ADD49R, 0.0984 X0.0244*% 222 | G04 D50 : "Rectangle X24.8mil Y78.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 223 | G04 Rectangular: DimX=0.0248, DimY=0.0787, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 224 | %ADD50R, 0.0248 X0.0787*% 225 | G04 D51 : "Rectangle X78.7mil Y24.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 226 | G04 Rectangular: DimX=0.0787, DimY=0.0248, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 227 | %ADD51R, 0.0787 X0.0248*% 228 | G04 D52 : "Rectangle X38.6mil Y101.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 229 | G04 Rectangular: DimX=0.0386, DimY=0.1016, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 230 | %ADD52R, 0.0386 X0.1016*% 231 | G04 D53 : "Rectangle X101.6mil Y38.6mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 232 | G04 Rectangular: DimX=0.1016, DimY=0.0386, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 233 | %ADD53R, 0.1016 X0.0386*% 234 | G04 D54 : "Rectangle X39.4mil Y113.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 235 | G04 Rectangular: DimX=0.0394, DimY=0.1134, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 236 | %ADD54R, 0.0394 X0.1134*% 237 | G04 D55 : "Rectangle X113.4mil Y39.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 238 | G04 Rectangular: DimX=0.1134, DimY=0.0394, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 239 | %ADD55R, 0.1134 X0.0394*% 240 | G04 D56 : "Rectangle X39.4mil Y133.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 241 | G04 Rectangular: DimX=0.0394, DimY=0.1331, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 242 | %ADD56R, 0.0394 X0.1331*% 243 | G04 D57 : "Rectangle X39.8mil Y93.7mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 244 | G04 Rectangular: DimX=0.0398, DimY=0.0937, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 245 | %ADD57R, 0.0398 X0.0937*% 246 | G04 D58 : "Rectangle X93.7mil Y39.8mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 247 | G04 Rectangular: DimX=0.0937, DimY=0.0398, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 248 | %ADD58R, 0.0937 X0.0398*% 249 | G04 D59 : "Rectangle X43.3mil Y39.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 250 | G04 Rectangular: DimX=0.0433, DimY=0.0394, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 251 | %ADD59R, 0.0433 X0.0394*% 252 | G04 D60 : "Rectangle X39.4mil Y43.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 253 | G04 Rectangular: DimX=0.0394, DimY=0.0433, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 254 | %ADD60R, 0.0394 X0.0433*% 255 | G04 D61 : "Rectangle X45.0mil Y120.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 256 | G04 Rectangular: DimX=0.0450, DimY=0.1200, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 257 | %ADD61R, 0.0450 X0.1200*% 258 | G04 D62 : "Rectangle X51.2mil Y59.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 259 | G04 Rectangular: DimX=0.0512, DimY=0.0591, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 260 | %ADD62R, 0.0512 X0.0591*% 261 | G04 D63 : "Rectangle X59.1mil Y51.2mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 262 | G04 Rectangular: DimX=0.0591, DimY=0.0512, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 263 | %ADD63R, 0.0591 X0.0512*% 264 | G04 D64 : "Rectangle X58.3mil Y54.4mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 265 | G04 Rectangular: DimX=0.0583, DimY=0.0544, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 266 | %ADD64R, 0.0583 X0.0544*% 267 | G04 D65 : "Rectangle X54.4mil Y58.3mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 268 | G04 Rectangular: DimX=0.0544, DimY=0.0583, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 269 | %ADD65R, 0.0544 X0.0583*% 270 | G04 D66 : "Rectangle X60.0mil Y135.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 271 | G04 Rectangular: DimX=0.0600, DimY=0.1350, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 272 | %ADD66R, 0.0600 X0.1350*% 273 | G04 D67 : "Rectangle X60.0mil Y60.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 274 | G04 Square: Side=0.0600, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000* 275 | %ADD67R, 0.0600 X0.0600*% 276 | G04 D68 : "Rectangle X66.2mil Y74.1mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 277 | G04 Rectangular: DimX=0.0662, DimY=0.0741, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 278 | %ADD68R, 0.0662 X0.0741*% 279 | G04 D69 : "Rectangle X74.1mil Y66.2mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 280 | G04 Rectangular: DimX=0.0741, DimY=0.0662, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000 * 281 | %ADD69R, 0.0741 X0.0662*% 282 | G04 D70 : "Rectangle X75.0mil Y75.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 283 | G04 Square: Side=0.0750, Rotation=0.0, OffsetX=0.0000, OffsetY=0.0000, HoleDia=0.0000* 284 | %ADD70R, 0.0750 X0.0750*% 285 | G04 D71 : "Ellipse X40.0mil Y40.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 286 | G04 Disc: OuterDia=0.0400* 287 | %ADD71C, 0.0400*% 288 | G04 D72 : "Ellipse X55.0mil Y55.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 289 | G04 Disc: OuterDia=0.0550* 290 | %ADD72C, 0.0550*% 291 | G04 D73 : "Ellipse X70.0mil Y70.0mil H0.0mil 0.0deg (0.0mil,0.0mil) Flash"* 292 | G04 Disc: OuterDia=0.0700* 293 | %ADD73C, 0.0700*% 294 | G04* 295 | %FSLAX44Y44*% 296 | %SFA1B1*% 297 | %OFA0.0000B0.0000*% 298 | G04* 299 | G70* 300 | G90* 301 | G01* 302 | D2* 303 | %LNTop Silk*% 304 | D24* 305 | X235202Y280037* 306 | X235377D1* 307 | X235435Y280056* 308 | X235455Y280075* 309 | X235474Y280114* 310 | Y280153* 311 | X235455Y280192* 312 | X235435Y280211* 313 | X235377Y280231* 314 | X235202* 315 | Y279823* 316 | X235338Y280037D2* 317 | X235474Y279823D1* 318 | X235649Y280153D2* 319 | X235688Y280173D1* 320 | X235746Y280231* 321 | Y279823* 322 | X236202Y280037D2* 323 | X236377D1* 324 | X236435Y280056* 325 | X236455Y280075* 326 | X236474Y280114* 327 | Y280153* 328 | X236455Y280192* 329 | X236435Y280211* 330 | X236377Y280231* 331 | X236202* 332 | Y279823* 333 | X236338Y280037D2* 334 | X236474Y279823D1* 335 | X236610Y280134D2* 336 | Y280153D1* 337 | X236629Y280192* 338 | X236649Y280211* 339 | X236688Y280231* 340 | X236765* 341 | X236804Y280211* 342 | X236824Y280192* 343 | X236843Y280153* 344 | Y280114* 345 | X236824Y280075* 346 | X236785Y280017* 347 | X236591Y279823* 348 | X236862* 349 | X237202Y280037D2* 350 | X237377D1* 351 | X237435Y280056* 352 | X237455Y280075* 353 | X237474Y280114* 354 | Y280153* 355 | X237455Y280192* 356 | X237435Y280211* 357 | X237377Y280231* 358 | X237202* 359 | Y279823* 360 | X237338Y280037D2* 361 | X237474Y279823D1* 362 | X237629Y280231D2* 363 | X237843D1* 364 | X237727Y280075* 365 | X237785* 366 | X237824Y280056* 367 | X237843Y280037* 368 | X237862Y279978* 369 | Y279939* 370 | X237843Y279881* 371 | X237804Y279842* 372 | X237746Y279823* 373 | X237688* 374 | X237629Y279842* 375 | X237610Y279862* 376 | X237591Y279901* 377 | X238202Y280037D2* 378 | X238377D1* 379 | X238435Y280056* 380 | X238455Y280075* 381 | X238474Y280114* 382 | Y280153* 383 | X238455Y280192* 384 | X238435Y280211* 385 | X238377Y280231* 386 | X238202* 387 | Y279823* 388 | X238338Y280037D2* 389 | X238474Y279823D1* 390 | X238785D2* 391 | Y280231D1* 392 | X238591Y279959* 393 | X238882* 394 | D2* 395 | D10* 396 | X242100Y280850* 397 | X242275D1* 398 | X242100Y280887D2* 399 | X242275D1* 400 | X242100Y280925D2* 401 | X242275D1* 402 | X242100Y280962D2* 403 | X242275D1* 404 | X242100Y281000D2* 405 | X242275D1* 406 | X242325Y281075D2* 407 | X242050D1* 408 | Y280800* 409 | X242325* 410 | Y281075* 411 | D2* 412 | D20* 413 | X242375Y280500* 414 | X242000D1* 415 | Y281125* 416 | X242375D2* 417 | Y280500D1* 418 | X242000Y281125D2* 419 | X242375D1* 420 | D2* 421 | D10* 422 | X242100Y279350* 423 | X242275D1* 424 | X242100Y279387D2* 425 | X242275D1* 426 | X242100Y279425D2* 427 | X242275D1* 428 | X242100Y279462D2* 429 | X242275D1* 430 | X242100Y279500D2* 431 | X242275D1* 432 | X242325Y279575D2* 433 | X242050D1* 434 | Y279300* 435 | X242325* 436 | Y279575* 437 | D2* 438 | D20* 439 | X242000Y279625* 440 | X242375D1* 441 | D2* 442 | D10* 443 | X241600Y280850* 444 | X241775D1* 445 | X241600Y280887D2* 446 | X241775D1* 447 | X241600Y280925D2* 448 | X241775D1* 449 | X241600Y280962D2* 450 | X241775D1* 451 | X241600Y281000D2* 452 | X241775D1* 453 | X241825Y281075D2* 454 | X241550D1* 455 | Y280800* 456 | X241825* 457 | Y281075* 458 | D2* 459 | D20* 460 | X241500Y280500* 461 | Y281125D1* 462 | X241875Y280500D2* 463 | X241500D1* 464 | X241875Y281125D2* 465 | Y280500D1* 466 | X241500Y281125D2* 467 | X241875D1* 468 | D2* 469 | D10* 470 | X241600Y279350* 471 | X241775D1* 472 | X241600Y279387D2* 473 | X241775D1* 474 | X241600Y279425D2* 475 | X241775D1* 476 | X241600Y279462D2* 477 | X241775D1* 478 | X241600Y279500D2* 479 | X241775D1* 480 | X241825Y279575D2* 481 | X241550D1* 482 | Y279300* 483 | X241825* 484 | Y279575* 485 | D2* 486 | D20* 487 | X241500Y279625* 488 | X241875D1* 489 | D2* 490 | D10* 491 | X241100Y280850* 492 | X241275D1* 493 | X241100Y280887D2* 494 | X241275D1* 495 | X241100Y280925D2* 496 | X241275D1* 497 | X241100Y280962D2* 498 | X241275D1* 499 | X241100Y281000D2* 500 | X241275D1* 501 | X241325Y281075D2* 502 | X241050D1* 503 | Y280800* 504 | X241325* 505 | Y281075* 506 | D2* 507 | D20* 508 | X241000Y280500* 509 | Y281125D1* 510 | X241375Y280500D2* 511 | X241000D1* 512 | X241375Y281125D2* 513 | Y280500D1* 514 | X241000Y281125D2* 515 | X241375D1* 516 | D2* 517 | D10* 518 | X240600Y280850* 519 | X240775D1* 520 | X240600Y280887D2* 521 | X240775D1* 522 | X240600Y280925D2* 523 | X240775D1* 524 | X240600Y280962D2* 525 | X240775D1* 526 | X240600Y281000D2* 527 | X240775D1* 528 | X240825Y281075D2* 529 | X240550D1* 530 | Y280800* 531 | X240825* 532 | Y281075* 533 | D2* 534 | D20* 535 | X240500Y280500* 536 | Y281125D1* 537 | X240875Y280500D2* 538 | X240500D1* 539 | X240875Y281125D2* 540 | Y280500D1* 541 | X240500Y281125D2* 542 | X240875D1* 543 | D2* 544 | D10* 545 | X240600Y279350* 546 | X240775D1* 547 | X240600Y279387D2* 548 | X240775D1* 549 | X240600Y279425D2* 550 | X240775D1* 551 | X240600Y279462D2* 552 | X240775D1* 553 | X240600Y279500D2* 554 | X240775D1* 555 | X240825Y279575D2* 556 | X240550D1* 557 | Y279300* 558 | X240825* 559 | Y279575* 560 | D2* 561 | D20* 562 | X240500Y279625* 563 | X240875D1* 564 | D2* 565 | D10* 566 | X240600Y279850* 567 | X240775D1* 568 | X240600Y279887D2* 569 | X240775D1* 570 | X240600Y279925D2* 571 | X240775D1* 572 | X240600Y279962D2* 573 | X240775D1* 574 | X240600Y280000D2* 575 | X240775D1* 576 | X240825Y280075D2* 577 | X240550D1* 578 | Y279800* 579 | X240825* 580 | Y280075* 581 | D2* 582 | D20* 583 | X240875Y280375* 584 | Y279750D1* 585 | X240500Y280375D2* 586 | X240875D1* 587 | X240500Y279750D2* 588 | Y280375D1* 589 | X240875Y279750D2* 590 | X240500D1* 591 | D2* 592 | D10* 593 | X241100Y279850* 594 | X241275D1* 595 | X241100Y279887D2* 596 | X241275D1* 597 | X241100Y279925D2* 598 | X241275D1* 599 | X241100Y279962D2* 600 | X241275D1* 601 | X241100Y280000D2* 602 | X241275D1* 603 | X241325Y280075D2* 604 | X241050D1* 605 | Y279800* 606 | X241325* 607 | Y280075* 608 | D2* 609 | D20* 610 | X241375Y280375* 611 | Y279750D1* 612 | X241000Y280375D2* 613 | X241375D1* 614 | X241000Y279750D2* 615 | Y280375D1* 616 | X241375Y279750D2* 617 | X241000D1* 618 | D2* 619 | D10* 620 | X241600Y279850* 621 | X241775D1* 622 | X241600Y279887D2* 623 | X241775D1* 624 | X241600Y279925D2* 625 | X241775D1* 626 | X241600Y279962D2* 627 | X241775D1* 628 | X241600Y280000D2* 629 | X241775D1* 630 | X241825Y280075D2* 631 | X241550D1* 632 | Y279800* 633 | X241825* 634 | Y280075* 635 | D2* 636 | D20* 637 | X241875Y280375* 638 | Y279750D1* 639 | X241500Y280375D2* 640 | X241875D1* 641 | X241500Y279750D2* 642 | Y280375D1* 643 | X241875Y279750D2* 644 | X241500D1* 645 | D2* 646 | D10* 647 | X242100Y279850* 648 | X242275D1* 649 | X242100Y279887D2* 650 | X242275D1* 651 | X242100Y279925D2* 652 | X242275D1* 653 | X242100Y279962D2* 654 | X242275D1* 655 | X242100Y280000D2* 656 | X242275D1* 657 | X242325Y280075D2* 658 | X242050D1* 659 | Y279800* 660 | X242325* 661 | Y280075* 662 | D2* 663 | D20* 664 | X242375Y280375* 665 | Y279750D1* 666 | X242000Y280375D2* 667 | X242375D1* 668 | X242000Y279750D2* 669 | Y280375D1* 670 | X242375Y279750D2* 671 | X242000D1* 672 | D2* 673 | D10* 674 | X241100Y279100* 675 | X241275D1* 676 | X241100Y279137D2* 677 | X241275D1* 678 | X241100Y279175D2* 679 | X241275D1* 680 | X241100Y279212D2* 681 | X241275D1* 682 | X241100Y279250D2* 683 | X241275D1* 684 | X241325Y279325D2* 685 | X241050D1* 686 | Y279050* 687 | X241325* 688 | Y279325* 689 | D2* 690 | D20* 691 | X241000Y279625* 692 | X241375D1* 693 | D2* 694 | D10* 695 | X245875Y278625* 696 | X245125D1* 697 | X244125D2* 698 | X244875D1* 699 | X245125Y281375D2* 700 | X245875D1* 701 | X244875D2* 702 | X244125D1* 703 | D2* 704 | D24* 705 | X247806Y274221* 706 | X247768Y274202D1* 707 | X247729Y274163* 708 | X247710Y274125* 709 | Y274048* 710 | X247729Y274009* 711 | X247768Y273971* 712 | X247806Y273952* 713 | X247864Y273932* 714 | X247961* 715 | X248018Y273952* 716 | X248057Y273971* 717 | X248095Y274009* 718 | X248115Y274048* 719 | Y274125* 720 | X248095Y274163* 721 | X248057Y274202* 722 | X248018Y274221* 723 | X247787Y274395D2* 724 | X247768Y274433D1* 725 | X247710Y274491* 726 | X248115* 727 | X247710Y274838D2* 728 | X247729Y274780D1* 729 | X247787Y274741* 730 | X247884Y274722* 731 | X247941* 732 | X248038Y274741* 733 | X248095Y274780* 734 | X248115Y274838* 735 | Y274876* 736 | X248095Y274934* 737 | X248038Y274972* 738 | X247941Y274992* 739 | X247884* 740 | X247787Y274972* 741 | X247729Y274934* 742 | X247710Y274876* 743 | Y274838* 744 | X247787Y274972D2* 745 | X248038Y274741D1* 746 | D2* 747 | D10* 748 | X247125Y278625* 749 | X247875D1* 750 | X246125D2* 751 | X246875D1* 752 | D2* 753 | D24* 754 | X246740Y277724* 755 | X246701Y277705D1* 756 | X246663Y277666* 757 | X246643Y277627* 758 | Y277549* 759 | X246663Y277510* 760 | X246701Y277472* 761 | X246740Y277452* 762 | X246799Y277433* 763 | X246896* 764 | X246954Y277452* 765 | X246993Y277472* 766 | X247032Y277510* 767 | X247051Y277549* 768 | Y277627* 769 | X247032Y277666* 770 | X246993Y277705* 771 | X246954Y277724* 772 | X247051Y278035D2* 773 | X246643D1* 774 | X246915Y277841* 775 | Y278132* 776 | D2* 777 | D10* 778 | X248125Y281375* 779 | X248875D1* 780 | Y279625* 781 | X248125* 782 | Y281375* 783 | X247875D2* 784 | X247125D1* 785 | X246875D2* 786 | X246125D1* 787 | X249125D2* 788 | X249875D1* 789 | Y279625* 790 | X249125* 791 | Y281375* 792 | X250125D2* 793 | Y279625D1* 794 | X250875* 795 | Y281375* 796 | X250125* 797 | D2* 798 | D24* 799 | X258865Y282787* 800 | X258826Y282767D1* 801 | X258788Y282728* 802 | X258768Y282689* 803 | Y282612* 804 | X258788Y282573* 805 | X258826Y282534* 806 | X258865Y282515* 807 | X258924Y282495* 808 | X259021* 809 | X259079Y282515* 810 | X259118Y282534* 811 | X259157Y282573* 812 | X259176Y282612* 813 | Y282689* 814 | X259157Y282728* 815 | X259118Y282767* 816 | X259079Y282787* 817 | X258768Y283000D2* 818 | X258788Y282942D1* 819 | X258826Y282923* 820 | X258865* 821 | X258904Y282942* 822 | X258924Y282981* 823 | X258943Y283058* 824 | X258962Y283117* 825 | X259001Y283156* 826 | X259040Y283175* 827 | X259098* 828 | X259137Y283156* 829 | X259157Y283136* 830 | X259176Y283078* 831 | Y283000* 832 | X259157Y282942* 833 | X259137Y282923* 834 | X259098Y282903* 835 | X259040* 836 | X259001Y282923* 837 | X258962Y282961* 838 | X258943Y283020* 839 | X258924Y283097* 840 | X258904Y283136* 841 | X258865Y283156* 842 | X258826* 843 | X258788Y283136* 844 | X258768Y283078* 845 | Y283000* 846 | X263053Y283162D2* 847 | X263014Y283142D1* 848 | X262975Y283103* 849 | X262956Y283064* 850 | Y282987* 851 | X262975Y282948* 852 | X263014Y282909* 853 | X263053Y282890* 854 | X263111Y282870* 855 | X263208* 856 | X263266Y282890* 857 | X263305Y282909* 858 | X263344Y282948* 859 | X263364Y282987* 860 | Y283064* 861 | X263344Y283103* 862 | X263305Y283142* 863 | X263266Y283162* 864 | X263092Y283531D2* 865 | X263150Y283511D1* 866 | X263189Y283472* 867 | X263208Y283414* 868 | Y283395* 869 | X263189Y283336* 870 | X263150Y283298* 871 | X263092Y283278* 872 | X263072* 873 | X263014Y283298* 874 | X262975Y283336* 875 | X262956Y283395* 876 | Y283414* 877 | X262975Y283472* 878 | X263014Y283511* 879 | X263092Y283531* 880 | X263189* 881 | X263286Y283511* 882 | X263344Y283472* 883 | X263364Y283414* 884 | Y283375* 885 | X263344Y283317* 886 | X263305Y283298* 887 | X266162Y268134D2* 888 | X266142Y268173D1* 889 | X266103Y268211* 890 | X266064Y268231* 891 | X265987* 892 | X265948Y268211* 893 | X265909Y268173* 894 | X265890Y268134* 895 | X265870Y268075* 896 | Y267978* 897 | X265890Y267920* 898 | X265909Y267881* 899 | X265948Y267842* 900 | X265987Y267823* 901 | X266064* 902 | X266103Y267842* 903 | X266142Y267881* 904 | X266162Y267920* 905 | X266356Y267823D2* 906 | X266550Y268231D1* 907 | X266278* 908 | X267202Y283037D2* 909 | X267377D1* 910 | X267435Y283056* 911 | X267455Y283075* 912 | X267474Y283114* 913 | Y283153* 914 | X267455Y283192* 915 | X267435Y283211* 916 | X267377Y283231* 917 | X267202* 918 | Y282823* 919 | X267338Y283037D2* 920 | X267474Y282823D1* 921 | X267843Y283095D2* 922 | X267824Y283037D1* 923 | X267785Y282998* 924 | X267727Y282978* 925 | X267707* 926 | X267649Y282998* 927 | X267610Y283037* 928 | X267591Y283095* 929 | Y283114* 930 | X267610Y283173* 931 | X267649Y283211* 932 | X267707Y283231* 933 | X267727* 934 | X267785Y283211* 935 | X267824Y283173* 936 | X267843Y283095* 937 | Y282998* 938 | X267824Y282901* 939 | X267785Y282842* 940 | X267727Y282823* 941 | X267688* 942 | X267629Y282842* 943 | X267610Y282881* 944 | D2* 945 | D21* 946 | X239317Y267190* 947 | X239293Y267240D1* 948 | X239244Y267289* 949 | X239194Y267313* 950 | X239096* 951 | X239047Y267289* 952 | X238998Y267240* 953 | X238973Y267190* 954 | X238948Y267117* 955 | Y266994* 956 | X238973Y266920* 957 | X238998Y266871* 958 | X239047Y266821* 959 | X239096Y266797* 960 | X239194* 961 | X239244Y266821* 962 | X239293Y266871* 963 | X239317Y266920* 964 | X239539Y267215D2* 965 | X239588Y267240D1* 966 | X239662Y267313* 967 | Y266797* 968 | D2* 969 | D20* 970 | X242000Y279000* 971 | Y279625D1* 972 | X242375Y279000D2* 973 | X242000D1* 974 | X242375Y279625D2* 975 | Y279000D1* 976 | X241500D2* 977 | Y279625D1* 978 | X241875Y279000D2* 979 | X241500D1* 980 | X241875Y279625D2* 981 | Y279000D1* 982 | X240500D2* 983 | Y279625D1* 984 | X240875Y279000D2* 985 | X240500D1* 986 | X240875Y279625D2* 987 | Y279000D1* 988 | X241375D2* 989 | X241000D1* 990 | X241375Y279625D2* 991 | Y279000D1* 992 | X241000D2* 993 | Y279625D1* 994 | D2* 995 | D24* 996 | X244528Y275889* 997 | Y276062D1* 998 | X244509Y276120* 999 | X244489Y276139* 1000 | X244451Y276159* 1001 | X244412* 1002 | X244374Y276139* 1003 | X244354Y276120* 1004 | X244335Y276062* 1005 | Y275889* 1006 | X244740* 1007 | X244528Y276024D2* 1008 | X244740Y276159D1* 1009 | X244412Y276332D2* 1010 | X244393Y276371D1* 1011 | X244335Y276428* 1012 | X244740* 1013 | X244335Y276891D2* 1014 | Y276698D1* 1015 | X244509Y276679* 1016 | X244489Y276698* 1017 | X244470Y276756* 1018 | Y276814* 1019 | X244489Y276871* 1020 | X244528Y276910* 1021 | X244586Y276929* 1022 | X244624* 1023 | X244682Y276910* 1024 | X244720Y276871* 1025 | X244740Y276814* 1026 | Y276756* 1027 | X244720Y276698* 1028 | X244701Y276679* 1029 | X244663Y276660* 1030 | D2* 1031 | D10* 1032 | X245875Y281375* 1033 | Y278625D1* 1034 | X245125D2* 1035 | Y281375D1* 1036 | X244125D2* 1037 | Y278625D1* 1038 | X244875D2* 1039 | Y281375D1* 1040 | D2* 1041 | D24* 1042 | X248344Y278447* 1043 | Y278200D1* 1044 | X248742* 1045 | X248533D2* 1046 | Y278352D1* 1047 | X248401Y278712D2* 1048 | X248742D1* 1049 | X248571Y278541D2* 1050 | Y278882D1* 1051 | X248344Y279034D2* 1052 | X248742D1* 1053 | X248344Y279299D2* 1054 | X248742D1* 1055 | X248533Y279034D2* 1056 | Y279299D1* 1057 | D2* 1058 | D10* 1059 | X247125Y281375* 1060 | Y278625D1* 1061 | X247875D2* 1062 | Y281375D1* 1063 | X246125D2* 1064 | Y278625D1* 1065 | X246875D2* 1066 | Y281375D1* 1067 | D2* 1068 | D24* 1069 | X249344Y278572* 1070 | Y278325D1* 1071 | X249742* 1072 | X249533D2* 1073 | Y278477D1* 1074 | X249742Y278647D2* 1075 | X249344Y278913D1* 1076 | Y279045D2* 1077 | X249742D1* 1078 | X249344Y279311D2* 1079 | X249742D1* 1080 | X249533Y279045D2* 1081 | Y279311D1* 1082 | X268558Y285036D2* 1083 | Y284695D1* 1084 | X268388Y284865D2* 1085 | X268729D1* 1086 | X268496Y279099D2* 1087 | Y278758D1* 1088 | X268325Y278928D2* 1089 | X268666D1* 1090 | X269026Y279156D2* 1091 | X268837D1* 1092 | X268818Y278985* 1093 | X268837Y279004* 1094 | X268894Y279023* 1095 | X268951* 1096 | X269007Y279004* 1097 | X269045Y278966* 1098 | X269064Y278909* 1099 | Y278871* 1100 | X269045Y278814* 1101 | X269007Y278777* 1102 | X268951Y278758* 1103 | X268894* 1104 | X268837Y278777* 1105 | X268818Y278796* 1106 | X268799Y278833* 1107 | X269140Y279156D2* 1108 | X269292Y278758D1* 1109 | X269443Y279156* 1110 | X250533Y276950D2* 1111 | Y277121D1* 1112 | X250515Y277178* 1113 | X250496Y277197* 1114 | X250458Y277216* 1115 | X250420* 1116 | X250382Y277197* 1117 | X250363Y277178* 1118 | X250344Y277121* 1119 | Y276950* 1120 | X250742* 1121 | X250533Y277083D2* 1122 | X250742Y277216D1* 1123 | X250344Y277443D2* 1124 | X250363Y277405D1* 1125 | X250401Y277367* 1126 | X250439Y277348* 1127 | X250496Y277329* 1128 | X250590* 1129 | X250647Y277348* 1130 | X250685Y277367* 1131 | X250723Y277405* 1132 | X250742Y277443* 1133 | Y277519* 1134 | X250723Y277557* 1135 | X250685Y277594* 1136 | X250647Y277613* 1137 | X250590Y277632* 1138 | X250496* 1139 | X250439Y277613* 1140 | X250401Y277594* 1141 | X250363Y277557* 1142 | X250344Y277519* 1143 | Y277443* 1144 | X250742Y278068D2* 1145 | X250344D1* 1146 | X250742Y277917* 1147 | X250344Y277765* 1148 | X250742* 1149 | X250420Y278504D2* 1150 | X250401Y278542D1* 1151 | X250344Y278599* 1152 | X250742* 1153 | Y278826D2* 1154 | X250344Y279091D1* 1155 | X250439Y279224D2* 1156 | X250420D1* 1157 | X250382Y279243* 1158 | X250363Y279262* 1159 | X250344Y279300* 1160 | Y279375* 1161 | X250363Y279413* 1162 | X250382Y279432* 1163 | X250420Y279451* 1164 | X250458* 1165 | X250496Y279432* 1166 | X250552Y279394* 1167 | X250742Y279205* 1168 | Y279470* 1169 | X239746Y268223D2* 1170 | Y267882D1* 1171 | X239575Y268053D2* 1172 | X239916D1* 1173 | X246053Y277724D2* 1174 | X246014Y277705D1* 1175 | X245975Y277666* 1176 | X245956Y277627* 1177 | Y277549* 1178 | X245975Y277510* 1179 | X246014Y277472* 1180 | X246053Y277452* 1181 | X246111Y277433* 1182 | X246208* 1183 | X246266Y277452* 1184 | X246305Y277472* 1185 | X246344Y277510* 1186 | X246364Y277549* 1187 | Y277627* 1188 | X246344Y277666* 1189 | X246305Y277705* 1190 | X246266Y277724* 1191 | X245956Y277879D2* 1192 | Y278093D1* 1193 | X246111Y277977* 1194 | Y278035* 1195 | X246131Y278074* 1196 | X246150Y278093* 1197 | X246208Y278112* 1198 | X246247* 1199 | X246305Y278093* 1200 | X246344Y278054* 1201 | X246364Y277996* 1202 | Y277938* 1203 | X246344Y277879* 1204 | X246325Y277860* 1205 | X246286Y277841* 1206 | X269105Y267788D2* 1207 | Y267480D1* 1208 | X269086Y267422* 1209 | X269067Y267403* 1210 | X269028Y267384* 1211 | X268990* 1212 | X268951Y267403* 1213 | X268932Y267422* 1214 | X268913Y267480* 1215 | Y267519* 1216 | X269336Y267788D2* 1217 | Y267384D1* 1218 | X269201Y267788D2* 1219 | X269470D1* 1220 | X269816Y267384D2* 1221 | X269662Y267788D1* 1222 | X269509Y267384* 1223 | X269566Y267519D2* 1224 | X269759D1* 1225 | X270181Y267692D2* 1226 | X270162Y267730D1* 1227 | X270124Y267768* 1228 | X270085Y267788* 1229 | X270008* 1230 | X269970Y267768* 1231 | X269931Y267730* 1232 | X269912Y267692* 1233 | X269893Y267634* 1234 | Y267538* 1235 | X269912Y267480* 1236 | X269931Y267442* 1237 | X269970Y267403* 1238 | X270008Y267384* 1239 | X270085* 1240 | X270124Y267403* 1241 | X270162Y267442* 1242 | X270181Y267480* 1243 | Y267538* 1244 | X270085* 1245 | X270037Y275321D2* 1246 | X270017Y275360D1* 1247 | X269978Y275399* 1248 | X269939Y275418* 1249 | X269862* 1250 | X269823Y275399* 1251 | X269784Y275360* 1252 | X269765Y275321* 1253 | X269745Y275263* 1254 | Y275166* 1255 | X269765Y275108* 1256 | X269784Y275069* 1257 | X269823Y275030* 1258 | X269862Y275010* 1259 | X269939* 1260 | X269978Y275030* 1261 | X270017Y275069* 1262 | X270037Y275108* 1263 | X270406Y275360D2* 1264 | X270386Y275399D1* 1265 | X270328Y275418* 1266 | X270289* 1267 | X270231Y275399* 1268 | X270192Y275341* 1269 | X270173Y275243* 1270 | Y275146* 1271 | X270192Y275069* 1272 | X270231Y275030* 1273 | X270289Y275010* 1274 | X270308* 1275 | X270367Y275030* 1276 | X270406Y275069* 1277 | X270425Y275127* 1278 | Y275146* 1279 | X270406Y275205* 1280 | X270367Y275243* 1281 | X270308Y275263* 1282 | X270289* 1283 | X270231Y275243* 1284 | X270192Y275205* 1285 | X270173Y275146* 1286 | X270139Y284599D2* 1287 | Y284196D1* 1288 | X270369* 1289 | X270715Y284599D2* 1290 | X270465D1* 1291 | Y284196* 1292 | X270715* 1293 | X270465Y284408D2* 1294 | X270619D1* 1295 | X270830Y284599D2* 1296 | Y284196D1* 1297 | X270964* 1298 | X271022Y284216* 1299 | X271060Y284254* 1300 | X271079Y284292* 1301 | X271099Y284350* 1302 | Y284446* 1303 | X271079Y284504* 1304 | X271060Y284542* 1305 | X271022Y284580* 1306 | X270964Y284599* 1307 | X270830* 1308 | X271271Y284523D2* 1309 | X271310Y284542D1* 1310 | X271367Y284599* 1311 | Y284196* 1312 | D2* 1313 | D21* 1314 | X233942Y276440* 1315 | X233918Y276490D1* 1316 | X233869Y276539* 1317 | X233819Y276563* 1318 | X233721* 1319 | X233672Y276539* 1320 | X233623Y276490* 1321 | X233598Y276440* 1322 | X233573Y276367* 1323 | Y276244* 1324 | X233598Y276170* 1325 | X233623Y276121* 1326 | X233672Y276071* 1327 | X233721Y276047* 1328 | X233819* 1329 | X233869Y276071* 1330 | X233918Y276121* 1331 | X233942Y276170* 1332 | X234115Y276440D2* 1333 | Y276465D1* 1334 | X234139Y276514* 1335 | X234164Y276539* 1336 | X234213Y276563* 1337 | X234312* 1338 | X234361Y276539* 1339 | X234385Y276514* 1340 | X234410Y276465* 1341 | Y276416* 1342 | X234385Y276367* 1343 | X234336Y276293* 1344 | X234090Y276047* 1345 | X234435* 1346 | D2* 1347 | D10* 1348 | D24* 1349 | X246057Y275912* 1350 | X246326Y275509D1* 1351 | Y275912D2* 1352 | X246057Y275509D1* 1353 | X246537Y275912D2* 1354 | Y275509D1* 1355 | X246403Y275912D2* 1356 | X246672D1* 1357 | X246768D2* 1358 | Y275509D1* 1359 | X246998* 1360 | X247133Y275836D2* 1361 | X247171Y275855D1* 1362 | X247229Y275912* 1363 | Y275509* 1364 | D2* 1365 | D10* 1366 | X246440Y271532* 1367 | Y272191D1* 1368 | X246189Y271532* 1369 | X245938Y272191* 1370 | Y271532* 1371 | X247099Y272097D2* 1372 | X247037Y272160D1* 1373 | X246942Y272191* 1374 | X246817* 1375 | X246723Y272160* 1376 | X246660Y272097* 1377 | Y272034* 1378 | X246691Y271972* 1379 | X246723Y271940* 1380 | X246785Y271909* 1381 | X246974Y271846* 1382 | X247037Y271815* 1383 | X247068Y271783* 1384 | X247099Y271721* 1385 | Y271626* 1386 | X247037Y271564* 1387 | X246942Y271532* 1388 | X246817* 1389 | X246723Y271564* 1390 | X246660Y271626* 1391 | X247288Y272191D2* 1392 | X247727Y271532D1* 1393 | Y272191D2* 1394 | X247288Y271532D1* 1395 | X248355Y272191D2* 1396 | Y271532D1* 1397 | X248606Y272191D2* 1398 | Y271532D1* 1399 | X248826* 1400 | X248920Y271564* 1401 | X248983Y271626* 1402 | X249014Y271689* 1403 | X249045Y271783* 1404 | Y271940* 1405 | X249014Y272034* 1406 | X248983Y272097* 1407 | X248920Y272160* 1408 | X248826Y272191* 1409 | X248606* 1410 | X249673D2* 1411 | X249265D1* 1412 | Y271532* 1413 | X249673* 1414 | X249265Y271877D2* 1415 | X249516D1* 1416 | X250144Y272097D2* 1417 | Y271532D1* 1418 | X249861Y271815D2* 1419 | X250426D1* 1420 | X251086Y272191D2* 1421 | X250677D1* 1422 | Y271532* 1423 | Y271877D2* 1424 | X250929D1* 1425 | X251242Y272191D2* 1426 | Y271532D1* 1427 | X251462* 1428 | X251556Y271564* 1429 | X251619Y271626* 1430 | X251650Y271689* 1431 | X251682Y271783* 1432 | Y271940* 1433 | X251650Y272034* 1434 | X251619Y272097* 1435 | X251556Y272160* 1436 | X251462Y272191* 1437 | X251242* 1438 | X251902D2* 1439 | Y271532D1* 1440 | X252121* 1441 | X252215Y271564* 1442 | X252278Y271626* 1443 | X252310Y271689* 1444 | X252341Y271783* 1445 | Y271940* 1446 | X252310Y272034* 1447 | X252278Y272097* 1448 | X252215Y272160* 1449 | X252121Y272191* 1450 | X251902* 1451 | X245938Y270941D2* 1452 | Y270282D1* 1453 | X246189Y270722D2* 1454 | Y270282D1* 1455 | Y270596D2* 1456 | X246283Y270690D1* 1457 | X246346Y270722* 1458 | X246440* 1459 | X246503Y270690* 1460 | X246534Y270596* 1461 | Y270282* 1462 | X246817Y270941D2* 1463 | Y270408D1* 1464 | X246848Y270314* 1465 | X246911Y270282* 1466 | X246974* 1467 | X246723Y270722D2* 1468 | X246942D1* 1469 | X247131Y270533D2* 1470 | X247507D1* 1471 | Y270596* 1472 | X247476Y270659* 1473 | X247445Y270690* 1474 | X247382Y270722* 1475 | X247288* 1476 | X247225Y270690* 1477 | X247162Y270627* 1478 | X247131Y270533* 1479 | Y270471* 1480 | X247162Y270376* 1481 | X247225Y270314* 1482 | X247288Y270282* 1483 | X247382* 1484 | X247445Y270314* 1485 | X247507Y270376* 1486 | X247727Y270722D2* 1487 | Y270282D1* 1488 | Y270533D2* 1489 | X247758Y270627D1* 1490 | X247821Y270690* 1491 | X247884Y270722* 1492 | X247978* 1493 | X248323Y270941D2* 1494 | X248261D1* 1495 | X248198Y270910* 1496 | X248167Y270816* 1497 | Y270282* 1498 | X248072Y270722D2* 1499 | X248292D1* 1500 | X248857D2* 1501 | Y270282D1* 1502 | Y270627D2* 1503 | X248794Y270690D1* 1504 | X248731Y270722* 1505 | X248637* 1506 | X248575Y270690* 1507 | X248512Y270627* 1508 | X248480Y270533* 1509 | Y270471* 1510 | X248512Y270376* 1511 | X248575Y270314* 1512 | X248637Y270282* 1513 | X248731* 1514 | X248794Y270314* 1515 | X248857Y270376* 1516 | X249453Y270627D2* 1517 | X249391Y270690D1* 1518 | X249328Y270722* 1519 | X249234* 1520 | X249171Y270690* 1521 | X249108Y270627* 1522 | X249077Y270533* 1523 | Y270471* 1524 | X249108Y270376* 1525 | X249171Y270314* 1526 | X249234Y270282* 1527 | X249328* 1528 | X249391Y270314* 1529 | X249453Y270376* 1530 | X249642Y270533D2* 1531 | X250018D1* 1532 | Y270596* 1533 | X249987Y270659* 1534 | X249956Y270690* 1535 | X249893Y270722* 1536 | X249799* 1537 | X249736Y270690* 1538 | X249673Y270627* 1539 | X249642Y270533* 1540 | Y270471* 1541 | X249673Y270376* 1542 | X249736Y270314* 1543 | X249799Y270282* 1544 | X249893* 1545 | X249956Y270314* 1546 | X250018Y270376* 1547 | X250583Y270722D2* 1548 | X250772Y270282D1* 1549 | X250960Y270722* 1550 | X251619Y270816D2* 1551 | X251682Y270847D1* 1552 | X251776Y270941* 1553 | Y270282* 1554 | X252215Y270345D2* 1555 | X252184Y270314D1* 1556 | X252215Y270282* 1557 | X252247Y270314* 1558 | X252215Y270345* 1559 | X252498Y270784D2* 1560 | Y270816D1* 1561 | X252529Y270879* 1562 | X252561Y270910* 1563 | X252623Y270941* 1564 | X252749* 1565 | X252812Y270910* 1566 | X252843Y270879* 1567 | X252875Y270816* 1568 | Y270753* 1569 | X252843Y270690* 1570 | X252780Y270596* 1571 | X252467Y270282* 1572 | X252906* 1573 | X245938Y269377D2* 1574 | X246221D1* 1575 | X246315Y269409* 1576 | X246346Y269440* 1577 | X246377Y269503* 1578 | Y269566* 1579 | X246346Y269629* 1580 | X246315Y269660* 1581 | X246221Y269691* 1582 | X245938* 1583 | Y269032* 1584 | X246158Y269377D2* 1585 | X246377Y269032D1* 1586 | X246629Y269095D2* 1587 | X246597Y269064D1* 1588 | X246629Y269032* 1589 | X246660Y269064* 1590 | X246629Y269095* 1591 | X246911Y269691D2* 1592 | Y269032D1* 1593 | X247194* 1594 | X247288Y269064* 1595 | X247319Y269095* 1596 | X247350Y269158* 1597 | Y269252* 1598 | X247319Y269315* 1599 | X247288Y269346* 1600 | X247194Y269377* 1601 | X247288Y269409* 1602 | X247319Y269440* 1603 | X247350Y269503* 1604 | Y269566* 1605 | X247319Y269629* 1606 | X247288Y269660* 1607 | X247194Y269691* 1608 | X246911* 1609 | Y269377D2* 1610 | X247194D1* 1611 | X247602Y269095D2* 1612 | X247570Y269064D1* 1613 | X247602Y269032* 1614 | X247633Y269064* 1615 | X247602Y269095* 1616 | X248292Y269597D2* 1617 | X248229Y269660D1* 1618 | X248135Y269691* 1619 | X248010* 1620 | X247915Y269660* 1621 | X247853Y269597* 1622 | Y269534* 1623 | X247884Y269472* 1624 | X247915Y269440* 1625 | X247978Y269409* 1626 | X248167Y269346* 1627 | X248229Y269315* 1628 | X248261Y269283* 1629 | X248292Y269221* 1630 | Y269126* 1631 | X248229Y269064* 1632 | X248135Y269032* 1633 | X248010* 1634 | X247915Y269064* 1635 | X247853Y269126* 1636 | X248543Y269095D2* 1637 | X248512Y269064D1* 1638 | X248543Y269032* 1639 | X248575Y269064* 1640 | X248543Y269095* 1641 | X249265Y269534D2* 1642 | X249234Y269597D1* 1643 | X249171Y269660* 1644 | X249108Y269691* 1645 | X248983* 1646 | X248920Y269660* 1647 | X248857Y269597* 1648 | X248826Y269534* 1649 | X248794Y269440* 1650 | Y269283* 1651 | X248826Y269189* 1652 | X248857Y269126* 1653 | X248920Y269064* 1654 | X248983Y269032* 1655 | X249108* 1656 | X249171Y269064* 1657 | X249234Y269126* 1658 | X249265Y269189* 1659 | X249516Y269095D2* 1660 | X249485Y269064D1* 1661 | X249516Y269032* 1662 | X249548Y269064* 1663 | X249516Y269095* 1664 | D2* 1665 | D24* 1666 | X242952Y280650* 1667 | X242798Y281054D1* 1668 | X242644Y280650* 1669 | X242701Y280785D2* 1670 | X242894D1* 1671 | X243221Y280996D2* 1672 | Y280650D1* 1673 | X243048Y280823D2* 1674 | X243394D1* 1675 | X243548Y281054D2* 1676 | Y280650D1* 1677 | X243721* 1678 | X243779Y280669* 1679 | X243798Y280688* 1680 | X243818Y280727* 1681 | Y280785* 1682 | X243798Y280823* 1683 | X243779Y280842* 1684 | X243721Y280862* 1685 | X243779Y280881* 1686 | X243798Y280900* 1687 | X243818Y280939* 1688 | Y280977* 1689 | X243798Y281016* 1690 | X243779Y281035* 1691 | X243721Y281054* 1692 | X243548* 1693 | Y280862D2* 1694 | X243721D1* 1695 | X242701Y280296D2* 1696 | Y279892D1* 1697 | X242875* 1698 | X242932Y279911* 1699 | X242952Y279931* 1700 | X242971Y279969* 1701 | Y280027* 1702 | X242952Y280065* 1703 | X242932Y280085* 1704 | X242875Y280104* 1705 | X242932Y280123* 1706 | X242952Y280142* 1707 | X242971Y280181* 1708 | Y280219* 1709 | X242952Y280258* 1710 | X242932Y280277* 1711 | X242875Y280296* 1712 | X242701* 1713 | Y280104D2* 1714 | X242875D1* 1715 | X243279Y280238D2* 1716 | Y279892D1* 1717 | X243106Y280065D2* 1718 | X243452D1* 1719 | X243856Y279892D2* 1720 | X243702Y280296D1* 1721 | X243548Y279892* 1722 | X243606Y280027D2* 1723 | X243798D1* 1724 | X242701Y279538D2* 1725 | Y279134D1* 1726 | X242836* 1727 | X242894Y279153* 1728 | X242932Y279192* 1729 | X242952Y279230* 1730 | X242971Y279288* 1731 | Y279384* 1732 | X242952Y279442* 1733 | X242932Y279481* 1734 | X242894Y279519* 1735 | X242836Y279538* 1736 | X242701* 1737 | X243356Y279481D2* 1738 | X243317Y279519D1* 1739 | X243260Y279538* 1740 | X243183* 1741 | X243125Y279519* 1742 | X243086Y279481* 1743 | Y279442* 1744 | X243106Y279404* 1745 | X243125Y279384* 1746 | X243163Y279365* 1747 | X243279Y279327* 1748 | X243317Y279307* 1749 | X243336Y279288* 1750 | X243356Y279250* 1751 | Y279192* 1752 | X243317Y279153* 1753 | X243260Y279134* 1754 | X243183* 1755 | X243125Y279153* 1756 | X243086Y279192* 1757 | X243587Y279538D2* 1758 | X243529Y279519D1* 1759 | X243490Y279461* 1760 | X243471Y279365* 1761 | Y279307* 1762 | X243490Y279211* 1763 | X243529Y279153* 1764 | X243587Y279134* 1765 | X243625* 1766 | X243683Y279153* 1767 | X243721Y279211* 1768 | X243741Y279307* 1769 | Y279365* 1770 | X243721Y279461* 1771 | X243683Y279519* 1772 | X243625Y279538* 1773 | X243587* 1774 | X243721Y279461D2* 1775 | X243490Y279211D1* 1776 | X260724Y267134D2* 1777 | X260705Y267173D1* 1778 | X260666Y267211* 1779 | X260627Y267231* 1780 | X260549* 1781 | X260510Y267211* 1782 | X260472Y267173* 1783 | X260452Y267134* 1784 | X260433Y267075* 1785 | Y266978* 1786 | X260452Y266920* 1787 | X260472Y266881* 1788 | X260510Y266842* 1789 | X260549Y266823* 1790 | X260627* 1791 | X260666Y266842* 1792 | X260705Y266881* 1793 | X260724Y266920* 1794 | X261074Y267231D2* 1795 | X260879D1* 1796 | X260860Y267056* 1797 | X260879Y267075* 1798 | X260938Y267095* 1799 | X260996* 1800 | X261054Y267075* 1801 | X261093Y267037* 1802 | X261112Y266978* 1803 | Y266939* 1804 | X261093Y266881* 1805 | X261054Y266842* 1806 | X260996Y266823* 1807 | X260938* 1808 | X260879Y266842* 1809 | X260860Y266862* 1810 | X260841Y266901* 1811 | X270216Y279060D2* 1812 | X270197Y279098D1* 1813 | X270159Y279136* 1814 | X270121Y279155* 1815 | X270045* 1816 | X270007Y279136* 1817 | X269969Y279098* 1818 | X269950Y279060* 1819 | X269931Y279003* 1820 | Y278909* 1821 | X269950Y278852* 1822 | X269969Y278814* 1823 | X270007Y278776* 1824 | X270045Y278757* 1825 | X270121* 1826 | X270159Y278776* 1827 | X270197Y278814* 1828 | X270216Y278852* 1829 | Y278909* 1830 | X270121* 1831 | X270613Y279155D2* 1832 | Y278757D1* 1833 | X270348Y279155* 1834 | Y278757* 1835 | X270765Y279155D2* 1836 | Y278757D1* 1837 | X270898* 1838 | X270954Y278776* 1839 | X270992Y278814* 1840 | X271011Y278852* 1841 | X271030Y278909* 1842 | Y279003* 1843 | X271011Y279060* 1844 | X270992Y279098* 1845 | X270954Y279136* 1846 | X270898Y279155* 1847 | X270765* 1848 | D2* 1849 | D26* 1850 | X238456Y267507* 1851 | Y268492D1* 1852 | X238381Y267507D2* 1853 | Y268492D1* 1854 | Y267507D2* 1855 | X238618D1* 1856 | X238381Y268492D2* 1857 | X238618D1* 1858 | D2* 1859 | D10* 1860 | D24* 1861 | X244798Y278362* 1862 | Y277772D1* 1863 | Y277181D2* 1864 | Y277772D1* 1865 | X244326Y278362D2* 1866 | Y277772D1* 1867 | Y277181D2* 1868 | Y277772D1* 1869 | Y277181D2* 1870 | X244798D1* 1871 | X244326Y278362D2* 1872 | X244798D1* 1873 | D2* 1874 | D10* 1875 | D24* 1876 | X247736* 1877 | Y277772D1* 1878 | Y277181D2* 1879 | Y277772D1* 1880 | X247263Y278362D2* 1881 | Y277772D1* 1882 | Y277181D2* 1883 | Y277772D1* 1884 | Y277181D2* 1885 | X247736D1* 1886 | X247263Y278362D2* 1887 | X247736D1* 1888 | D2* 1889 | D10* 1890 | X259458Y283689* 1891 | Y283000D1* 1892 | X260166Y283689D2* 1893 | Y283000D1* 1894 | Y283689D2* 1895 | X259458D1* 1896 | X260166Y282312D2* 1897 | X259458D1* 1898 | Y283000* 1899 | X260166Y282312D2* 1900 | Y283000D1* 1901 | X269439Y275604D2* 1902 | X268750D1* 1903 | X269439Y274895D2* 1904 | X268750D1* 1905 | X269439D2* 1906 | Y275604D1* 1907 | X268062Y274895D2* 1908 | Y275604D1* 1909 | X268750* 1910 | X268062Y274895D2* 1911 | X268750D1* 1912 | D2* 1913 | D24* 1914 | X269925Y284201* 1915 | X269334D1* 1916 | X268744D2* 1917 | X269334D1* 1918 | X269925Y284673D2* 1919 | X269334D1* 1920 | X268744D2* 1921 | X269334D1* 1922 | X268744D2* 1923 | Y284201D1* 1924 | X269925Y284673D2* 1925 | Y284201D1* 1926 | D2* 1927 | D10* 1928 | D24* 1929 | Y283701* 1930 | X269334D1* 1931 | X268744D2* 1932 | X269334D1* 1933 | X269925Y284173D2* 1934 | X269334D1* 1935 | X268744D2* 1936 | X269334D1* 1937 | X268744D2* 1938 | Y283701D1* 1939 | X269925Y284173D2* 1940 | Y283701D1* 1941 | D2* 1942 | D10* 1943 | D24* 1944 | Y283201* 1945 | X269334D1* 1946 | X268744D2* 1947 | X269334D1* 1948 | X269925Y283673D2* 1949 | X269334D1* 1950 | X268744D2* 1951 | X269334D1* 1952 | X268744D2* 1953 | Y283201D1* 1954 | X269925Y283673D2* 1955 | Y283201D1* 1956 | D2* 1957 | D10* 1958 | X235145Y281814* 1959 | Y281125D1* 1960 | X235854Y281814D2* 1961 | Y281125D1* 1962 | Y281814D2* 1963 | X235145D1* 1964 | X235854Y280437D2* 1965 | X235145D1* 1966 | Y281125* 1967 | X235854Y280437D2* 1968 | Y281125D1* 1969 | X237145Y281814D2* 1970 | Y281125D1* 1971 | X237854Y281814D2* 1972 | Y281125D1* 1973 | Y281814D2* 1974 | X237145D1* 1975 | X237854Y280437D2* 1976 | X237145D1* 1977 | Y281125* 1978 | X237854Y280437D2* 1979 | Y281125D1* 1980 | X238145Y281814D2* 1981 | Y281125D1* 1982 | X238854Y281814D2* 1983 | Y281125D1* 1984 | Y281814D2* 1985 | X238145D1* 1986 | X238854Y280437D2* 1987 | X238145D1* 1988 | Y281125* 1989 | X238854Y280437D2* 1990 | Y281125D1* 1991 | X233560Y275083D2* 1992 | X234249D1* 1993 | X233560Y275791D2* 1994 | X234249D1* 1995 | X233560D2* 1996 | Y275083D1* 1997 | X234937Y275791D2* 1998 | Y275083D1* 1999 | X234249* 2000 | X234937Y275791D2* 2001 | X234249D1* 2002 | D2* 2003 | D24* 2004 | X249173Y275050* 2005 | Y274459D1* 2006 | Y273869D2* 2007 | Y274459D1* 2008 | X248701Y275050D2* 2009 | Y274459D1* 2010 | Y273869D2* 2011 | Y274459D1* 2012 | Y273869D2* 2013 | X249173D1* 2014 | X248701Y275050D2* 2015 | X249173D1* 2016 | D2* 2017 | D10* 2018 | D24* 2019 | X245736Y278362* 2020 | Y277772D1* 2021 | Y277181D2* 2022 | Y277772D1* 2023 | X245263Y278362D2* 2024 | Y277772D1* 2025 | Y277181D2* 2026 | Y277772D1* 2027 | Y277181D2* 2028 | X245736D1* 2029 | X245263Y278362D2* 2030 | X245736D1* 2031 | D2* 2032 | D10* 2033 | D24* 2034 | X260199Y267861* 2035 | X260790D1* 2036 | X261380D2* 2037 | X260790D1* 2038 | X260199Y267388D2* 2039 | X260790D1* 2040 | X261380D2* 2041 | X260790D1* 2042 | X261380D2* 2043 | Y267861D1* 2044 | X260199Y267388D2* 2045 | Y267861D1* 2046 | D2* 2047 | D10* 2048 | X263645Y284002* 2049 | Y283313D1* 2050 | X264354Y284002D2* 2051 | Y283313D1* 2052 | Y284002D2* 2053 | X263645D1* 2054 | X264354Y282624D2* 2055 | X263645D1* 2056 | Y283313* 2057 | X264354Y282624D2* 2058 | Y283313D1* 2059 | D2* 2060 | D26* 2061 | X269581Y279382* 2062 | Y280367D1* 2063 | X269506Y279382D2* 2064 | Y280367D1* 2065 | Y279382D2* 2066 | X269743D1* 2067 | X269506Y280367D2* 2068 | X269743D1* 2069 | D2* 2070 | D10* 2071 | X266872Y283395* 2072 | X267561D1* 2073 | X266872Y284104D2* 2074 | X267561D1* 2075 | X266872D2* 2076 | Y283395D1* 2077 | X268250Y284104D2* 2078 | Y283395D1* 2079 | X267561* 2080 | X268250Y284104D2* 2081 | X267561D1* 2082 | X236145Y281814D2* 2083 | Y281125D1* 2084 | X236854Y281814D2* 2085 | Y281125D1* 2086 | Y281814D2* 2087 | X236145D1* 2088 | X236854Y280437D2* 2089 | X236145D1* 2090 | Y281125* 2091 | X236854Y280437D2* 2092 | Y281125D1* 2093 | X269000Y274250D2* 2094 | Y274500D1* 2095 | X270000Y274250D2* 2096 | X269000D1* 2097 | X270000D2* 2098 | Y274500D1* 2099 | X269000Y266500D2* 2100 | Y266750D1* 2101 | X270000D2* 2102 | X269000D1* 2103 | X270000Y266500D2* 2104 | Y266750D1* 2105 | X271250Y274500D2* 2106 | X267750D1* 2107 | X271250Y266500D2* 2108 | X267750D1* 2109 | X271250D2* 2110 | Y274500D1* 2111 | X268050Y271300D2* 2112 | X267750D1* 2113 | X268050Y269700D2* 2114 | Y271300D1* 2115 | Y269700D2* 2116 | X267750D1* 2117 | Y271300D2* 2118 | Y274500D1* 2119 | Y266500D2* 2120 | Y269700D1* 2121 | X266645Y268252D2* 2122 | Y267563D1* 2123 | X267354Y268252D2* 2124 | Y267563D1* 2125 | Y268252D2* 2126 | X266645D1* 2127 | X267354Y266874D2* 2128 | X266645D1* 2129 | Y267563* 2130 | X267354Y266874D2* 2131 | Y267563D1* 2132 | X242590Y271770D2* 2133 | X242990D1* 2134 | X242590Y278220D2* 2135 | X242990D1* 2136 | D2* 2137 | D22* 2138 | X235799Y275000* 2139 | X235777Y275091D1* 2140 | X235714Y275162* 2141 | X235626Y275195* 2142 | X235533Y275184* 2143 | X235455Y275130* 2144 | X235411Y275047* 2145 | Y274952* 2146 | X235455Y274869* 2147 | X235533Y274815* 2148 | X235626Y274804* 2149 | X235714Y274837* 2150 | X235777Y274908* 2151 | X235799Y275000* 2152 | D2* 2153 | D10* 2154 | X242990Y271770* 2155 | Y272180D1* 2156 | Y277820D2* 2157 | Y278220D1* 2158 | X236540D2* 2159 | X236940D1* 2160 | X236540Y272180D2* 2161 | X236940Y271770D1* 2162 | X236540Y277820D2* 2163 | Y278220D1* 2164 | D2* 2165 | D22* 2166 | D28* 2167 | X261049Y281502* 2168 | X261000Y281552D1* 2169 | X260950Y281502* 2170 | X261000Y281453* 2171 | X261049Y281502* 2172 | X254907Y269027D2* 2173 | X255671D1* 2174 | X254907D2* 2175 | Y269791D1* 2176 | X266328Y269027D2* 2177 | X267092D1* 2178 | Y269791* 2179 | Y280448D2* 2180 | Y281212D1* 2181 | X266328D2* 2182 | X267092D1* 2183 | X255340D2* 2184 | X255671D1* 2185 | X254907Y280448D2* 2186 | Y280779D1* 2187 | X255340Y281212* 2188 | D2* 2189 | D10* 2190 | X254943Y282576* 2191 | Y282107D1* 2192 | X254974Y282013* 2193 | X255037Y281951* 2194 | X255130Y281920* 2195 | X255193* 2196 | X255287Y281951* 2197 | X255349Y282013* 2198 | X255380Y282107* 2199 | Y282576* 2200 | X255693Y282451D2* 2201 | X255755Y282482D1* 2202 | X255849Y282576* 2203 | Y281920* 2204 | X241700Y285450D2* 2205 | Y285750D1* 2206 | Y285450D2* 2207 | X243300D1* 2208 | Y285750* 2209 | X252500Y282250D2* 2210 | Y285750D1* 2211 | X232500Y282250D2* 2212 | X252500D1* 2213 | X232500D2* 2214 | Y285750D1* 2215 | X241700* 2216 | X243300D2* 2217 | X252500D1* 2218 | X232500Y283500D2* 2219 | X232750D1* 2220 | Y284500* 2221 | X232500D2* 2222 | X232750D1* 2223 | X252250Y283500D2* 2224 | X252500D1* 2225 | X252250D2* 2226 | Y284500D1* 2227 | X252500* 2228 | %LNTop Silk_POS_GLYPHS*% 2229 | %LPD*% 2230 | X252500Y284500D2* 2231 | G36* 2232 | X232777Y281050* 2233 | Y281850D1* 2234 | X233318* 2235 | Y281756* 2236 | X232882* 2237 | Y281507* 2238 | X233259* 2239 | Y281413* 2240 | X232882* 2241 | Y281050* 2242 | X232777* 2243 | G37* 2244 | G36* 2245 | X233456D2* 2246 | Y281850D1* 2247 | X233731* 2248 | X233825Y281844* 2249 | X233873Y281838* 2250 | X233949Y281806* 2251 | X233990Y281781* 2252 | X234054Y281699* 2253 | X234086Y281644* 2254 | X234109Y281528* 2255 | X234117Y281454* 2256 | X234106Y281350* 2257 | X234095Y281292* 2258 | X234063Y281216* 2259 | X234041Y281175* 2260 | X233996Y281127* 2261 | X233968Y281102* 2262 | X233911Y281076* 2263 | X233873Y281063* 2264 | X233795Y281053* 2265 | X233744Y281050* 2266 | X233456* 2267 | G37* 2268 | G36* 2269 | X234264D2* 2270 | Y281850D1* 2271 | X234538* 2272 | X234632Y281844* 2273 | X234680Y281838* 2274 | X234756Y281806* 2275 | X234798Y281781* 2276 | X234862Y281699* 2277 | X234893Y281644* 2278 | X234917Y281528* 2279 | X234925Y281454* 2280 | X234914Y281350* 2281 | X234903Y281292* 2282 | X234870Y281216* 2283 | X234848Y281175* 2284 | X234804Y281127* 2285 | X234776Y281102* 2286 | X234718Y281076* 2287 | X234680Y281063* 2288 | X234602Y281053* 2289 | X234552Y281050* 2290 | X234264* 2291 | G37* 2292 | %LNTop Silk_NEG_GLYPHS*% 2293 | %LPC*% 2294 | X234264Y281050D2* 2295 | G36* 2296 | X233561Y281144* 2297 | X233732D1* 2298 | X233814Y281151* 2299 | X233856Y281158* 2300 | X233903Y281183* 2301 | X233927Y281200* 2302 | X233967Y281260* 2303 | X233987Y281301* 2304 | X234003Y281394* 2305 | X234008Y281455* 2306 | X233987Y281584* 2307 | X233966Y281649* 2308 | X233906Y281711* 2309 | X233866Y281740* 2310 | X233787Y281752* 2311 | X233729Y281756* 2312 | X233561* 2313 | Y281144* 2314 | G37* 2315 | G36* 2316 | X234369D2* 2317 | X234539D1* 2318 | X234621Y281151* 2319 | X234664Y281158* 2320 | X234711Y281183* 2321 | X234735Y281200* 2322 | X234774Y281260* 2323 | X234794Y281301* 2324 | X234810Y281394* 2325 | X234815Y281455* 2326 | X234795Y281584* 2327 | X234774Y281649* 2328 | X234713Y281711* 2329 | X234673Y281740* 2330 | X234594Y281752* 2331 | X234537Y281756* 2332 | X234369* 2333 | Y281144* 2334 | G37* 2335 | D02M02* 2336 | -------------------------------------------------------------------------------- /Gerber/readme_gerber.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD+IDE Cartridge version 1.2 3 | Copyright (c) 2015-2020 RBSC 4 | -------------------------------------------- 5 | 6 | The Gerber files were created by RBSC. Commercial usage is not allowed! 7 | 8 | See the readme.txt file for more info. -------------------------------------------------------------------------------- /ROM/FDD-IDE_V21.ROM: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/ROM/FDD-IDE_V21.ROM -------------------------------------------------------------------------------- /ROM/FDD-IDE_V21_29F040.ROM: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/ROM/FDD-IDE_V21_29F040.ROM -------------------------------------------------------------------------------- /ROM/old/ROM_IDEFDDV1.ROM: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/ROM/old/ROM_IDEFDDV1.ROM -------------------------------------------------------------------------------- /ROM/old/ROM_IDEFDDV1_NextorAlpha.ROM: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/ROM/old/ROM_IDEFDDV1_NextorAlpha.ROM -------------------------------------------------------------------------------- /ROM/old/ROM_IDEFDDV1_NextorBeta.ROM: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/ROM/old/ROM_IDEFDDV1_NextorBeta.ROM -------------------------------------------------------------------------------- /ROM/old/readme_roms.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD+IDE Cartridge version 1.2 3 | Copyright (c) 2015-2020 RBSC 4 | -------------------------------------------- 5 | 6 | The IDE ROMs bear the copyrights of their respective creators/owners. 7 | The RBCS was not involved in creation of these ROM files. 8 | 9 | Nextor IDE ROM is copyright by Konamiman: 10 | http://www.konamiman.com/msx/msx-e.html 11 | 12 | Sunrise IDE ROM is copyright by Sunrise for MSX. 13 | 14 | We provide 3 different ROMs, but you need only one of them for the device. 15 | The Alpha and later versions of Nextor support DSK image emulation. The ROM 16 | with the latest Nextor version 2.1.0 Beta 2 is also provided. We recommend 17 | to use the Beta2 version. 18 | 19 | See the readme.txt file for more info. 20 | -------------------------------------------------------------------------------- /ROM/old1/FDD-IDE_V2.ROM: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/ROM/old1/FDD-IDE_V2.ROM -------------------------------------------------------------------------------- /ROM/old1/FDD-IDE_V2_29F040.ROM: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/ROM/old1/FDD-IDE_V2_29F040.ROM -------------------------------------------------------------------------------- /ROM/old2/FDD-IDE_V21.ROM: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/ROM/old2/FDD-IDE_V21.ROM -------------------------------------------------------------------------------- /ROM/old2/FDD-IDE_V21_29F040.ROM: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/RBSC/MultiController/0573178d79e6851052cb58bbca08e8233141258e/ROM/old2/FDD-IDE_V21_29F040.ROM -------------------------------------------------------------------------------- /ROM/readme_roms.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD+IDE Cartridge version 1.2 3 | Copyright (c) 2015-2024 RBSC 4 | -------------------------------------------- 5 | 6 | The IDE ROMs bear the copyrights of their respective creators/owners. 7 | The RBCS was not involved in creation of these ROM files. 8 | 9 | Nextor IDE ROM is copyright by Konamiman: 10 | http://www.konamiman.com/msx/msx-e.html 11 | 12 | Sunrise IDE ROM is copyright by Sunrise for MSX. 13 | 14 | Previously, we provided 3 different ROMs, but now there's only one. The older 15 | ROMs are still located in the "old" and "old1" subfolders. 16 | 17 | The current ROM file "FDD-IDE_V21.ROM" contains a slightly patched version 18 | of the Sunrise IDE ROM and the Release version of the Nextor 2.1.1 IDE ROM 19 | that natively supports Carnivore2 and FDD-IDE controllers. This ROM is faster 20 | and has the slave device detection disabled. It is 262kb in size. 21 | 22 | The "FDD-IDE_V21_29F040.ROM" is the file that you can burn directly into the 23 | 29F040 FlashROM chip. It is 512kb in size and contains the same data as the 24 | "FDD-IDE_V21.ROM" file. 25 | 26 | See the readme.txt file for more info. 27 | -------------------------------------------------------------------------------- /readme.txt: -------------------------------------------------------------------------------- 1 | -------------------------------------------- 2 | MSX FDD-IDE Cartridge version 1.2 3 | Copyright (c) 2015-2023 RBSC 4 | Updated: 27.08.2023 5 | -------------------------------------------- 6 | 7 | The FDD-IDE is a combo controller that allows to connect up to 2 floppy drives (PC 1.44Mb or older 720kb) and one Compact Flash 8 | card-based disk drive to any MSX computer. The device has the selection to use either Sunrise or Nextor BIOS to operate the 9 | disk drive. For Sunrise the partitions must be not larger than 32Mb, for Nextor the partitions could be maximum 4Gb in size. 10 | 11 | 12 | Jumper settings 13 | --------------- 14 | 15 | The cartridge has a set of jumpers to configure the hardware and internal software. There are 4 jumpers consisting of 3 pins 16 | and 3 jumpers consisting of 2 pins. The jumpers that consist of 3 pins are used to configure the DS (drive select) signal. 17 | The 2-pin jumpers configure the on-board devices and BIOSes. 18 | 19 | When all 3 pin jumpers are in the upper position, then a normal PC drive (with default DS1 setting) can be connected to the standard 20 | PC floppy cable. There can be maximum 2 drives connected to the cartridge. The drive connected to the middle cable's connector will 21 | be B: and the drive connected to the end of the cable will be A:. 22 | 23 | When all 3 pin jumpers are in the lower position, then a normal PC drive (with default DS2 setting) can be connected to the standard 24 | PC floppy cable. There can be maximum 2 drives connected to the cartridge. The drive connected to the middle cable's connector will 25 | be A: and the drive connected to the end of the cable will be B:. 26 | 27 | When the 3 pin jumpers are set as shown for DS0 (see the markings on the cartridge), then a DS/DD 720kb drive with DS0 setting can be 28 | connected to the controller with the straight floppy cable (PC cable with a "twist" won't work). Only one drive can be connected to 29 | the controller in this case. 30 | 31 | The "F+H" jumper is used to enable or disable the expanded slot. If the jumper is set, then both floppy controller and IDE controller 32 | are enabled. If the jumper is off, then only one controller is enabled (see below). 33 | 34 | The "F/H" jumper is used to set a priority for controllers when "F+H" jumper is installed. A set jumper will make IDE controller 35 | to be the first device and the floppy controller will be the second device. If the "F+H" jumper is not installed, then the "F/H" 36 | jumper enables only one controller - either floppy or IDE. The set jumper enables IDE controller in this case. 37 | 38 | The "ROM 1/2" jumper is used to select which IDE BIOS will be used by the cartridge. The set jumper will enable the "Sunrise" BIOS, 39 | otherwise the "Nextor" BIOS will be enabled. 40 | 41 | 42 | NOTES 43 | ----- 44 | 45 | Starting from firmware version 1.10 it's possible to use the AIC37C65CL or similar FDD controller chip with the board. The previous 46 | version of the firmware is incompatible with these chips. 47 | 48 | Previously, we provided 3 different ROMs, but now there's only one. The older ROMs are still located in the "old" and "old1" 49 | subfolders. 50 | 51 | The current ROM file "FDD-IDE_V21.ROM" contains a slightly patched version of the Sunrise IDE ROM and the Release version of the 52 | Nextor 2.1.1 IDE ROM that natively supports Carnivore2 and FDD-IDE controllers. This ROM is faster and has the slave device detection 53 | disabled. It is 262kb in size. 54 | 55 | The "FDD-IDE_V21_29F040.ROM" is the file that you can burn directly into the 29F040 FlashROM chip. It is 512kb in size and contains 56 | the same data as the "FDD-IDE_V21.ROM" file. 57 | 58 | 59 | 60 | IMPORTANT! 61 | ---------- 62 | 63 | The RBSC provides all the files and information for free, without any liability (see the disclaimer.txt file). The provided information, 64 | software or hardware must not be used for commercial purposes unless permitted by the RBSC. Producing a small amount of bare boards for 65 | personal projects and selling the rest of the batch is allowed without the permission of RBSC. 66 | 67 | When the sources of the tools are used to create alternative projects, please always mention the original source and the copyright! 68 | 69 | 70 | Contact information 71 | ------------------- 72 | 73 | The members of RBSC group TNT23, Wierzbowsky, Ptero, GreyWolf, SuperMax, Pyhesty, VWarlock and DJS3000 can be contacted via the group's 74 | e-mail address: 75 | 76 | info@rbsc.su 77 | 78 | The group's coordinator could be reached via this e-mail address: 79 | 80 | admin@rbsc.su 81 | 82 | The group's website can be found here: 83 | 84 | https://rbsc.su/ 85 | https://rbsc.su/ru 86 | 87 | The RBSC's hardware repository can be found here: 88 | 89 | https://github.com/rbsc 90 | 91 | The RBSC's 3D model repository can be found here: 92 | 93 | https://www.thingiverse.com/groups/rbsc/things 94 | 95 | -= ! MSX FOREVER ! =- 96 | --------------------------------------------------------------------------------