├── .github └── CODEOWNERS ├── FPGA_test ├── README.md ├── docs │ └── images │ │ ├── FPGA_test_framework.jpg │ │ └── memory_model.jpg ├── driver │ ├── data.h │ ├── metadata.h │ ├── naive_driver.c │ └── naive_driver.h └── ventus_fpga.tcl ├── README.md ├── docs ├── images │ ├── ChinaCore_logo.jpg │ ├── compile_example.jpg │ ├── ventus_verilog_arch1.png │ └── ventus_verilog_arch2.png └── ventus-gpgpu-verilog-release-v1.0-spec.pdf ├── src ├── common_cell │ ├── bin2one.v │ ├── dualportSRAM.v │ ├── fifo.v │ ├── fifo_with_count.v │ ├── fifo_with_flush.v │ ├── find_first.v │ ├── fixed_pri_arb.v │ ├── input_reverse.v │ ├── one2bin.v │ ├── pop_cnt.v │ ├── round_robin_arb.v │ ├── stream_fifo.v │ ├── stream_fifo_flow_true.v │ ├── stream_fifo_hasflush_true.v │ ├── stream_fifo_hasflush_true_no_empty_full.v │ ├── stream_fifo_pipe_true.v │ ├── stream_fifo_pipe_true_has_flush.v │ ├── stream_fifo_pipe_true_with_count.v │ ├── stream_fifo_useSRAM.v │ ├── stream_fifo_useSRAM_with_count.v │ └── stream_fifo_with_incount_useSRAM.v ├── define │ ├── define.v │ └── undefine.v └── gpgpu_top │ ├── GPGPU_top.v │ ├── axi4_adapter │ ├── axi4_adapter.sv │ ├── axi4_adapter_top.sv │ ├── axi4_cut.sv │ ├── model_list.f │ ├── spill_register.sv │ └── spill_register_flushable.sv │ ├── axi4lite_2_cta.v │ ├── cluster_to_l2_arb.v │ ├── cta_top │ ├── cta │ │ ├── allocator_neo.v │ │ ├── cam_allocator.v │ │ ├── cam_allocator_neo.v │ │ ├── cta_scheduler.v │ │ ├── cu_handler.v │ │ ├── dis_controller.v │ │ ├── gpu_interface.v │ │ ├── inflight_wg_buffer.v │ │ ├── prefer_select.v │ │ ├── ram.v │ │ ├── resource_table.v │ │ ├── resource_table_group.v │ │ ├── throttling_engine.v │ │ ├── top_resource_table.v │ │ ├── wg_resource_table_neo.v │ │ └── wg_slot_id_convert_opt.v │ ├── cta_interface.v │ └── wf_done_interface_single.v │ ├── gpgpu_axi_adpater.v │ ├── gpgpu_axi_top.sv │ ├── l2_distribute.v │ ├── l2cache │ ├── Listbuffer.v │ ├── Listbuffer_no_push_opc_put_source.v │ ├── MSHR.v │ ├── Scheduler.v │ ├── SourceA.v │ ├── banked_store.v │ ├── directory_test.v │ ├── lru_matrix.v │ ├── model_list.f │ ├── sinkA.v │ ├── sinkD.v │ └── sourceD.v │ ├── model_list │ ├── sm │ ├── cta2warp.v │ ├── l1cache │ │ ├── common │ │ │ ├── lru_matrix.v │ │ │ ├── model_list.f │ │ │ ├── sram_template.v │ │ │ └── sram_template_l1d_tag.v │ │ ├── dcache │ │ │ ├── dcache_control.v │ │ │ ├── dcache_wshr.v │ │ │ ├── gen_data_map_per_byte.v │ │ │ ├── gen_data_map_same_word.v │ │ │ ├── get_data_access_banken.v │ │ │ ├── l1_dcache.v │ │ │ ├── l1_mshr │ │ │ │ ├── get_entry_status_req.v │ │ │ │ ├── get_entry_status_rsp.v │ │ │ │ └── l1_mshr.v │ │ │ └── tag_access │ │ │ │ ├── tag_access_top_v2.v │ │ │ │ └── tag_checker.v │ │ ├── icache │ │ │ ├── get_entry_status.v │ │ │ ├── get_setid.v │ │ │ ├── instruction_cache.v │ │ │ ├── model_list.f │ │ │ ├── mshr_icache.v │ │ │ ├── tag_access_icache.v │ │ │ └── tag_checker_icache.v │ │ └── shared_memory │ │ │ ├── bankconflict_arb.v │ │ │ └── sharemem.v │ ├── l1cache_arb.v │ ├── pipeline │ │ ├── aluexe.v │ │ ├── branch_back.v │ │ ├── csr │ │ │ ├── csrexe.v │ │ │ └── csrfile.v │ │ ├── decodeUnit.v │ │ ├── fpu │ │ │ ├── fpu │ │ │ │ ├── common_cells │ │ │ │ │ ├── clz.v │ │ │ │ │ ├── clz_49.v │ │ │ │ │ ├── far_path.v │ │ │ │ │ ├── lza.v │ │ │ │ │ ├── near_path.v │ │ │ │ │ ├── rounding.v │ │ │ │ │ └── shift_right_jam.v │ │ │ │ ├── fadd_pipe.v │ │ │ │ ├── fcmp.v │ │ │ │ ├── fcmp_core.v │ │ │ │ ├── fma.v │ │ │ │ ├── fmul_pipe.v │ │ │ │ ├── fp_to_int.v │ │ │ │ ├── fp_to_int_core.v │ │ │ │ ├── fpmv.v │ │ │ │ ├── int_to_fp.v │ │ │ │ ├── int_to_fp_postnorm.v │ │ │ │ ├── int_to_fp_prenorm.v │ │ │ │ └── scalar_fpu.v │ │ │ ├── fpu_no_ctrl │ │ │ │ ├── fadd_pipe_no_ctrl.v │ │ │ │ ├── fcmp_no_ctrl.v │ │ │ │ ├── fma_no_ctrl.v │ │ │ │ ├── fmul_pipe_no_ctrl.v │ │ │ │ ├── fp_to_int_no_ctrl.v │ │ │ │ ├── fpmv_no_ctrl.v │ │ │ │ ├── int_to_fp_no_ctrl.v │ │ │ │ └── scalar_fpu_no_ctrl.v │ │ │ ├── fpuexe.v │ │ │ ├── vfpu.v │ │ │ └── vfpu_v2.v │ │ ├── ibuffer │ │ │ ├── ibuffer.v │ │ │ └── slowdown.v │ │ ├── ibuffer2issue.v │ │ ├── issue.v │ │ ├── lsu │ │ │ ├── addrcalculate.v │ │ │ ├── byte_extract.v │ │ │ ├── input_fifo.v │ │ │ ├── lsu2wb.v │ │ │ ├── lsu_exe.v │ │ │ ├── mshr_backup.v │ │ │ ├── mshr_reg.v │ │ │ ├── rsp_arb.v │ │ │ └── shiftboard.v │ │ ├── operand_collector │ │ │ ├── collector_unit.v │ │ │ ├── crossbar.sv │ │ │ ├── gen_imm.v │ │ │ ├── inst_demux.v │ │ │ ├── operand_arbiter.v │ │ │ ├── operandcollector_top.sv │ │ │ ├── scalar_regfile_bank.v │ │ │ └── vector_regfile_bank.v │ │ ├── pipe.v │ │ ├── scoreboard.v │ │ ├── sfu_v2 │ │ │ ├── float_div_mvp │ │ │ │ ├── .gitignore │ │ │ │ ├── cf_math_pkg.sv │ │ │ │ ├── control_mvp.sv │ │ │ │ ├── defs_div_sqrt_mvp.sv │ │ │ │ ├── div_sqrt_top_mvp.sv │ │ │ │ ├── iteration_div_sqrt_mvp.sv │ │ │ │ ├── lzc.sv │ │ │ │ ├── norm_div_sqrt_mvp.sv │ │ │ │ ├── nrbd_nrsc_mvp.sv │ │ │ │ └── preprocess_mvp.sv │ │ │ ├── int_div.v │ │ │ └── sfu_exe.v │ │ ├── simt_stack │ │ │ ├── branch_join_stack.v │ │ │ ├── model_list.f │ │ │ └── simt_stack.v │ │ ├── tensor │ │ │ ├── fadd_s1.v │ │ │ ├── fadd_s2.v │ │ │ ├── fmul_s1.v │ │ │ ├── fmul_s2.v │ │ │ ├── fmul_s3.v │ │ │ ├── naivemultiplier.v │ │ │ ├── tc_add_pipe.v │ │ │ ├── tc_dot_product.v │ │ │ ├── tc_mul_pipe.v │ │ │ ├── tensor_core_exe.v │ │ │ └── tensor_core_fp32.v │ │ ├── valu │ │ │ ├── alu.v │ │ │ ├── valu.v │ │ │ ├── valu_top.v │ │ │ └── valu_v2.v │ │ ├── vmul │ │ │ ├── array_multiplier.v │ │ │ ├── booth.v │ │ │ ├── full_adder.v │ │ │ ├── mult_32.v │ │ │ ├── vmul.v │ │ │ ├── vmul_top.v │ │ │ ├── vmul_v2.v │ │ │ └── wallace_adder_18.v │ │ ├── warp_scheduler │ │ │ ├── model_list.f │ │ │ ├── pc_align.v │ │ │ ├── pc_control.v │ │ │ └── warp_scheduler.v │ │ └── writeback.v │ ├── sm_wrapper.v │ └── sm_wrapper_backup.v │ └── sm2cluster_arb.v └── testcase ├── test_gpgpu_axi_top ├── common │ ├── axi_ram.sv │ ├── file_list.f │ ├── gen_clk.v │ ├── gen_rst.v │ ├── host_inter.sv │ ├── run.f │ └── test_gpu_axi_top.sv ├── tc_bfs │ ├── Makefile │ ├── right.log │ ├── softdata │ │ ├── 2w16t │ │ │ ├── BFS_1_0.data │ │ │ ├── BFS_1_0.log │ │ │ ├── BFS_1_0.metadata │ │ │ ├── BFS_1_1.data │ │ │ ├── BFS_1_1.log │ │ │ ├── BFS_1_1.metadata │ │ │ ├── BFS_1_2.data │ │ │ ├── BFS_1_2.log │ │ │ ├── BFS_1_2.metadata │ │ │ ├── BFS_1_3.data │ │ │ ├── BFS_1_3.log │ │ │ ├── BFS_1_3.metadata │ │ │ ├── BFS_2_0.data │ │ │ ├── BFS_2_0.log │ │ │ ├── BFS_2_0.metadata │ │ │ ├── BFS_2_1.data │ │ │ ├── BFS_2_1.log │ │ │ ├── BFS_2_1.metadata │ │ │ ├── BFS_2_2.data │ │ │ ├── BFS_2_2.log │ │ │ ├── BFS_2_2.metadata │ │ │ ├── BFS_2_3.data │ │ │ ├── BFS_2_3.log │ │ │ ├── BFS_2_3.metadata │ │ │ └── pocl.log │ │ ├── 4x32 │ │ │ ├── BFS_1_0.data │ │ │ ├── BFS_1_0.log │ │ │ ├── BFS_1_0.metadata │ │ │ ├── BFS_1_1.data │ │ │ ├── BFS_1_1.log │ │ │ ├── BFS_1_1.metadata │ │ │ ├── BFS_1_2.data │ │ │ ├── BFS_1_2.log │ │ │ ├── BFS_1_2.metadata │ │ │ ├── BFS_1_3.data │ │ │ ├── BFS_1_3.log │ │ │ ├── BFS_1_3.metadata │ │ │ ├── BFS_1_4.data │ │ │ ├── BFS_1_4.log │ │ │ ├── BFS_1_4.metadata │ │ │ ├── BFS_2_0.data │ │ │ ├── BFS_2_0.log │ │ │ ├── BFS_2_0.metadata │ │ │ ├── BFS_2_1.data │ │ │ ├── BFS_2_1.log │ │ │ ├── BFS_2_1.metadata │ │ │ ├── BFS_2_2.data │ │ │ ├── BFS_2_2.log │ │ │ ├── BFS_2_2.metadata │ │ │ ├── BFS_2_3.data │ │ │ ├── BFS_2_3.log │ │ │ ├── BFS_2_3.metadata │ │ │ ├── BFS_2_4.data │ │ │ ├── BFS_2_4.log │ │ │ ├── BFS_2_4.metadata │ │ │ ├── object.cl │ │ │ ├── object.dump │ │ │ ├── object.riscv │ │ │ ├── object.vmem │ │ │ └── pocl.log │ │ ├── 4x8 │ │ │ ├── BFS_1_0.data │ │ │ ├── BFS_1_0.log │ │ │ ├── BFS_1_0.metadata │ │ │ ├── BFS_1_1.data │ │ │ ├── BFS_1_1.log │ │ │ ├── BFS_1_1.metadata │ │ │ ├── BFS_1_2.data │ │ │ ├── BFS_1_2.log │ │ │ ├── BFS_1_2.metadata │ │ │ ├── BFS_1_3.data │ │ │ ├── BFS_1_3.log │ │ │ ├── BFS_1_3.metadata │ │ │ ├── BFS_2_0.data │ │ │ ├── BFS_2_0.log │ │ │ ├── BFS_2_0.metadata │ │ │ ├── BFS_2_1.data │ │ │ ├── BFS_2_1.log │ │ │ ├── BFS_2_1.metadata │ │ │ ├── BFS_2_2.data │ │ │ ├── BFS_2_2.log │ │ │ ├── BFS_2_2.metadata │ │ │ ├── BFS_2_3.data │ │ │ ├── BFS_2_3.log │ │ │ ├── BFS_2_3.metadata │ │ │ └── pocl.log │ │ └── 8w4t │ │ │ ├── BFS_1_0.data │ │ │ ├── BFS_1_0.log │ │ │ ├── BFS_1_0.metadata │ │ │ ├── BFS_1_1.data │ │ │ ├── BFS_1_1.log │ │ │ ├── BFS_1_1.metadata │ │ │ ├── BFS_1_2.data │ │ │ ├── BFS_1_2.log │ │ │ ├── BFS_1_2.metadata │ │ │ ├── BFS_1_3.data │ │ │ ├── BFS_1_3.log │ │ │ ├── BFS_1_3.metadata │ │ │ ├── BFS_2_0.data │ │ │ ├── BFS_2_0.log │ │ │ ├── BFS_2_0.metadata │ │ │ ├── BFS_2_1.data │ │ │ ├── BFS_2_1.log │ │ │ ├── BFS_2_1.metadata │ │ │ ├── BFS_2_2.data │ │ │ ├── BFS_2_2.log │ │ │ ├── BFS_2_2.metadata │ │ │ ├── BFS_2_3.data │ │ │ ├── BFS_2_3.log │ │ │ ├── BFS_2_3.metadata │ │ │ └── pocl.log │ └── tc.v ├── tc_gaussian │ ├── Makefile │ ├── softdata │ │ ├── 1w16t │ │ │ ├── Fan1_0.data │ │ │ ├── Fan1_0.log │ │ │ ├── Fan1_0.metadata │ │ │ ├── Fan1_1.data │ │ │ ├── Fan1_1.log │ │ │ ├── Fan1_1.metadata │ │ │ ├── Fan1_2.data │ │ │ ├── Fan1_2.log │ │ │ ├── Fan1_2.metadata │ │ │ ├── Fan2_0.data │ │ │ ├── Fan2_0.log │ │ │ ├── Fan2_0.metadata │ │ │ ├── Fan2_1.data │ │ │ ├── Fan2_1.log │ │ │ ├── Fan2_1.metadata │ │ │ ├── Fan2_2.data │ │ │ ├── Fan2_2.log │ │ │ ├── Fan2_2.metadata │ │ │ ├── object.cl │ │ │ ├── object.dump │ │ │ ├── object.riscv │ │ │ ├── object.vmem │ │ │ └── pocl.log │ │ ├── 2x8 │ │ │ ├── Fan1_0.data │ │ │ ├── Fan1_0.log │ │ │ ├── Fan1_0.metadata │ │ │ ├── Fan1_1.data │ │ │ ├── Fan1_1.log │ │ │ ├── Fan1_1.metadata │ │ │ ├── Fan1_2.data │ │ │ ├── Fan1_2.log │ │ │ ├── Fan1_2.metadata │ │ │ ├── Fan2_0.data │ │ │ ├── Fan2_0.log │ │ │ ├── Fan2_0.metadata │ │ │ ├── Fan2_1.data │ │ │ ├── Fan2_1.log │ │ │ ├── Fan2_1.metadata │ │ │ ├── Fan2_2.data │ │ │ ├── Fan2_2.log │ │ │ ├── Fan2_2.metadata │ │ │ ├── object.cl │ │ │ ├── object.dump │ │ │ ├── object.riscv │ │ │ └── object.vmem │ │ ├── 4x4 │ │ │ ├── Fan1.data │ │ │ ├── Fan1.metadata │ │ │ ├── Fan1_0.data │ │ │ ├── Fan1_0.log │ │ │ ├── Fan1_0.metadata │ │ │ ├── Fan1_1.data │ │ │ ├── Fan1_1.log │ │ │ ├── Fan1_1.metadata │ │ │ ├── Fan1_2.data │ │ │ ├── Fan1_2.log │ │ │ ├── Fan1_2.metadata │ │ │ ├── Fan2.data │ │ │ ├── Fan2.metadata │ │ │ ├── Fan2_0.data │ │ │ ├── Fan2_0.log │ │ │ ├── Fan2_0.metadata │ │ │ ├── Fan2_1.data │ │ │ ├── Fan2_1.log │ │ │ ├── Fan2_1.metadata │ │ │ ├── Fan2_2.data │ │ │ ├── Fan2_2.log │ │ │ ├── Fan2_2.metadata │ │ │ ├── object.cl │ │ │ ├── object.dump │ │ │ ├── object.riscv │ │ │ ├── object.vmem │ │ │ └── pocl.log │ │ └── 4x8 │ │ │ ├── Fan1_0.data │ │ │ ├── Fan1_0.log │ │ │ ├── Fan1_0.metadata │ │ │ ├── Fan1_1.data │ │ │ ├── Fan1_1.log │ │ │ ├── Fan1_1.metadata │ │ │ ├── Fan1_2.data │ │ │ ├── Fan1_2.log │ │ │ ├── Fan1_2.metadata │ │ │ ├── Fan1_3.data │ │ │ ├── Fan1_3.log │ │ │ ├── Fan1_3.metadata │ │ │ ├── Fan2_0.data │ │ │ ├── Fan2_0.log │ │ │ ├── Fan2_0.metadata │ │ │ ├── Fan2_1.data │ │ │ ├── Fan2_1.log │ │ │ ├── Fan2_1.metadata │ │ │ ├── Fan2_2.data │ │ │ ├── Fan2_2.log │ │ │ ├── Fan2_2.metadata │ │ │ ├── Fan2_3.data │ │ │ ├── Fan2_3.log │ │ │ ├── Fan2_3.metadata │ │ │ ├── mem.txt │ │ │ ├── object.cl │ │ │ ├── object.dump │ │ │ ├── object.riscv │ │ │ ├── object.vmem │ │ │ └── pocl.log │ └── tc.v ├── tc_matadd │ ├── Makefile │ ├── softdata │ │ ├── 1w16t │ │ │ ├── matadd_0.data │ │ │ ├── matadd_0.log │ │ │ └── matadd_0.metadata │ │ ├── 1w32t │ │ │ ├── matadd_0.data │ │ │ ├── matadd_0.log │ │ │ └── matadd_0.metadata │ │ ├── 2w8t │ │ │ ├── matadd_0.data │ │ │ ├── matadd_0.log │ │ │ └── matadd_0.metadata │ │ └── 4w4t │ │ │ ├── matadd_0.data │ │ │ ├── matadd_0.log │ │ │ └── matadd_0.metadata │ └── tc.v ├── tc_nn │ ├── Makefile │ ├── softdata │ │ ├── 2w16t │ │ │ ├── NearestNeighbor_0.data │ │ │ ├── NearestNeighbor_0.log │ │ │ ├── NearestNeighbor_0.metadata │ │ │ └── pocl.log │ │ ├── 4x16 │ │ │ ├── Makefile │ │ │ ├── NearestNeighbor_0.data │ │ │ ├── NearestNeighbor_0.log │ │ │ ├── NearestNeighbor_0.metadata │ │ │ ├── README.txt │ │ │ ├── clutils.cpp │ │ │ ├── clutils.h │ │ │ ├── filelist.txt │ │ │ ├── gettimeofday.cpp │ │ │ ├── gettimeofday.h │ │ │ ├── ipoint.h │ │ │ ├── nearestNeighbor.cpp │ │ │ ├── nearestNeighbor.h │ │ │ ├── nearestNeighbor_kernel.cl │ │ │ ├── object.cl │ │ │ ├── object.dump │ │ │ ├── object.riscv │ │ │ ├── object.vmem │ │ │ ├── pocl.log │ │ │ ├── run │ │ │ ├── utils.cpp │ │ │ └── utils.h │ │ ├── 4x8 │ │ │ ├── NearestNeighbor_0.data │ │ │ ├── NearestNeighbor_0.log │ │ │ ├── NearestNeighbor_0.metadata │ │ │ ├── nearestNeighbor.cpp │ │ │ ├── nearestNeighbor.h │ │ │ ├── nearestNeighbor_kernel.cl │ │ │ ├── object.cl │ │ │ ├── object.dump │ │ │ ├── object.riscv │ │ │ ├── object.vmem │ │ │ └── pocl.log │ │ ├── 8w4t │ │ │ ├── NearestNeighbor_0.data │ │ │ ├── NearestNeighbor_0.log │ │ │ ├── NearestNeighbor_0.metadata │ │ │ ├── NearestNeighbor_0_right.log │ │ │ └── pocl.log │ │ └── 8x8 │ │ │ ├── NearestNeighbor_0.data │ │ │ ├── NearestNeighbor_0.log │ │ │ ├── NearestNeighbor_0.metadata │ │ │ ├── object.cl │ │ │ ├── object.dump │ │ │ ├── object.riscv │ │ │ ├── object.vmem │ │ │ └── pocl.log │ └── tc.v └── tc_vecadd │ ├── Makefile │ ├── softdata │ ├── 4w16t │ │ ├── CTestTestfile.cmake │ │ ├── cmake_install.cmake │ │ ├── object.cl │ │ ├── object.dump │ │ ├── object.riscv │ │ ├── object.vmem │ │ ├── vecadd │ │ ├── vecadd_0.data │ │ ├── vecadd_0.log │ │ └── vecadd_0.metadata │ ├── 4w32t │ │ ├── object.cl │ │ ├── object.dump │ │ ├── object.riscv │ │ ├── object.vmem │ │ ├── vecadd │ │ ├── vecadd_0.data │ │ ├── vecadd_0.log │ │ └── vecadd_0.metadata │ ├── 4x8 │ │ ├── vecadd │ │ ├── vecadd_0.data │ │ ├── vecadd_0.log │ │ └── vecadd_0.metadata │ └── 8w4t │ │ ├── vecadd_0.data │ │ ├── vecadd_0.log │ │ └── vecadd_0.metadata │ └── tc.v └── test_gpgpu_top ├── tc_bfs ├── 2w16t │ ├── BFS_1_0.data │ ├── BFS_1_0.log │ ├── BFS_1_0.metadata │ ├── BFS_1_1.data │ ├── BFS_1_1.log │ ├── BFS_1_1.metadata │ ├── BFS_1_2.data │ ├── BFS_1_2.log │ ├── BFS_1_2.metadata │ ├── BFS_1_3.data │ ├── BFS_1_3.log │ ├── BFS_1_3.metadata │ ├── BFS_2_0.data │ ├── BFS_2_0.log │ ├── BFS_2_0.metadata │ ├── BFS_2_1.data │ ├── BFS_2_1.log │ ├── BFS_2_1.metadata │ ├── BFS_2_2.data │ ├── BFS_2_2.log │ ├── BFS_2_2.metadata │ ├── BFS_2_3.data │ ├── BFS_2_3.log │ ├── BFS_2_3.metadata │ └── pocl.log ├── 4x32 │ ├── BFS_1_0.data │ ├── BFS_1_0.log │ ├── BFS_1_0.metadata │ ├── BFS_1_1.data │ ├── BFS_1_1.log │ ├── BFS_1_1.metadata │ ├── BFS_1_2.data │ ├── BFS_1_2.log │ ├── BFS_1_2.metadata │ ├── BFS_1_3.data │ ├── BFS_1_3.log │ ├── BFS_1_3.metadata │ ├── BFS_1_4.data │ ├── BFS_1_4.log │ ├── BFS_1_4.metadata │ ├── BFS_2_0.data │ ├── BFS_2_0.log │ ├── BFS_2_0.metadata │ ├── BFS_2_1.data │ ├── BFS_2_1.log │ ├── BFS_2_1.metadata │ ├── BFS_2_2.data │ ├── BFS_2_2.log │ ├── BFS_2_2.metadata │ ├── BFS_2_3.data │ ├── BFS_2_3.log │ ├── BFS_2_3.metadata │ ├── BFS_2_4.data │ ├── BFS_2_4.log │ ├── BFS_2_4.metadata │ ├── object.cl │ ├── object.dump │ ├── object.riscv │ ├── object.vmem │ └── pocl.log ├── 4x8 │ ├── BFS_1_0.data │ ├── BFS_1_0.log │ ├── BFS_1_0.metadata │ ├── BFS_1_1.data │ ├── BFS_1_1.log │ ├── BFS_1_1.metadata │ ├── BFS_1_2.data │ ├── BFS_1_2.log │ ├── BFS_1_2.metadata │ ├── BFS_1_3.data │ ├── BFS_1_3.log │ ├── BFS_1_3.metadata │ ├── BFS_2_0.data │ ├── BFS_2_0.log │ ├── BFS_2_0.metadata │ ├── BFS_2_1.data │ ├── BFS_2_1.log │ ├── BFS_2_1.metadata │ ├── BFS_2_2.data │ ├── BFS_2_2.log │ ├── BFS_2_2.metadata │ ├── BFS_2_3.data │ ├── BFS_2_3.log │ ├── BFS_2_3.metadata │ └── pocl.log ├── 8w4t │ ├── BFS_1_0.data │ ├── BFS_1_0.log │ ├── BFS_1_0.metadata │ ├── BFS_1_1.data │ ├── BFS_1_1.log │ ├── BFS_1_1.metadata │ ├── BFS_1_2.data │ ├── BFS_1_2.log │ ├── BFS_1_2.metadata │ ├── BFS_1_3.data │ ├── BFS_1_3.log │ ├── BFS_1_3.metadata │ ├── BFS_2_0.data │ ├── BFS_2_0.log │ ├── BFS_2_0.metadata │ ├── BFS_2_1.data │ ├── BFS_2_1.log │ ├── BFS_2_1.metadata │ ├── BFS_2_2.data │ ├── BFS_2_2.log │ ├── BFS_2_2.metadata │ ├── BFS_2_3.data │ ├── BFS_2_3.log │ ├── BFS_2_3.metadata │ └── pocl.log ├── Makefile └── tc.v ├── tc_gaussian ├── 1w16t │ ├── Fan1_0.data │ ├── Fan1_0.log │ ├── Fan1_0.metadata │ ├── Fan1_1.data │ ├── Fan1_1.log │ ├── Fan1_1.metadata │ ├── Fan1_2.data │ ├── Fan1_2.log │ ├── Fan1_2.metadata │ ├── Fan2_0.data │ ├── Fan2_0.log │ ├── Fan2_0.metadata │ ├── Fan2_1.data │ ├── Fan2_1.log │ ├── Fan2_1.metadata │ ├── Fan2_2.data │ ├── Fan2_2.log │ ├── Fan2_2.metadata │ ├── object.cl │ ├── object.dump │ ├── object.riscv │ ├── object.vmem │ └── pocl.log ├── 2x8 │ ├── Fan1_0.data │ ├── Fan1_0.log │ ├── Fan1_0.metadata │ ├── Fan1_1.data │ ├── Fan1_1.log │ ├── Fan1_1.metadata │ ├── Fan1_2.data │ ├── Fan1_2.log │ ├── Fan1_2.metadata │ ├── Fan2_0.data │ ├── Fan2_0.log │ ├── Fan2_0.metadata │ ├── Fan2_1.data │ ├── Fan2_1.log │ ├── Fan2_1.metadata │ ├── Fan2_2.data │ ├── Fan2_2.log │ ├── Fan2_2.metadata │ ├── object.cl │ ├── object.dump │ ├── object.riscv │ └── object.vmem ├── 4x4 │ ├── Fan1.data │ ├── Fan1.metadata │ ├── Fan1_0.data │ ├── Fan1_0.log │ ├── Fan1_0.metadata │ ├── Fan1_1.data │ ├── Fan1_1.log │ ├── Fan1_1.metadata │ ├── Fan1_2.data │ ├── Fan1_2.log │ ├── Fan1_2.metadata │ ├── Fan2.data │ ├── Fan2.metadata │ ├── Fan2_0.data │ ├── Fan2_0.log │ ├── Fan2_0.metadata │ ├── Fan2_1.data │ ├── Fan2_1.log │ ├── Fan2_1.metadata │ ├── Fan2_2.data │ ├── Fan2_2.log │ ├── Fan2_2.metadata │ ├── object.cl │ ├── object.dump │ ├── object.riscv │ ├── object.vmem │ └── pocl.log ├── 4x8 │ ├── Fan1_0.data │ ├── Fan1_0.log │ ├── Fan1_0.metadata │ ├── Fan1_1.data │ ├── Fan1_1.log │ ├── Fan1_1.metadata │ ├── Fan1_2.data │ ├── Fan1_2.log │ ├── Fan1_2.metadata │ ├── Fan1_3.data │ ├── Fan1_3.log │ ├── Fan1_3.metadata │ ├── Fan2_0.data │ ├── Fan2_0.log │ ├── Fan2_0.metadata │ ├── Fan2_1.data │ ├── Fan2_1.log │ ├── Fan2_1.metadata │ ├── Fan2_2.data │ ├── Fan2_2.log │ ├── Fan2_2.metadata │ ├── Fan2_3.data │ ├── Fan2_3.log │ ├── Fan2_3.metadata │ ├── mem.txt │ ├── object.cl │ ├── object.dump │ ├── object.riscv │ ├── object.vmem │ └── pocl.log ├── Makefile └── tc.v ├── tc_matadd ├── 1w16t │ ├── matadd_0.data │ ├── matadd_0.log │ └── matadd_0.metadata ├── 1w32t │ ├── matadd_0.data │ ├── matadd_0.log │ └── matadd_0.metadata ├── 2w8t │ ├── matadd_0.data │ ├── matadd_0.log │ └── matadd_0.metadata ├── 4w4t │ ├── matadd_0.data │ ├── matadd_0.log │ └── matadd_0.metadata ├── Makefile └── tc.v ├── tc_nn ├── 2w16t │ ├── NearestNeighbor_0.data │ ├── NearestNeighbor_0.log │ ├── NearestNeighbor_0.metadata │ └── pocl.log ├── 4x16 │ ├── Makefile │ ├── NearestNeighbor_0.data │ ├── NearestNeighbor_0.log │ ├── NearestNeighbor_0.metadata │ ├── README.txt │ ├── clutils.cpp │ ├── clutils.h │ ├── filelist.txt │ ├── gettimeofday.cpp │ ├── gettimeofday.h │ ├── ipoint.h │ ├── nearestNeighbor.cpp │ ├── nearestNeighbor.h │ ├── nearestNeighbor_kernel.cl │ ├── object.cl │ ├── object.dump │ ├── object.riscv │ ├── object.vmem │ ├── pocl.log │ ├── run │ ├── utils.cpp │ └── utils.h ├── 4x8 │ ├── NearestNeighbor_0.data │ ├── NearestNeighbor_0.log │ ├── NearestNeighbor_0.metadata │ ├── nearestNeighbor.cpp │ ├── nearestNeighbor.h │ ├── nearestNeighbor_kernel.cl │ ├── object.cl │ ├── object.dump │ ├── object.riscv │ ├── object.vmem │ └── pocl.log ├── 8w4t │ ├── NearestNeighbor_0.data │ ├── NearestNeighbor_0.log │ ├── NearestNeighbor_0.metadata │ ├── NearestNeighbor_0_right.log │ └── pocl.log ├── 8x8 │ ├── NearestNeighbor_0.data │ ├── NearestNeighbor_0.log │ ├── NearestNeighbor_0.metadata │ ├── object.cl │ ├── object.dump │ ├── object.riscv │ ├── object.vmem │ └── pocl.log ├── Makefile └── tc.v ├── tc_vecadd ├── 4w16t │ ├── CTestTestfile.cmake │ ├── cmake_install.cmake │ ├── object.cl │ ├── object.dump │ ├── object.riscv │ ├── object.vmem │ ├── vecadd │ ├── vecadd_0.data │ ├── vecadd_0.log │ └── vecadd_0.metadata ├── 4w32t │ ├── object.cl │ ├── object.dump │ ├── object.riscv │ ├── object.vmem │ ├── vecadd │ ├── vecadd_0.data │ ├── vecadd_0.log │ └── vecadd_0.metadata ├── 4x8 │ ├── vecadd │ ├── vecadd_0.data │ ├── vecadd_0.log │ └── vecadd_0.metadata ├── 8w4t │ ├── vecadd_0.data │ ├── vecadd_0.log │ └── vecadd_0.metadata ├── Makefile └── tc.v └── testbench ├── file_list.f ├── gen_clk.v ├── gen_rst.v ├── host_inter.v ├── mem_inter.v ├── print.v ├── run.f └── test_gpu_top_hgx.sv /.github/CODEOWNERS: -------------------------------------------------------------------------------- 1 | # These owners will be the default owners for everything in 2 | # the repo. 3 | * @reoLantern @jialaolian-oss @llhe110 @Jules-Kong 4 | -------------------------------------------------------------------------------- /FPGA_test/README.md: -------------------------------------------------------------------------------- 1 | ### FPGA test框架 2 | 3 | ![输入图片说明](https://github.com/ICer-cxc/ventus-gpgpu-verilog/blob/main/FPGA_test/docs/images/FPGA_test_framework.jpg) 4 | 5 | **MDM**:Microblaze 的 debug 模块,通过 JTAG 接口进行连接,配合 SDK 对 microblaze 调试。 6 | **Microblaze**:软核处理器,系统的控制单元。 7 | **Microblaze Local Memory**:软核的本地内存。 8 | **Microblaze AXI periph**:桥接口,用于连接 Microblaze 与外设。 9 | **CDMA**:中央直接内存访问(CDMA)控制器,能够高效地在 AXI 总线上执行内存读写操作。 10 | **GPGPU**:适应axi协议的 GPGPU。 11 | **GPIO**:通用输入输出接口,用来控制外部设备(LED)的状态。 12 | **Uartlite**:串行通信接口,输出调试信息。 13 | **AXI smc**:高性能的 AXI 互连架构,用于连接多个 AXI 主设备和从设备,管理不同计算单元和内存之间的数据流。 14 | **DDR4 SDRAM**: DDR4 内存。 15 | **GPGPU memory**:DDR 最大从 0x80000000 开始,根据架构划分补充0x70000000 开始的 2M 内存作为 local memory,如下图根据内存空间分配和映射分配地址。 16 | 17 | ![输入图片说明](https://github.com/ICer-cxc/ventus-gpgpu-verilog/blob/main/FPGA_test/docs/images/memory_model.jpg) 18 | 19 | 开发工具 SDK 编译的驱动程序最终生成 .elf 的可执行文件(包括 Miceroblaze 处理器上的指令和数据),通过 JTAG 接口,SDK 将 .elf 通过 MDM 上传到 Microblaze 内存(Microblaze local memory)中。Microblaze 开始执行,向DDR、gpu、CDMA 等外设写数据和指令。GPGPU 和 CMDA 通过 AXI smc能访问到固定范围的 DDR,GPGPU 执行完后,Microblaze 读取存放结果的地址进行验证后,控制 GPIO 将 LED 点亮。 20 | ### 如何创建vivado项目 21 | 1.下载 [ventus-gpgpu-verilog](https://github.com/THU-DSP-LAB/ventus-gpgpu-verilog) 仓下的`src` 文件夹,根据不同测例需修改 `define.v` 文件里面的 warp 和 thread 数 22 | 2.在 Vivado 的 Tcl 窗口输入,这将耗费很长时间生成比特流 23 | `source ventus_fpga.tcl` 24 | 3. vivado 里 launch SDK 25 | 4.创建一个项目,并将 `driver` 文件夹中的文件导入 26 | 5. FPGA 上运行程序 27 | -------------------------------------------------------------------------------- /FPGA_test/docs/images/FPGA_test_framework.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/FPGA_test/docs/images/FPGA_test_framework.jpg -------------------------------------------------------------------------------- /FPGA_test/docs/images/memory_model.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/FPGA_test/docs/images/memory_model.jpg -------------------------------------------------------------------------------- /FPGA_test/driver/metadata.h: -------------------------------------------------------------------------------- 1 | 2 | uint32_t metadata[128]={ 3 | 0x80000000, 4 | 0x00000000, 5 | 0x00000000, 6 | 0x00000000, 7 | 0x00000001, 8 | 0x00000000, 9 | 0x00000001, 10 | 0x00000000, 11 | 0x00000001, 12 | 0x00000000, 13 | 0x00000008, 14 | 0x00000000, 15 | 0x00000004, 16 | 0x00000000, 17 | 0x90024000, 18 | 0x00000000, 19 | 0x00001000, 20 | 0x00000000, 21 | 0x00001000, 22 | 0x00000000, 23 | 0x00000040, 24 | 0x00000000, 25 | 0x00000040, 26 | 0x00000000, 27 | 0x90004000, 28 | 0x00000000, 29 | 0x00000007, 30 | 0x00000000, 31 | 0x90000000, 32 | 0x00000000, 33 | 0x90001000, 34 | 0x00000000, 35 | 0x90002000, 36 | 0x00000000, 37 | 0x90003000, 38 | 0x00000000, 39 | 0x80000000, 40 | 0x00000000, 41 | 0x90004000, 42 | 0x00000000, 43 | 0x90024000, 44 | 0x00000000, 45 | 0x00000080, 46 | 0x00000000, 47 | 0x00000080, 48 | 0x00000000, 49 | 0x00000080, 50 | 0x00000000, 51 | 0x0000000c, 52 | 0x00000000, 53 | 0x00000410, 54 | 0x00000000, 55 | 0x00000000, 56 | 0x00000000, 57 | 0x00000040, 58 | 0x00000000, 59 | 0x00000080, 60 | 0x00000000, 61 | 0x00000080, 62 | 0x00000000, 63 | 0x00000080, 64 | 0x00000000, 65 | 0x0000000c, 66 | 0x00000000, 67 | 0x10000000, 68 | 0x00000000, 69 | 0x00020000, 70 | 0x00000000, 71 | 0x00000040, 72 | 0x00000000, 73 | }; 74 | -------------------------------------------------------------------------------- /docs/images/ChinaCore_logo.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/docs/images/ChinaCore_logo.jpg -------------------------------------------------------------------------------- /docs/images/compile_example.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/docs/images/compile_example.jpg -------------------------------------------------------------------------------- /docs/images/ventus_verilog_arch1.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/docs/images/ventus_verilog_arch1.png -------------------------------------------------------------------------------- /docs/images/ventus_verilog_arch2.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/docs/images/ventus_verilog_arch2.png -------------------------------------------------------------------------------- /docs/ventus-gpgpu-verilog-release-v1.0-spec.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/docs/ventus-gpgpu-verilog-release-v1.0-spec.pdf -------------------------------------------------------------------------------- /src/common_cell/bin2one.v: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (c) 2023-2024 C*Core Technology Co.,Ltd,Suzhou. 3 | * Ventus-RTL is licensed under Mulan PSL v2. 4 | * You can use this software according to the terms and conditions of the Mulan PSL v2. 5 | * You may obtain a copy of Mulan PSL v2 at: 6 | * http://license.coscl.org.cn/MulanPSL2 7 | * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 8 | * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 9 | * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 10 | * See the Mulan PSL v2 for more details. */ 11 | // Author: Tan, Zhiyuan 12 | // Description: 13 | 14 | `timescale 1ns/1ns 15 | 16 | module bin2one #( 17 | parameter ONE_WIDTH = 4, 18 | parameter BIN_WIDTH = 2 19 | ) 20 | ( 21 | input [BIN_WIDTH-1:0] bin , 22 | output [ONE_WIDTH-1:0] oh 23 | ); 24 | 25 | assign oh = ({{(ONE_WIDTH-1){1'b0}},1'b1}<LSB 13 | 14 | `timescale 1ns/1ns 15 | 16 | module find_first #( 17 | parameter DATA_WIDTH = 8, 18 | parameter DATA_DEPTH = 3 19 | ) 20 | ( 21 | input [DATA_WIDTH-1:0] data_i, 22 | input target,//fine one or zero 23 | output [DATA_DEPTH-1:0] data_o 24 | ); 25 | 26 | wire [DATA_DEPTH-1:0] data_range [0:DATA_WIDTH]; 27 | 28 | assign data_range[0] = 'h0; 29 | 30 | genvar i; 31 | generate for(i=0;i offset_mask = 0111 27 | 28 | assign pc_aligned_o = pc_i & (~offset_mask); 29 | 30 | genvar i; 31 | generate for(i=0;i<`NUM_FETCH;i=i+1) begin:B1 //e.g. num_fetch=2,pc_i=28=00011100 32 | assign pc_mask_o[i] = (pc_aligned_o + (i<<2) >= pc_i) ? 1'h1 : 1'h0; // => pc_aligned_o = 24 = 00011000, 33 | end // => pc_mask_o = 10; 34 | endgenerate 35 | 36 | endmodule 37 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/common/file_list.f: -------------------------------------------------------------------------------- 1 | ../common/host_inter.sv 2 | ../common/test_gpu_axi_top.sv 3 | ../common/gen_rst.v 4 | ../common/gen_clk.v 5 | ../common/axi_ram.sv 6 | ./tc.v 7 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/common/gen_clk.v: -------------------------------------------------------------------------------- 1 | 2 | `timescale 1ns / 1ps 3 | 4 | module gen_clk( 5 | output clk 6 | ); 7 | 8 | localparam PERIOD = 10.0; 9 | 10 | reg clk_r; 11 | initial begin 12 | clk_r = 1'b0; 13 | while(1) begin 14 | #(PERIOD/2) clk_r = ~clk_r; 15 | end 16 | end 17 | 18 | assign clk = clk_r; 19 | 20 | endmodule 21 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/common/gen_rst.v: -------------------------------------------------------------------------------- 1 | 2 | module gen_rst( 3 | output rst_n, 4 | input clk 5 | ); 6 | //----------------------- 7 | localparam RST_CYCLE_N = 2; 8 | 9 | reg rst_n_r; 10 | initial begin 11 | rst_n_r = 0; 12 | repeat(RST_CYCLE_N) 13 | @(posedge clk) 14 | rst_n_r = 1'b1; 15 | end 16 | 17 | assign rst_n = rst_n_r; 18 | 19 | endmodule 20 | 21 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/common/run.f: -------------------------------------------------------------------------------- 1 | -assert svaext 2 | +v2k 3 | -2012 4 | +libext+.v+.V+.vlib+.vc 5 | -sverilog +systemverilog+.sv +systemverilogext+.v 6 | -debug_acc+dmptf+all -debug_region+cell+encrypt 7 | -debug_access+all 8 | +bus_conflict_off 9 | +notimingcheck 10 | +nospecify 11 | 12 | // t28 mem enable 13 | //+define+T28_MEM 14 | 15 | //=================== 16 | // Top 17 | //=================== 18 | -top test_gpu_axi_top 19 | 20 | //=================== 21 | // Testbench 22 | //=================== 23 | -f ../common/file_list.f 24 | 25 | //=================== 26 | // Include 27 | //=================== 28 | +incdir+../../../src/define/ 29 | 30 | //=================== 31 | // RTL 32 | //=================== 33 | -f ../../../src/gpgpu_top/model_list 34 | 35 | //=================== 36 | // t28 Mem 37 | //=================== 38 | //-f ../../../t28_mem/model_list 39 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/Makefile: -------------------------------------------------------------------------------- 1 | 2 | help: 3 | @echo "========= help ==================" 4 | @echo "make run-vcs-8w4t " 5 | @echo "make run-vcs-4w8t " 6 | @echo "make run-vcs-2w16t " 7 | @echo "make run-vcs-4w32t " 8 | @echo "make verdi " 9 | @echo "make clean " 10 | @echo "=================================" 11 | 12 | run-vcs-8w4t: 13 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_8W4T 14 | 15 | run-vcs-4w8t: 16 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_4W8T 17 | 18 | run-vcs-2w16t: 19 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_2W16T 20 | 21 | run-vcs-4w32t: 22 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_4W32T 23 | 24 | verdi: 25 | verdi -f ../common/run.f -ssf ./test.fsdb & 26 | 27 | clean: 28 | rm -r ./simv* ./csrc ./verdiLog ./ucli* ./*.fsdb ./DVE* ./nova* ./*.h AN.DB 29 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/2w16t/BFS_1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 0000000a 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 90004000 38 | 00000000 39 | 90005000 40 | 00000000 41 | 90007000 42 | 00000000 43 | 80000000 44 | 00000000 45 | 90008000 46 | 00000000 47 | 90028000 48 | 00000000 49 | 00000100 50 | 00000000 51 | 00000308 52 | 00000000 53 | 00000020 54 | 00000000 55 | 00000020 56 | 00000000 57 | 00000020 58 | 00000000 59 | 00000080 60 | 00000000 61 | 0000001c 62 | 00000000 63 | 000005e8 64 | 00000000 65 | 00000000 66 | 00000000 67 | 00000040 68 | 00000000 69 | 00000100 70 | 00000000 71 | 00000308 72 | 00000000 73 | 00000020 74 | 00000000 75 | 00000020 76 | 00000000 77 | 00000020 78 | 00000000 79 | 00000080 80 | 00000000 81 | 0000001c 82 | 00000000 83 | 10000000 84 | 00000000 85 | 00020000 86 | 00000000 87 | 00000040 88 | 00000000 89 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/2w16t/BFS_1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/2w16t/BFS_1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/2w16t/BFS_1_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/2w16t/BFS_2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000005 28 | 00000000 29 | 90006000 30 | 00000000 31 | 90007000 32 | 00000000 33 | 80000000 34 | 00000000 35 | 90008000 36 | 00000000 37 | 90028000 38 | 00000000 39 | 00000001 40 | 00000000 41 | 00000014 42 | 00000000 43 | 000005e8 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000040 48 | 00000000 49 | 00000001 50 | 00000000 51 | 00000014 52 | 00000000 53 | 10000000 54 | 00000000 55 | 00020000 56 | 00000000 57 | 00000040 58 | 00000000 59 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/2w16t/BFS_2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/2w16t/BFS_2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/2w16t/BFS_2_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/BFS_1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90088000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 0000000a 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 90004000 38 | 00000000 39 | 90005000 40 | 00000000 41 | 90007000 42 | 00000000 43 | 80000000 44 | 00000000 45 | 90008000 46 | 00000000 47 | 90088000 48 | 00000000 49 | 00000400 50 | 00000000 51 | 00000cb0 52 | 00000000 53 | 00000080 54 | 00000000 55 | 00000080 56 | 00000000 57 | 00000080 58 | 00000000 59 | 00000200 60 | 00000000 61 | 0000001c 62 | 00000000 63 | 00000564 64 | 00000000 65 | 00000000 66 | 00000000 67 | 00000040 68 | 00000000 69 | 00000400 70 | 00000000 71 | 00000cb0 72 | 00000000 73 | 00000080 74 | 00000000 75 | 00000080 76 | 00000000 77 | 00000080 78 | 00000000 79 | 00000200 80 | 00000000 81 | 0000001c 82 | 00000000 83 | 10000000 84 | 00000000 85 | 00080000 86 | 00000000 87 | 00000040 88 | 00000000 89 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/BFS_1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9018c000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9010c000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9010b000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9010c000 34 | 00000000 35 | 9018c000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/BFS_1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90290000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90210000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9020f000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90210000 34 | 00000000 35 | 90290000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/BFS_1_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90394000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90314000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90313000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90314000 34 | 00000000 35 | 90394000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/BFS_1_4.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90498000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90418000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90417000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90418000 34 | 00000000 35 | 90498000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/BFS_2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9010a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9008a000 26 | 00000000 27 | 00000005 28 | 00000000 29 | 90006000 30 | 00000000 31 | 90089000 32 | 00000000 33 | 80000000 34 | 00000000 35 | 9008a000 36 | 00000000 37 | 9010a000 38 | 00000000 39 | 00000001 40 | 00000000 41 | 00000014 42 | 00000000 43 | 00000564 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000040 48 | 00000000 49 | 00000001 50 | 00000000 51 | 00000014 52 | 00000000 53 | 10000000 54 | 00000000 55 | 00080000 56 | 00000000 57 | 00000040 58 | 00000000 59 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/BFS_2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9020e000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9018e000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9018d000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9018e000 34 | 00000000 35 | 9020e000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/BFS_2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90312000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90292000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90291000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90292000 34 | 00000000 35 | 90312000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/BFS_2_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90416000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90396000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90395000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90396000 34 | 00000000 35 | 90416000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/BFS_2_4.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9051a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9049a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90499000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9049a000 34 | 00000000 35 | 9051a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x32/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x8/BFS_1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 0000000a 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 90004000 38 | 00000000 39 | 90005000 40 | 00000000 41 | 90007000 42 | 00000000 43 | 80000000 44 | 00000000 45 | 90008000 46 | 00000000 47 | 90028000 48 | 00000000 49 | 00000100 50 | 00000000 51 | 00000308 52 | 00000000 53 | 00000020 54 | 00000000 55 | 00000020 56 | 00000000 57 | 00000020 58 | 00000000 59 | 00000080 60 | 00000000 61 | 0000001c 62 | 00000000 63 | 000005e8 64 | 00000000 65 | 00000000 66 | 00000000 67 | 00000040 68 | 00000000 69 | 00000100 70 | 00000000 71 | 00000308 72 | 00000000 73 | 00000020 74 | 00000000 75 | 00000020 76 | 00000000 77 | 00000020 78 | 00000000 79 | 00000080 80 | 00000000 81 | 0000001c 82 | 00000000 83 | 10000000 84 | 00000000 85 | 00020000 86 | 00000000 87 | 00000040 88 | 00000000 89 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x8/BFS_1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x8/BFS_1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x8/BFS_1_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x8/BFS_2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000005 28 | 00000000 29 | 90006000 30 | 00000000 31 | 90007000 32 | 00000000 33 | 80000000 34 | 00000000 35 | 90008000 36 | 00000000 37 | 90028000 38 | 00000000 39 | 00000001 40 | 00000000 41 | 00000014 42 | 00000000 43 | 000005e8 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000040 48 | 00000000 49 | 00000001 50 | 00000000 51 | 00000014 52 | 00000000 53 | 10000000 54 | 00000000 55 | 00020000 56 | 00000000 57 | 00000040 58 | 00000000 59 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x8/BFS_2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x8/BFS_2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/4x8/BFS_2_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/8w4t/BFS_1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 0000000a 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 90004000 38 | 00000000 39 | 90005000 40 | 00000000 41 | 90007000 42 | 00000000 43 | 80000000 44 | 00000000 45 | 90008000 46 | 00000000 47 | 90028000 48 | 00000000 49 | 00000100 50 | 00000000 51 | 00000308 52 | 00000000 53 | 00000020 54 | 00000000 55 | 00000020 56 | 00000000 57 | 00000020 58 | 00000000 59 | 00000080 60 | 00000000 61 | 0000001c 62 | 00000000 63 | 000005e8 64 | 00000000 65 | 00000000 66 | 00000000 67 | 00000040 68 | 00000000 69 | 00000100 70 | 00000000 71 | 00000308 72 | 00000000 73 | 00000020 74 | 00000000 75 | 00000020 76 | 00000000 77 | 00000020 78 | 00000000 79 | 00000080 80 | 00000000 81 | 0000001c 82 | 00000000 83 | 10000000 84 | 00000000 85 | 00020000 86 | 00000000 87 | 00000040 88 | 00000000 89 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/8w4t/BFS_1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/8w4t/BFS_1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/8w4t/BFS_1_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/8w4t/BFS_2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000005 28 | 00000000 29 | 90006000 30 | 00000000 31 | 90007000 32 | 00000000 33 | 80000000 34 | 00000000 35 | 90008000 36 | 00000000 37 | 90028000 38 | 00000000 39 | 00000001 40 | 00000000 41 | 00000014 42 | 00000000 43 | 000005e8 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000040 48 | 00000000 49 | 00000001 50 | 00000000 51 | 00000014 52 | 00000000 53 | 10000000 54 | 00000000 55 | 00020000 56 | 00000000 57 | 00000040 58 | 00000000 59 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/8w4t/BFS_2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/8w4t/BFS_2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_bfs/softdata/8w4t/BFS_2_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/Makefile: -------------------------------------------------------------------------------- 1 | 2 | help: 3 | @echo "========= help ==================" 4 | @echo "make run-vcs-4w4t " 5 | @echo "make run-vcs-2w8t " 6 | @echo "make run-vcs-4w8t " 7 | @echo "make run-vcs-1w16t " 8 | @echo "make verdi " 9 | @echo "make clean " 10 | @echo "=================================" 11 | 12 | run-vcs-4w4t: 13 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_4W4T 14 | 15 | run-vcs-2w8t: 16 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_2W8T 17 | 18 | run-vcs-4w8t: 19 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_4W8T 20 | 21 | run-vcs-1w16t: 22 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_1W16T 23 | 24 | verdi: 25 | verdi -f ../common/run.f -ssf ./test.fsdb & 26 | 27 | clean: 28 | rm -r ./simv* ./csrc ./verdiLog ./ucli* ./*.fsdb ./DVE* ./nova* ./*.h AN.DB 29 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/1w16t/Fan1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90014000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90014000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000010 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005a8 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000010 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00010000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/1w16t/Fan1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90038000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90028000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90027000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90028000 34 | 00000000 35 | 90038000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/1w16t/Fan1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 9005c000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9004c000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9004b000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9004c000 34 | 00000000 35 | 9005c000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/1w16t/Fan2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90026000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90016000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90015000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90016000 34 | 00000000 35 | 90026000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/1w16t/Fan2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 9004a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9003a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90039000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9003a000 34 | 00000000 35 | 9004a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/1w16t/Fan2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 9006e000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9005e000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9005d000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9005e000 34 | 00000000 35 | 9006e000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/1w16t/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_gaussian/softdata/1w16t/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/2x8/Fan1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 9000c000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 9000c000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000010 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005dc 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000010 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00008000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/2x8/Fan1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90020000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9001f000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90020000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005dc 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00008000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/2x8/Fan1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90044000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9003c000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9003b000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9003c000 34 | 00000000 35 | 90044000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005dc 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00008000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/2x8/Fan2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000002 14 | 00000000 15 | 9001e000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9000e000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9000d000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9000e000 34 | 00000000 35 | 9001e000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005dc 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/2x8/Fan2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000002 14 | 00000000 15 | 9003a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9002a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90029000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9002a000 34 | 00000000 35 | 9003a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005dc 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/2x8/Fan2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90056000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90046000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90045000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90046000 34 | 00000000 35 | 90056000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005dc 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/2x8/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_gaussian/softdata/2x8/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x4/Fan1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000001 14 | 00000000 15 | 900ac000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000020 22 | 00000000 23 | 00000020 24 | 00000000 25 | 9008c000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 9008b000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 9008c000 40 | 00000000 41 | 900ac000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000010 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005d0 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000010 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00020000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x4/Fan1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90008000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90008000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000010 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005a8 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000010 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00004000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x4/Fan1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90020000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9001c000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9001b000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9001c000 34 | 00000000 35 | 90020000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00004000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x4/Fan1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90038000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90034000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90033000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90034000 34 | 00000000 35 | 90038000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00004000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x4/Fan2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000001 14 | 00000000 15 | 900ce000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000020 22 | 00000000 23 | 00000020 24 | 00000000 25 | 900ae000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 900ad000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 900ae000 40 | 00000000 41 | 900ce000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000010 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005d0 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000010 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00020000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x4/Fan2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9001a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9000a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90009000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9000a000 34 | 00000000 35 | 9001a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x4/Fan2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90032000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90022000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90021000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90022000 34 | 00000000 35 | 90032000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x4/Fan2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9004a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9003a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90039000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9003a000 34 | 00000000 35 | 9004a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x4/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x4/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x8/Fan1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 9000c000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 9000c000 42 | 00000000 43 | 00000064 44 | 00000000 45 | 00000064 46 | 00000000 47 | 00000014 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005a8 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000064 58 | 00000000 59 | 00000064 60 | 00000000 61 | 00000014 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00008000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x8/Fan1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90038000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90030000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9002f000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90030000 34 | 00000000 35 | 90038000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00008000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x8/Fan1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90064000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9005c000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9005b000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9005c000 34 | 00000000 35 | 90064000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00008000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x8/Fan1_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90090000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90088000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90087000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90088000 34 | 00000000 35 | 90090000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00008000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x8/Fan2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9002e000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9000e000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9000d000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9000e000 34 | 00000000 35 | 9002e000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x8/Fan2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9005a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9003a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90039000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9003a000 34 | 00000000 35 | 9005a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x8/Fan2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90086000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90066000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90065000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90066000 34 | 00000000 35 | 90086000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x8/Fan2_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 900b2000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90092000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90091000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90092000 34 | 00000000 35 | 900b2000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x8/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_gaussian/softdata/4x8/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_matadd/Makefile: -------------------------------------------------------------------------------- 1 | 2 | help: 3 | @echo "========= help ==================" 4 | @echo "make run-vcs-4w4t " 5 | @echo "make run-vcs-2w8t " 6 | @echo "make run-vcs-1w16t " 7 | @echo "make run-vcs-1w32t " 8 | @echo "make verdi " 9 | @echo "make clean " 10 | @echo "=================================" 11 | 12 | run-vcs-4w4t: 13 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_4W4T 14 | 15 | run-vcs-2w8t: 16 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_2W8T 17 | 18 | run-vcs-1w16t: 19 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_1W16T 20 | 21 | run-vcs-1w32t: 22 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_1W32T 23 | 24 | verdi: 25 | verdi -f ../common/run.f -ssf ./test.fsdb & 26 | 27 | clean: 28 | rm -r ./simv* ./csrc ./verdiLog ./ucli* ./*.fsdb ./DVE* ./nova* ./*.h AN.DB 29 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_matadd/softdata/1w16t/matadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90014000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90014000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000040 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 0000054c 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000040 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00010000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_matadd/softdata/1w32t/matadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90014000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90014000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000040 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 0000054c 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000040 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00010000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_matadd/softdata/2w8t/matadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90014000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90014000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000040 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 0000054c 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000040 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00010000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_matadd/softdata/4w4t/matadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90014000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90014000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000040 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 0000054c 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000040 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00010000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/Makefile: -------------------------------------------------------------------------------- 1 | 2 | help: 3 | @echo "========= help ==================" 4 | @echo "make run-vcs-8w4t " 5 | @echo "make run-vcs-4w8t " 6 | @echo "make run-vcs-8w8t " 7 | @echo "make run-vcs-2w16t " 8 | @echo "make run-vcs-4w16t " 9 | @echo "make verdi " 10 | @echo "make clean " 11 | @echo "=================================" 12 | 13 | run-vcs-8w4t: 14 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_8W4T 15 | 16 | run-vcs-4w8t: 17 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_4W8T 18 | 19 | run-vcs-8w8t: 20 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_8W8T 21 | 22 | run-vcs-2w16t: 23 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_2W16T 24 | 25 | run-vcs-4w16t: 26 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_4W16T 27 | 28 | verdi: 29 | verdi -f ../common/run.f -ssf ./test.fsdb & 30 | 31 | clean: 32 | rm -r ./simv* ./csrc ./verdiLog ./ucli* ./*.fsdb ./DVE* ./nova* ./*.h AN.DB 33 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/2w16t/NearestNeighbor_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90023000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90003000 26 | 00000000 27 | 00000006 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 80000000 36 | 00000000 37 | 90003000 38 | 00000000 39 | 90023000 40 | 00000000 41 | 00000098 42 | 00000000 43 | 0000004c 44 | 00000000 45 | 00000014 46 | 00000000 47 | 0000050c 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000040 52 | 00000000 53 | 00000098 54 | 00000000 55 | 0000004c 56 | 00000000 57 | 00000014 58 | 00000000 59 | 10000000 60 | 00000000 61 | 00020000 62 | 00000000 63 | 00000040 64 | 00000000 65 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/Makefile: -------------------------------------------------------------------------------- 1 | include ../../common/make.config 2 | 3 | #Can be changed by `make TYPE=CPU` 4 | TYPE = GPU 5 | 6 | #C compiler 7 | CC = clang++ 8 | 9 | SRC = nearestNeighbor.cpp clutils.cpp utils.cpp 10 | 11 | CC_FLAGS = -g -O3 12 | 13 | EXE = nn.out 14 | 15 | release: 16 | $(CC) $(CC_FLAGS) $(SRC) -o $(EXE) \ 17 | -I$(OPENCL_INC) -L$(OPENCL_LIB) -lOpenCL \ 18 | -I../util -DTIMING \ 19 | -Wno-unused-result 20 | 21 | clean: 22 | rm -f $(EXE) 23 | 24 | run: release 25 | ./$(EXE) filelist.txt -r 5 -lat 30 -lng 90 -t -f ../../data -p 0 -d 0 26 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/NearestNeighbor_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90043000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90003000 26 | 00000000 27 | 00000006 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 80000000 36 | 00000000 37 | 90003000 38 | 00000000 39 | 90043000 40 | 00000000 41 | 000001a8 42 | 00000000 43 | 000000d4 44 | 00000000 45 | 00000014 46 | 00000000 47 | 0000048c 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000040 52 | 00000000 53 | 000001a8 54 | 00000000 55 | 000000d4 56 | 00000000 57 | 00000014 58 | 00000000 59 | 10000000 60 | 00000000 61 | 00040000 62 | 00000000 63 | 00000040 64 | 00000000 65 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/filelist.txt: -------------------------------------------------------------------------------- 1 | nn/cane4_0.db 2 | nn/cane4_1.db 3 | nn/cane4_2.db 4 | nn/cane4_3.db 5 | 6 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/gettimeofday.h: -------------------------------------------------------------------------------- 1 | 2 | #ifdef _WIN32 3 | #include 4 | /** 5 | Based on code seen at. 6 | 7 | http://www.winehq.org/pipermail/wine-devel/2003-June/018082.html 8 | 9 | http://msdn.microsoft.com/en-us/library/ms740560 10 | 11 | */ 12 | int gettimeofday(struct timeval *tv, struct timezone *tz); 13 | #else 14 | #include 15 | #endif 16 | 17 | 18 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/ipoint.h: -------------------------------------------------------------------------------- 1 | /*********************************************************** 2 | * --- OpenSURF --- * 3 | * This library is distributed under the GNU GPL. Please * 4 | * contact chris.evans@irisys.co.uk for more information. * 5 | * * 6 | * C. Evans, Research Into Robust Visual Features, * 7 | * MSc University of Bristol, 2008. * 8 | * * 9 | ************************************************************/ 10 | 11 | #ifndef IPOINT_H 12 | #define IPOINT_H 13 | 14 | #include 15 | #include 16 | 17 | 18 | 19 | //------------------------------------------------------- 20 | typedef struct{ 21 | int x; 22 | int y; 23 | float descriptor[64]; 24 | } Ipoint; 25 | 26 | //------------------------------------------------------- 27 | 28 | typedef std::vector IpVec; 29 | #endif 30 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/nearestNeighbor.h: -------------------------------------------------------------------------------- 1 | #ifndef _NEARESTNEIGHBOR 2 | #define _NEARESTNEIGHBOR 3 | 4 | #include 5 | #include 6 | #include 7 | #include 8 | #include 9 | #include 10 | 11 | // All OpenCL headers 12 | #if defined (__APPLE__) || defined(MACOSX) 13 | #include 14 | #else 15 | #include 16 | #endif 17 | 18 | #include "clutils.h" 19 | //#include "utils.h" 20 | 21 | #include 22 | 23 | 24 | 25 | #define REC_LENGTH 49 // size of a record in db 26 | 27 | typedef struct latLong 28 | { 29 | float lat; 30 | float lng; 31 | } LatLong; 32 | 33 | typedef struct record 34 | { 35 | char recString[REC_LENGTH]; 36 | float distance; 37 | } Record; 38 | 39 | float *OpenClFindNearestNeighbors( 40 | cl_context context, 41 | int numRecords, 42 | std::vector &locations,float lat,float lng, 43 | int timing); 44 | 45 | int loadData(char *filename,std::vector &records,std::vector &locations); 46 | void findLowest(std::vector &records,float *distances,int numRecords,int topN); 47 | void printUsage(); 48 | int parseCommandline(int argc, char *argv[], char* filename,int *r,float *lat,float *lng, 49 | int *q, int *t, int *p, int *d); 50 | #endif 51 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/nearestNeighbor_kernel.cl: -------------------------------------------------------------------------------- 1 | //#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable 2 | 3 | typedef struct latLong 4 | { 5 | float lat; 6 | float lng; 7 | } LatLong; 8 | 9 | __kernel void NearestNeighbor(__global LatLong *d_locations, 10 | __global float *d_distances, 11 | const int numRecords, 12 | const float lat, 13 | const float lng) { 14 | int globalId = get_global_id(0); 15 | 16 | if (globalId < numRecords) { 17 | __global LatLong *latLong = d_locations+globalId; 18 | 19 | __global float *dist=d_distances+globalId; 20 | *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); 21 | } 22 | } -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/object.cl: -------------------------------------------------------------------------------- 1 | //#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable 2 | 3 | typedef struct latLong 4 | { 5 | float lat; 6 | float lng; 7 | } LatLong; 8 | 9 | __kernel void NearestNeighbor(__global LatLong *d_locations, 10 | __global float *d_distances, 11 | const int numRecords, 12 | const float lat, 13 | const float lng) { 14 | int globalId = get_global_id(0); 15 | 16 | if (globalId < numRecords) { 17 | __global LatLong *latLong = d_locations+globalId; 18 | 19 | __global float *dist=d_distances+globalId; 20 | *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); 21 | } 22 | } -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x16/run: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | 3 | ./nn.out filelist.txt -r 5 -lat 30 -lng 90 -f ../../data -t -p 0 -d 0 4 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x8/NearestNeighbor_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90023000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90003000 26 | 00000000 27 | 00000006 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 80000000 36 | 00000000 37 | 90003000 38 | 00000000 39 | 90023000 40 | 00000000 41 | 000000e0 42 | 00000000 43 | 00000070 44 | 00000000 45 | 00000014 46 | 00000000 47 | 00000484 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000040 52 | 00000000 53 | 000000e0 54 | 00000000 55 | 00000070 56 | 00000000 57 | 00000014 58 | 00000000 59 | 10000000 60 | 00000000 61 | 00020000 62 | 00000000 63 | 00000040 64 | 00000000 65 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x8/nearestNeighbor.h: -------------------------------------------------------------------------------- 1 | #ifndef _NEARESTNEIGHBOR 2 | #define _NEARESTNEIGHBOR 3 | 4 | #include 5 | #include 6 | #include 7 | #include 8 | #include 9 | #include 10 | 11 | // All OpenCL headers 12 | #if defined (__APPLE__) || defined(MACOSX) 13 | #include 14 | #else 15 | #include 16 | #endif 17 | 18 | #include "clutils.h" 19 | //#include "utils.h" 20 | 21 | #include 22 | 23 | 24 | 25 | #define REC_LENGTH 49 // size of a record in db 26 | 27 | typedef struct latLong 28 | { 29 | float lat; 30 | float lng; 31 | } LatLong; 32 | 33 | typedef struct record 34 | { 35 | char recString[REC_LENGTH]; 36 | float distance; 37 | } Record; 38 | 39 | float *OpenClFindNearestNeighbors( 40 | cl_context context, 41 | int numRecords, 42 | std::vector &locations,float lat,float lng, 43 | int timing); 44 | 45 | int loadData(char *filename,std::vector &records,std::vector &locations); 46 | void findLowest(std::vector &records,float *distances,int numRecords,int topN); 47 | void printUsage(); 48 | int parseCommandline(int argc, char *argv[], char* filename,int *r,float *lat,float *lng, 49 | int *q, int *t, int *p, int *d); 50 | #endif 51 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x8/nearestNeighbor_kernel.cl: -------------------------------------------------------------------------------- 1 | //#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable 2 | 3 | typedef struct latLong 4 | { 5 | float lat; 6 | float lng; 7 | } LatLong; 8 | 9 | __kernel void NearestNeighbor(__global LatLong *d_locations, 10 | __global float *d_distances, 11 | const int numRecords, 12 | const float lat, 13 | const float lng) { 14 | int globalId = get_global_id(0); 15 | 16 | if (globalId < numRecords) { 17 | __global LatLong *latLong = d_locations+globalId; 18 | 19 | __global float *dist=d_distances+globalId; 20 | *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); 21 | } 22 | } -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x8/object.cl: -------------------------------------------------------------------------------- 1 | //#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable 2 | 3 | typedef struct latLong 4 | { 5 | float lat; 6 | float lng; 7 | } LatLong; 8 | 9 | __kernel void NearestNeighbor(__global LatLong *d_locations, 10 | __global float *d_distances, 11 | const int numRecords, 12 | const float lat, 13 | const float lng) { 14 | int globalId = get_global_id(0); 15 | 16 | if (globalId < numRecords) { 17 | __global LatLong *latLong = d_locations+globalId; 18 | 19 | __global float *dist=d_distances+globalId; 20 | *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); 21 | } 22 | } -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/4x8/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_nn/softdata/4x8/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/8w4t/NearestNeighbor_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90023000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90003000 26 | 00000000 27 | 00000006 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 80000000 36 | 00000000 37 | 90003000 38 | 00000000 39 | 90023000 40 | 00000000 41 | 00000098 42 | 00000000 43 | 0000004c 44 | 00000000 45 | 00000014 46 | 00000000 47 | 0000050c 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000040 52 | 00000000 53 | 00000098 54 | 00000000 55 | 0000004c 56 | 00000000 57 | 00000014 58 | 00000000 59 | 10000000 60 | 00000000 61 | 00020000 62 | 00000000 63 | 00000040 64 | 00000000 65 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/8x8/NearestNeighbor_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90043000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90003000 26 | 00000000 27 | 00000006 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 80000000 36 | 00000000 37 | 90003000 38 | 00000000 39 | 90043000 40 | 00000000 41 | 000001a8 42 | 00000000 43 | 000000d4 44 | 00000000 45 | 00000014 46 | 00000000 47 | 00000484 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000040 52 | 00000000 53 | 000001a8 54 | 00000000 55 | 000000d4 56 | 00000000 57 | 00000014 58 | 00000000 59 | 10000000 60 | 00000000 61 | 00040000 62 | 00000000 63 | 00000040 64 | 00000000 65 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/8x8/object.cl: -------------------------------------------------------------------------------- 1 | //#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable 2 | 3 | typedef struct latLong 4 | { 5 | float lat; 6 | float lng; 7 | } LatLong; 8 | 9 | __kernel void NearestNeighbor(__global LatLong *d_locations, 10 | __global float *d_distances, 11 | const int numRecords, 12 | const float lat, 13 | const float lng) { 14 | int globalId = get_global_id(0); 15 | 16 | if (globalId < numRecords) { 17 | __global LatLong *latLong = d_locations+globalId; 18 | 19 | __global float *dist=d_distances+globalId; 20 | *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); 21 | } 22 | } -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_nn/softdata/8x8/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_nn/softdata/8x8/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/Makefile: -------------------------------------------------------------------------------- 1 | 2 | help: 3 | @echo "========= help ==================" 4 | @echo "make run-vcs-8w4t " 5 | @echo "make run-vcs-4w8t " 6 | @echo "make run-vcs-4w16t " 7 | @echo "make run-vcs-4w32t " 8 | @echo "make verdi " 9 | @echo "make clean " 10 | @echo "=================================" 11 | 12 | run-vcs-8w4t: 13 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_8W4T 14 | 15 | run-vcs-4w8t: 16 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_4W8T 17 | 18 | run-vcs-4w16t: 19 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_4W16T 20 | 21 | run-vcs-4w32t: 22 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../common/run.f -debug_access+all +fsdb+functions -l simv.log +define+CASE_4W32T 23 | 24 | verdi: 25 | verdi -f ../common/run.f -ssf ./test.fsdb & 26 | 27 | clean: 28 | rm -r ./simv* ./csrc ./verdiLog ./ucli* ./*.fsdb ./DVE* ./nova* ./*.h AN.DB 29 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w16t/CTestTestfile.cmake: -------------------------------------------------------------------------------- 1 | # CMake generated Testfile for 2 | # Source directory: /data/eda/work/yangzx/ventus/pocl/examples/vecadd 3 | # Build directory: /data/eda/work/yangzx/ventus/pocl/build/examples/vecadd 4 | # 5 | # This file includes the relevant testing commands required for 6 | # testing this directory and lists subdirectories to be tested as well. 7 | add_test(examples/vecadd "/data/eda/work/yangzx/ventus/pocl/build/examples/vecadd/vecadd") 8 | set_tests_properties(examples/vecadd PROPERTIES COST "3.0" DEPENDS "pocl_version_check" LABELS "internal;vulkan" PASS_REGULAR_EXPRESSION "OK" PROCESSORS "1" _BACKTRACE_TRIPLES "/data/eda/work/yangzx/ventus/pocl/examples/vecadd/CMakeLists.txt;39;add_test;/data/eda/work/yangzx/ventus/pocl/examples/vecadd/CMakeLists.txt;0;") 9 | add_test(examples/vecadd_large_grid "/data/eda/work/yangzx/ventus/pocl/build/examples/vecadd/vecadd" "128000" "128" "10000" "100" "1" "1") 10 | set_tests_properties(examples/vecadd_large_grid PROPERTIES COST "3.0" DEPENDS "pocl_version_check" LABELS "internal;vulkan" PASS_REGULAR_EXPRESSION "OK" PROCESSORS "1" _BACKTRACE_TRIPLES "/data/eda/work/yangzx/ventus/pocl/examples/vecadd/CMakeLists.txt;41;add_test;/data/eda/work/yangzx/ventus/pocl/examples/vecadd/CMakeLists.txt;0;") 11 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w16t/cmake_install.cmake: -------------------------------------------------------------------------------- 1 | # Install script for directory: /data/eda/work/yangzx/ventus/pocl/examples/vecadd 2 | 3 | # Set the install prefix 4 | if(NOT DEFINED CMAKE_INSTALL_PREFIX) 5 | set(CMAKE_INSTALL_PREFIX "/data/eda/work/yangzx/ventus/llvm-project/install") 6 | endif() 7 | string(REGEX REPLACE "/$" "" CMAKE_INSTALL_PREFIX "${CMAKE_INSTALL_PREFIX}") 8 | 9 | # Set the install configuration name. 10 | if(NOT DEFINED CMAKE_INSTALL_CONFIG_NAME) 11 | if(BUILD_TYPE) 12 | string(REGEX REPLACE "^[^A-Za-z0-9_]+" "" 13 | CMAKE_INSTALL_CONFIG_NAME "${BUILD_TYPE}") 14 | else() 15 | set(CMAKE_INSTALL_CONFIG_NAME "Debug") 16 | endif() 17 | message(STATUS "Install configuration: \"${CMAKE_INSTALL_CONFIG_NAME}\"") 18 | endif() 19 | 20 | # Set the component getting installed. 21 | if(NOT CMAKE_INSTALL_COMPONENT) 22 | if(COMPONENT) 23 | message(STATUS "Install component: \"${COMPONENT}\"") 24 | set(CMAKE_INSTALL_COMPONENT "${COMPONENT}") 25 | else() 26 | set(CMAKE_INSTALL_COMPONENT) 27 | endif() 28 | endif() 29 | 30 | # Install shared libraries without execute permission? 31 | if(NOT DEFINED CMAKE_INSTALL_SO_NO_EXE) 32 | set(CMAKE_INSTALL_SO_NO_EXE "1") 33 | endif() 34 | 35 | # Is this installation the result of a crosscompile? 36 | if(NOT DEFINED CMAKE_CROSSCOMPILING) 37 | set(CMAKE_CROSSCOMPILING "FALSE") 38 | endif() 39 | 40 | # Set default install directory permissions. 41 | if(NOT DEFINED CMAKE_OBJDUMP) 42 | set(CMAKE_OBJDUMP "/data/eda/work/yangzx/ventus/llvm-project/install/bin/llvm-objdump") 43 | endif() 44 | 45 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w16t/object.cl: -------------------------------------------------------------------------------- 1 | kernel void 2 | vecadd (__global const float *a, 3 | __global const float *b, 4 | __global float *c) 5 | { 6 | int gid = get_global_id(0); 7 | c[gid] = a[gid] + b[gid]; 8 | } 9 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w16t/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w16t/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w16t/vecadd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w16t/vecadd -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w16t/vecadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90044000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90044000 42 | 00000000 43 | 00000100 44 | 00000000 45 | 00000100 46 | 00000000 47 | 00000100 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 00000410 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000100 58 | 00000000 59 | 00000100 60 | 00000000 61 | 00000100 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00040000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w32t/object.cl: -------------------------------------------------------------------------------- 1 | kernel void 2 | vecadd (__global const float *a, 3 | __global const float *b, 4 | __global float *c) 5 | { 6 | int gid = get_global_id(0); 7 | c[gid] = a[gid] + b[gid]; 8 | } 9 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w32t/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w32t/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w32t/vecadd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w32t/vecadd -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4w32t/vecadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90084000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90084000 42 | 00000000 43 | 00000200 44 | 00000000 45 | 00000200 46 | 00000000 47 | 00000200 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 00000410 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000200 58 | 00000000 59 | 00000200 60 | 00000000 61 | 00000200 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00080000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4x8/vecadd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4x8/vecadd -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/4x8/vecadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90024000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90024000 42 | 00000000 43 | 00000080 44 | 00000000 45 | 00000080 46 | 00000000 47 | 00000080 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 00000410 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000080 58 | 00000000 59 | 00000080 60 | 00000000 61 | 00000080 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00020000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_axi_top/tc_vecadd/softdata/8w4t/vecadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90024000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90024000 42 | 00000000 43 | 00000080 44 | 00000000 45 | 00000080 46 | 00000000 47 | 00000080 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 00000478 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000080 58 | 00000000 59 | 00000080 60 | 00000000 61 | 00000080 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00020000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/2w16t/BFS_1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 0000000a 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 90004000 38 | 00000000 39 | 90005000 40 | 00000000 41 | 90007000 42 | 00000000 43 | 80000000 44 | 00000000 45 | 90008000 46 | 00000000 47 | 90028000 48 | 00000000 49 | 00000100 50 | 00000000 51 | 00000308 52 | 00000000 53 | 00000020 54 | 00000000 55 | 00000020 56 | 00000000 57 | 00000020 58 | 00000000 59 | 00000080 60 | 00000000 61 | 0000001c 62 | 00000000 63 | 000005e8 64 | 00000000 65 | 00000000 66 | 00000000 67 | 00000040 68 | 00000000 69 | 00000100 70 | 00000000 71 | 00000308 72 | 00000000 73 | 00000020 74 | 00000000 75 | 00000020 76 | 00000000 77 | 00000020 78 | 00000000 79 | 00000080 80 | 00000000 81 | 0000001c 82 | 00000000 83 | 10000000 84 | 00000000 85 | 00020000 86 | 00000000 87 | 00000040 88 | 00000000 89 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/2w16t/BFS_1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/2w16t/BFS_1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/2w16t/BFS_1_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/2w16t/BFS_2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000005 28 | 00000000 29 | 90006000 30 | 00000000 31 | 90007000 32 | 00000000 33 | 80000000 34 | 00000000 35 | 90008000 36 | 00000000 37 | 90028000 38 | 00000000 39 | 00000001 40 | 00000000 41 | 00000014 42 | 00000000 43 | 000005e8 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000040 48 | 00000000 49 | 00000001 50 | 00000000 51 | 00000014 52 | 00000000 53 | 10000000 54 | 00000000 55 | 00020000 56 | 00000000 57 | 00000040 58 | 00000000 59 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/2w16t/BFS_2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/2w16t/BFS_2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/2w16t/BFS_2_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/BFS_1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90088000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 0000000a 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 90004000 38 | 00000000 39 | 90005000 40 | 00000000 41 | 90007000 42 | 00000000 43 | 80000000 44 | 00000000 45 | 90008000 46 | 00000000 47 | 90088000 48 | 00000000 49 | 00000400 50 | 00000000 51 | 00000cb0 52 | 00000000 53 | 00000080 54 | 00000000 55 | 00000080 56 | 00000000 57 | 00000080 58 | 00000000 59 | 00000200 60 | 00000000 61 | 0000001c 62 | 00000000 63 | 00000564 64 | 00000000 65 | 00000000 66 | 00000000 67 | 00000040 68 | 00000000 69 | 00000400 70 | 00000000 71 | 00000cb0 72 | 00000000 73 | 00000080 74 | 00000000 75 | 00000080 76 | 00000000 77 | 00000080 78 | 00000000 79 | 00000200 80 | 00000000 81 | 0000001c 82 | 00000000 83 | 10000000 84 | 00000000 85 | 00080000 86 | 00000000 87 | 00000040 88 | 00000000 89 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/BFS_1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9018c000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9010c000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9010b000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9010c000 34 | 00000000 35 | 9018c000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/BFS_1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90290000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90210000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9020f000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90210000 34 | 00000000 35 | 90290000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/BFS_1_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90394000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90314000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90313000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90314000 34 | 00000000 35 | 90394000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/BFS_1_4.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90498000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90418000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90417000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90418000 34 | 00000000 35 | 90498000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/BFS_2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9010a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9008a000 26 | 00000000 27 | 00000005 28 | 00000000 29 | 90006000 30 | 00000000 31 | 90089000 32 | 00000000 33 | 80000000 34 | 00000000 35 | 9008a000 36 | 00000000 37 | 9010a000 38 | 00000000 39 | 00000001 40 | 00000000 41 | 00000014 42 | 00000000 43 | 00000564 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000040 48 | 00000000 49 | 00000001 50 | 00000000 51 | 00000014 52 | 00000000 53 | 10000000 54 | 00000000 55 | 00080000 56 | 00000000 57 | 00000040 58 | 00000000 59 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/BFS_2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9020e000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9018e000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9018d000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9018e000 34 | 00000000 35 | 9020e000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/BFS_2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90312000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90292000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90291000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90292000 34 | 00000000 35 | 90312000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/BFS_2_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90416000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90396000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90395000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90396000 34 | 00000000 35 | 90416000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/BFS_2_4.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9051a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9049a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90499000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9049a000 34 | 00000000 35 | 9051a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 00000564 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00080000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x32/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_bfs/4x32/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x8/BFS_1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 0000000a 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 90004000 38 | 00000000 39 | 90005000 40 | 00000000 41 | 90007000 42 | 00000000 43 | 80000000 44 | 00000000 45 | 90008000 46 | 00000000 47 | 90028000 48 | 00000000 49 | 00000100 50 | 00000000 51 | 00000308 52 | 00000000 53 | 00000020 54 | 00000000 55 | 00000020 56 | 00000000 57 | 00000020 58 | 00000000 59 | 00000080 60 | 00000000 61 | 0000001c 62 | 00000000 63 | 000005e8 64 | 00000000 65 | 00000000 66 | 00000000 67 | 00000040 68 | 00000000 69 | 00000100 70 | 00000000 71 | 00000308 72 | 00000000 73 | 00000020 74 | 00000000 75 | 00000020 76 | 00000000 77 | 00000020 78 | 00000000 79 | 00000080 80 | 00000000 81 | 0000001c 82 | 00000000 83 | 10000000 84 | 00000000 85 | 00020000 86 | 00000000 87 | 00000040 88 | 00000000 89 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x8/BFS_1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x8/BFS_1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x8/BFS_1_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x8/BFS_2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000005 28 | 00000000 29 | 90006000 30 | 00000000 31 | 90007000 32 | 00000000 33 | 80000000 34 | 00000000 35 | 90008000 36 | 00000000 37 | 90028000 38 | 00000000 39 | 00000001 40 | 00000000 41 | 00000014 42 | 00000000 43 | 000005e8 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000040 48 | 00000000 49 | 00000001 50 | 00000000 51 | 00000014 52 | 00000000 53 | 10000000 54 | 00000000 55 | 00020000 56 | 00000000 57 | 00000040 58 | 00000000 59 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x8/BFS_2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x8/BFS_2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/4x8/BFS_2_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/8w4t/BFS_1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 0000000a 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 90004000 38 | 00000000 39 | 90005000 40 | 00000000 41 | 90007000 42 | 00000000 43 | 80000000 44 | 00000000 45 | 90008000 46 | 00000000 47 | 90028000 48 | 00000000 49 | 00000100 50 | 00000000 51 | 00000308 52 | 00000000 53 | 00000020 54 | 00000000 55 | 00000020 56 | 00000000 57 | 00000020 58 | 00000000 59 | 00000080 60 | 00000000 61 | 0000001c 62 | 00000000 63 | 000005e8 64 | 00000000 65 | 00000000 66 | 00000000 67 | 00000040 68 | 00000000 69 | 00000100 70 | 00000000 71 | 00000308 72 | 00000000 73 | 00000020 74 | 00000000 75 | 00000020 76 | 00000000 77 | 00000020 78 | 00000000 79 | 00000080 80 | 00000000 81 | 0000001c 82 | 00000000 83 | 10000000 84 | 00000000 85 | 00020000 86 | 00000000 87 | 00000040 88 | 00000000 89 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/8w4t/BFS_1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/8w4t/BFS_1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/8w4t/BFS_1_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 0000001c 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 0000001c 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/8w4t/BFS_2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000005 28 | 00000000 29 | 90006000 30 | 00000000 31 | 90007000 32 | 00000000 33 | 80000000 34 | 00000000 35 | 90008000 36 | 00000000 37 | 90028000 38 | 00000000 39 | 00000001 40 | 00000000 41 | 00000014 42 | 00000000 43 | 000005e8 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000040 48 | 00000000 49 | 00000001 50 | 00000000 51 | 00000014 52 | 00000000 53 | 10000000 54 | 00000000 55 | 00020000 56 | 00000000 57 | 00000040 58 | 00000000 59 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/8w4t/BFS_2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/8w4t/BFS_2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/8w4t/BFS_2_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90008000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90007000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90008000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005e8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_bfs/Makefile: -------------------------------------------------------------------------------- 1 | 2 | help: 3 | @echo "========= help ==================" 4 | @echo "make run-vcs " 5 | @echo "make verdi " 6 | @echo "make clean " 7 | @echo "=================================" 8 | 9 | run-vcs: 10 | #vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed -R -timescale=1ns/1ps -fsdb -f ../testbench/run.f -debug_access+all -l simv.log 11 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../testbench/run.f -debug_access+all +fsdb+functions -l simv.log 12 | 13 | verdi: 14 | verdi -f ../testbench/run.f -ssf ./test.fsdb & 15 | 16 | clean: 17 | rm -r ./simv* ./csrc ./verdiLog ./ucli* ./*.fsdb ./DVE* ./nova* ./*.h AN.DB 18 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/1w16t/Fan1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90014000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90014000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000010 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005a8 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000010 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00010000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/1w16t/Fan1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90038000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90028000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90027000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90028000 34 | 00000000 35 | 90038000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/1w16t/Fan1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 9005c000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9004c000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9004b000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9004c000 34 | 00000000 35 | 9005c000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/1w16t/Fan2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90026000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90016000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90015000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90016000 34 | 00000000 35 | 90026000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/1w16t/Fan2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 9004a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9003a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90039000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9003a000 34 | 00000000 35 | 9004a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/1w16t/Fan2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 9006e000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9005e000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9005d000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9005e000 34 | 00000000 35 | 9006e000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/1w16t/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_gaussian/1w16t/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/2x8/Fan1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 9000c000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 9000c000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000010 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005dc 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000010 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00008000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/2x8/Fan1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90028000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90020000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9001f000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90020000 34 | 00000000 35 | 90028000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005dc 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00008000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/2x8/Fan1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90044000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9003c000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9003b000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9003c000 34 | 00000000 35 | 90044000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005dc 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00008000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/2x8/Fan2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000002 14 | 00000000 15 | 9001e000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9000e000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9000d000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9000e000 34 | 00000000 35 | 9001e000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005dc 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/2x8/Fan2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000002 14 | 00000000 15 | 9003a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9002a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90029000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9002a000 34 | 00000000 35 | 9003a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005dc 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/2x8/Fan2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90056000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90046000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90045000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90046000 34 | 00000000 35 | 90056000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005dc 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/2x8/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_gaussian/2x8/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x4/Fan1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000001 14 | 00000000 15 | 900ac000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000020 22 | 00000000 23 | 00000020 24 | 00000000 25 | 9008c000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 9008b000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 9008c000 40 | 00000000 41 | 900ac000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000010 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005d0 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000010 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00020000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x4/Fan1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90008000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90008000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000010 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005a8 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000010 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00004000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x4/Fan1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90020000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9001c000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9001b000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9001c000 34 | 00000000 35 | 90020000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00004000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x4/Fan1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90038000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90034000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90033000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90034000 34 | 00000000 35 | 90038000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00004000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x4/Fan2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000001 14 | 00000000 15 | 900ce000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000020 22 | 00000000 23 | 00000020 24 | 00000000 25 | 900ae000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 900ad000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 900ae000 40 | 00000000 41 | 900ce000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000010 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005d0 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000010 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00020000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x4/Fan2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9001a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9000a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90009000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9000a000 34 | 00000000 35 | 9001a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x4/Fan2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90032000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90022000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90021000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90022000 34 | 00000000 35 | 90032000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x4/Fan2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9004a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9003a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90039000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9003a000 34 | 00000000 35 | 9004a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00010000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x4/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_gaussian/4x4/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x8/Fan1_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 9000c000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90002000 30 | 00000000 31 | 90000000 32 | 00000000 33 | 90001000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 9000c000 42 | 00000000 43 | 00000064 44 | 00000000 45 | 00000064 46 | 00000000 47 | 00000014 48 | 00000000 49 | 00000014 50 | 00000000 51 | 000005a8 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000064 58 | 00000000 59 | 00000064 60 | 00000000 61 | 00000014 62 | 00000000 63 | 00000014 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00008000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x8/Fan1_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90038000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90030000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9002f000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90030000 34 | 00000000 35 | 90038000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00008000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x8/Fan1_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90064000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9005c000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9005b000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9005c000 34 | 00000000 35 | 90064000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00008000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x8/Fan1_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90090000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90088000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90087000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90088000 34 | 00000000 35 | 90090000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00008000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x8/Fan2_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9002e000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9000e000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 9000d000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9000e000 34 | 00000000 35 | 9002e000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x8/Fan2_1.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 9005a000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 9003a000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90039000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 9003a000 34 | 00000000 35 | 9005a000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x8/Fan2_2.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90086000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90066000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90065000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90066000 34 | 00000000 35 | 90086000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x8/Fan2_3.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 900b2000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90092000 26 | 00000000 27 | 00000004 28 | 00000000 29 | 90091000 30 | 00000000 31 | 80000000 32 | 00000000 33 | 90092000 34 | 00000000 35 | 900b2000 36 | 00000000 37 | 00000014 38 | 00000000 39 | 000005a8 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000014 46 | 00000000 47 | 10000000 48 | 00000000 49 | 00020000 50 | 00000000 51 | 00000040 52 | 00000000 53 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/4x8/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_gaussian/4x8/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_gaussian/Makefile: -------------------------------------------------------------------------------- 1 | 2 | help: 3 | @echo "========= help ==================" 4 | @echo "make run-vcs " 5 | @echo "make verdi " 6 | @echo "make clean " 7 | @echo "=================================" 8 | 9 | run-vcs: 10 | #vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed -R -timescale=1ns/1ps -fsdb -f ../testbench/run.f -debug_access+all -l simv.log 11 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../testbench/run.f -debug_access+all +fsdb+functions -l simv.log 12 | 13 | verdi: 14 | verdi -f ../testbench/run.f -ssf ./test.fsdb & 15 | 16 | clean: 17 | rm -r ./simv* ./csrc ./verdiLog ./ucli* ./*.fsdb ./DVE* ./nova* ./*.h AN.DB 18 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_matadd/1w16t/matadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90014000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90014000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000040 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 0000054c 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000040 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00010000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_matadd/1w32t/matadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000001 14 | 00000000 15 | 90014000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90014000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000040 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 0000054c 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000040 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00010000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_matadd/2w8t/matadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90014000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90014000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000040 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 0000054c 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000040 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00010000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_matadd/4w4t/matadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90014000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90014000 42 | 00000000 43 | 00000040 44 | 00000000 45 | 00000040 46 | 00000000 47 | 00000040 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 0000054c 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000040 58 | 00000000 59 | 00000040 60 | 00000000 61 | 00000040 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00010000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_matadd/Makefile: -------------------------------------------------------------------------------- 1 | 2 | help: 3 | @echo "========= help ==================" 4 | @echo "make run-vcs " 5 | @echo "make verdi " 6 | @echo "make clean " 7 | @echo "=================================" 8 | 9 | run-vcs: 10 | #vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed -R -timescale=1ns/1ps -fsdb -f ../testbench/run.f -debug_access+all -l simv.log 11 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../testbench/run.f -debug_access+all +fsdb+functions -l simv.log 12 | 13 | verdi: 14 | verdi -f ../testbench/run.f -ssf ./test.fsdb & 15 | 16 | clean: 17 | rm -r ./simv* ./csrc ./verdiLog ./ucli* ./*.fsdb ./DVE* ./nova* ./*.h AN.DB 18 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/2w16t/NearestNeighbor_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000002 14 | 00000000 15 | 90023000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90003000 26 | 00000000 27 | 00000006 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 80000000 36 | 00000000 37 | 90003000 38 | 00000000 39 | 90023000 40 | 00000000 41 | 00000098 42 | 00000000 43 | 0000004c 44 | 00000000 45 | 00000014 46 | 00000000 47 | 0000050c 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000040 52 | 00000000 53 | 00000098 54 | 00000000 55 | 0000004c 56 | 00000000 57 | 00000014 58 | 00000000 59 | 10000000 60 | 00000000 61 | 00020000 62 | 00000000 63 | 00000040 64 | 00000000 65 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/Makefile: -------------------------------------------------------------------------------- 1 | include ../../common/make.config 2 | 3 | #Can be changed by `make TYPE=CPU` 4 | TYPE = GPU 5 | 6 | #C compiler 7 | CC = clang++ 8 | 9 | SRC = nearestNeighbor.cpp clutils.cpp utils.cpp 10 | 11 | CC_FLAGS = -g -O3 12 | 13 | EXE = nn.out 14 | 15 | release: 16 | $(CC) $(CC_FLAGS) $(SRC) -o $(EXE) \ 17 | -I$(OPENCL_INC) -L$(OPENCL_LIB) -lOpenCL \ 18 | -I../util -DTIMING \ 19 | -Wno-unused-result 20 | 21 | clean: 22 | rm -f $(EXE) 23 | 24 | run: release 25 | ./$(EXE) filelist.txt -r 5 -lat 30 -lng 90 -t -f ../../data -p 0 -d 0 26 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/NearestNeighbor_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90043000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90003000 26 | 00000000 27 | 00000006 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 80000000 36 | 00000000 37 | 90003000 38 | 00000000 39 | 90043000 40 | 00000000 41 | 000001a8 42 | 00000000 43 | 000000d4 44 | 00000000 45 | 00000014 46 | 00000000 47 | 0000048c 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000040 52 | 00000000 53 | 000001a8 54 | 00000000 55 | 000000d4 56 | 00000000 57 | 00000014 58 | 00000000 59 | 10000000 60 | 00000000 61 | 00040000 62 | 00000000 63 | 00000040 64 | 00000000 65 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/README.txt: -------------------------------------------------------------------------------- 1 | The Nearest Neighbor application computes the nearest location to a specific 2 | latitude and longitude for a number of hurricanes (data from: http://weather.unisys.com/hurricane/). 3 | 4 | The Makefile may need to be adjusted for different machines, but it was written for Mac OS X and 5 | Linux with either NVIDIA or AMD OpenCL SDKs. 6 | 7 | The hurricane data is located in a number of data files that are copied into the working 8 | directory by the Makefile. A separate text file lists the names of the data files that 9 | will be used, and it is this text file that should be passed to the application (see usage, below). 10 | 11 | Nearest Neighbor Usage 12 | 13 | nearestNeighbor [filename] -r [int] -lat [float] -lng [float] [-hqt] [-p [int] -d [int]] 14 | 15 | example: 16 | $ ./nearestNeighbor filelist.txt -r 5 -lat 30 -lng 90 17 | 18 | filename the filename that lists the data input files 19 | -r [int] the number of records to return (default: 10) 20 | -lat [float] the latitude for nearest neighbors (default: 0) 21 | -lng [float] the longitude for nearest neighbors (default: 0) 22 | 23 | -h, --help Display the help file 24 | -q Quiet mode. Suppress all text output. 25 | -t Print timing information. 26 | 27 | -p [int] Choose the platform (must choose both platform and device) 28 | -d [int] Choose the device (must choose both platform and device) 29 | 30 | 31 | Notes: 1. The filename is required as the first parameter. 32 | 2. If you declare either the device or the platform, 33 | you must declare both. 34 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/filelist.txt: -------------------------------------------------------------------------------- 1 | nn/cane4_0.db 2 | nn/cane4_1.db 3 | nn/cane4_2.db 4 | nn/cane4_3.db 5 | 6 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/gettimeofday.h: -------------------------------------------------------------------------------- 1 | 2 | #ifdef _WIN32 3 | #include 4 | /** 5 | Based on code seen at. 6 | 7 | http://www.winehq.org/pipermail/wine-devel/2003-June/018082.html 8 | 9 | http://msdn.microsoft.com/en-us/library/ms740560 10 | 11 | */ 12 | int gettimeofday(struct timeval *tv, struct timezone *tz); 13 | #else 14 | #include 15 | #endif 16 | 17 | 18 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/ipoint.h: -------------------------------------------------------------------------------- 1 | /*********************************************************** 2 | * --- OpenSURF --- * 3 | * This library is distributed under the GNU GPL. Please * 4 | * contact chris.evans@irisys.co.uk for more information. * 5 | * * 6 | * C. Evans, Research Into Robust Visual Features, * 7 | * MSc University of Bristol, 2008. * 8 | * * 9 | ************************************************************/ 10 | 11 | #ifndef IPOINT_H 12 | #define IPOINT_H 13 | 14 | #include 15 | #include 16 | 17 | 18 | 19 | //------------------------------------------------------- 20 | typedef struct{ 21 | int x; 22 | int y; 23 | float descriptor[64]; 24 | } Ipoint; 25 | 26 | //------------------------------------------------------- 27 | 28 | typedef std::vector IpVec; 29 | #endif 30 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/nearestNeighbor.h: -------------------------------------------------------------------------------- 1 | #ifndef _NEARESTNEIGHBOR 2 | #define _NEARESTNEIGHBOR 3 | 4 | #include 5 | #include 6 | #include 7 | #include 8 | #include 9 | #include 10 | 11 | // All OpenCL headers 12 | #if defined (__APPLE__) || defined(MACOSX) 13 | #include 14 | #else 15 | #include 16 | #endif 17 | 18 | #include "clutils.h" 19 | //#include "utils.h" 20 | 21 | #include 22 | 23 | 24 | 25 | #define REC_LENGTH 49 // size of a record in db 26 | 27 | typedef struct latLong 28 | { 29 | float lat; 30 | float lng; 31 | } LatLong; 32 | 33 | typedef struct record 34 | { 35 | char recString[REC_LENGTH]; 36 | float distance; 37 | } Record; 38 | 39 | float *OpenClFindNearestNeighbors( 40 | cl_context context, 41 | int numRecords, 42 | std::vector &locations,float lat,float lng, 43 | int timing); 44 | 45 | int loadData(char *filename,std::vector &records,std::vector &locations); 46 | void findLowest(std::vector &records,float *distances,int numRecords,int topN); 47 | void printUsage(); 48 | int parseCommandline(int argc, char *argv[], char* filename,int *r,float *lat,float *lng, 49 | int *q, int *t, int *p, int *d); 50 | #endif 51 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/nearestNeighbor_kernel.cl: -------------------------------------------------------------------------------- 1 | //#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable 2 | 3 | typedef struct latLong 4 | { 5 | float lat; 6 | float lng; 7 | } LatLong; 8 | 9 | __kernel void NearestNeighbor(__global LatLong *d_locations, 10 | __global float *d_distances, 11 | const int numRecords, 12 | const float lat, 13 | const float lng) { 14 | int globalId = get_global_id(0); 15 | 16 | if (globalId < numRecords) { 17 | __global LatLong *latLong = d_locations+globalId; 18 | 19 | __global float *dist=d_distances+globalId; 20 | *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); 21 | } 22 | } -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/object.cl: -------------------------------------------------------------------------------- 1 | //#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable 2 | 3 | typedef struct latLong 4 | { 5 | float lat; 6 | float lng; 7 | } LatLong; 8 | 9 | __kernel void NearestNeighbor(__global LatLong *d_locations, 10 | __global float *d_distances, 11 | const int numRecords, 12 | const float lat, 13 | const float lng) { 14 | int globalId = get_global_id(0); 15 | 16 | if (globalId < numRecords) { 17 | __global LatLong *latLong = d_locations+globalId; 18 | 19 | __global float *dist=d_distances+globalId; 20 | *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); 21 | } 22 | } -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_nn/4x16/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x16/run: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | 3 | ./nn.out filelist.txt -r 5 -lat 30 -lng 90 -f ../../data -t -p 0 -d 0 4 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x8/NearestNeighbor_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90023000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90003000 26 | 00000000 27 | 00000006 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 80000000 36 | 00000000 37 | 90003000 38 | 00000000 39 | 90023000 40 | 00000000 41 | 000000e0 42 | 00000000 43 | 00000070 44 | 00000000 45 | 00000014 46 | 00000000 47 | 00000484 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000040 52 | 00000000 53 | 000000e0 54 | 00000000 55 | 00000070 56 | 00000000 57 | 00000014 58 | 00000000 59 | 10000000 60 | 00000000 61 | 00020000 62 | 00000000 63 | 00000040 64 | 00000000 65 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x8/nearestNeighbor.h: -------------------------------------------------------------------------------- 1 | #ifndef _NEARESTNEIGHBOR 2 | #define _NEARESTNEIGHBOR 3 | 4 | #include 5 | #include 6 | #include 7 | #include 8 | #include 9 | #include 10 | 11 | // All OpenCL headers 12 | #if defined (__APPLE__) || defined(MACOSX) 13 | #include 14 | #else 15 | #include 16 | #endif 17 | 18 | #include "clutils.h" 19 | //#include "utils.h" 20 | 21 | #include 22 | 23 | 24 | 25 | #define REC_LENGTH 49 // size of a record in db 26 | 27 | typedef struct latLong 28 | { 29 | float lat; 30 | float lng; 31 | } LatLong; 32 | 33 | typedef struct record 34 | { 35 | char recString[REC_LENGTH]; 36 | float distance; 37 | } Record; 38 | 39 | float *OpenClFindNearestNeighbors( 40 | cl_context context, 41 | int numRecords, 42 | std::vector &locations,float lat,float lng, 43 | int timing); 44 | 45 | int loadData(char *filename,std::vector &records,std::vector &locations); 46 | void findLowest(std::vector &records,float *distances,int numRecords,int topN); 47 | void printUsage(); 48 | int parseCommandline(int argc, char *argv[], char* filename,int *r,float *lat,float *lng, 49 | int *q, int *t, int *p, int *d); 50 | #endif 51 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x8/nearestNeighbor_kernel.cl: -------------------------------------------------------------------------------- 1 | //#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable 2 | 3 | typedef struct latLong 4 | { 5 | float lat; 6 | float lng; 7 | } LatLong; 8 | 9 | __kernel void NearestNeighbor(__global LatLong *d_locations, 10 | __global float *d_distances, 11 | const int numRecords, 12 | const float lat, 13 | const float lng) { 14 | int globalId = get_global_id(0); 15 | 16 | if (globalId < numRecords) { 17 | __global LatLong *latLong = d_locations+globalId; 18 | 19 | __global float *dist=d_distances+globalId; 20 | *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); 21 | } 22 | } -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x8/object.cl: -------------------------------------------------------------------------------- 1 | //#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable 2 | 3 | typedef struct latLong 4 | { 5 | float lat; 6 | float lng; 7 | } LatLong; 8 | 9 | __kernel void NearestNeighbor(__global LatLong *d_locations, 10 | __global float *d_distances, 11 | const int numRecords, 12 | const float lat, 13 | const float lng) { 14 | int globalId = get_global_id(0); 15 | 16 | if (globalId < numRecords) { 17 | __global LatLong *latLong = d_locations+globalId; 18 | 19 | __global float *dist=d_distances+globalId; 20 | *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); 21 | } 22 | } -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/4x8/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_nn/4x8/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/8w4t/NearestNeighbor_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90023000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90003000 26 | 00000000 27 | 00000006 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 80000000 36 | 00000000 37 | 90003000 38 | 00000000 39 | 90023000 40 | 00000000 41 | 00000098 42 | 00000000 43 | 0000004c 44 | 00000000 45 | 00000014 46 | 00000000 47 | 0000050c 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000040 52 | 00000000 53 | 00000098 54 | 00000000 55 | 0000004c 56 | 00000000 57 | 00000014 58 | 00000000 59 | 10000000 60 | 00000000 61 | 00020000 62 | 00000000 63 | 00000040 64 | 00000000 65 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/8x8/NearestNeighbor_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90043000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90003000 26 | 00000000 27 | 00000006 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 80000000 36 | 00000000 37 | 90003000 38 | 00000000 39 | 90043000 40 | 00000000 41 | 000001a8 42 | 00000000 43 | 000000d4 44 | 00000000 45 | 00000014 46 | 00000000 47 | 00000484 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000040 52 | 00000000 53 | 000001a8 54 | 00000000 55 | 000000d4 56 | 00000000 57 | 00000014 58 | 00000000 59 | 10000000 60 | 00000000 61 | 00040000 62 | 00000000 63 | 00000040 64 | 00000000 65 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/8x8/object.cl: -------------------------------------------------------------------------------- 1 | //#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable 2 | 3 | typedef struct latLong 4 | { 5 | float lat; 6 | float lng; 7 | } LatLong; 8 | 9 | __kernel void NearestNeighbor(__global LatLong *d_locations, 10 | __global float *d_distances, 11 | const int numRecords, 12 | const float lat, 13 | const float lng) { 14 | int globalId = get_global_id(0); 15 | 16 | if (globalId < numRecords) { 17 | __global LatLong *latLong = d_locations+globalId; 18 | 19 | __global float *dist=d_distances+globalId; 20 | *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); 21 | } 22 | } -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/8x8/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_nn/8x8/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_nn/Makefile: -------------------------------------------------------------------------------- 1 | 2 | help: 3 | @echo "========= help ==================" 4 | @echo "make run-vcs " 5 | @echo "make verdi " 6 | @echo "make clean " 7 | @echo "=================================" 8 | 9 | run-vcs: 10 | vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed -R -timescale=1ns/1ps -fsdb -f ../testbench/run.f -debug_access+all -l simv.log 11 | #vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../testbench/run.f -debug_access+all +fsdb+functions -l simv.log 12 | 13 | verdi: 14 | verdi -f ../testbench/run.f -ssf ./test.fsdb & 15 | 16 | clean: 17 | rm -r ./simv* ./csrc ./verdiLog ./ucli* ./*.fsdb ./DVE* ./nova* ./*.h AN.DB 18 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4w16t/CTestTestfile.cmake: -------------------------------------------------------------------------------- 1 | # CMake generated Testfile for 2 | # Source directory: /data/eda/work/yangzx/ventus/pocl/examples/vecadd 3 | # Build directory: /data/eda/work/yangzx/ventus/pocl/build/examples/vecadd 4 | # 5 | # This file includes the relevant testing commands required for 6 | # testing this directory and lists subdirectories to be tested as well. 7 | add_test(examples/vecadd "/data/eda/work/yangzx/ventus/pocl/build/examples/vecadd/vecadd") 8 | set_tests_properties(examples/vecadd PROPERTIES COST "3.0" DEPENDS "pocl_version_check" LABELS "internal;vulkan" PASS_REGULAR_EXPRESSION "OK" PROCESSORS "1" _BACKTRACE_TRIPLES "/data/eda/work/yangzx/ventus/pocl/examples/vecadd/CMakeLists.txt;39;add_test;/data/eda/work/yangzx/ventus/pocl/examples/vecadd/CMakeLists.txt;0;") 9 | add_test(examples/vecadd_large_grid "/data/eda/work/yangzx/ventus/pocl/build/examples/vecadd/vecadd" "128000" "128" "10000" "100" "1" "1") 10 | set_tests_properties(examples/vecadd_large_grid PROPERTIES COST "3.0" DEPENDS "pocl_version_check" LABELS "internal;vulkan" PASS_REGULAR_EXPRESSION "OK" PROCESSORS "1" _BACKTRACE_TRIPLES "/data/eda/work/yangzx/ventus/pocl/examples/vecadd/CMakeLists.txt;41;add_test;/data/eda/work/yangzx/ventus/pocl/examples/vecadd/CMakeLists.txt;0;") 11 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4w16t/cmake_install.cmake: -------------------------------------------------------------------------------- 1 | # Install script for directory: /data/eda/work/yangzx/ventus/pocl/examples/vecadd 2 | 3 | # Set the install prefix 4 | if(NOT DEFINED CMAKE_INSTALL_PREFIX) 5 | set(CMAKE_INSTALL_PREFIX "/data/eda/work/yangzx/ventus/llvm-project/install") 6 | endif() 7 | string(REGEX REPLACE "/$" "" CMAKE_INSTALL_PREFIX "${CMAKE_INSTALL_PREFIX}") 8 | 9 | # Set the install configuration name. 10 | if(NOT DEFINED CMAKE_INSTALL_CONFIG_NAME) 11 | if(BUILD_TYPE) 12 | string(REGEX REPLACE "^[^A-Za-z0-9_]+" "" 13 | CMAKE_INSTALL_CONFIG_NAME "${BUILD_TYPE}") 14 | else() 15 | set(CMAKE_INSTALL_CONFIG_NAME "Debug") 16 | endif() 17 | message(STATUS "Install configuration: \"${CMAKE_INSTALL_CONFIG_NAME}\"") 18 | endif() 19 | 20 | # Set the component getting installed. 21 | if(NOT CMAKE_INSTALL_COMPONENT) 22 | if(COMPONENT) 23 | message(STATUS "Install component: \"${COMPONENT}\"") 24 | set(CMAKE_INSTALL_COMPONENT "${COMPONENT}") 25 | else() 26 | set(CMAKE_INSTALL_COMPONENT) 27 | endif() 28 | endif() 29 | 30 | # Install shared libraries without execute permission? 31 | if(NOT DEFINED CMAKE_INSTALL_SO_NO_EXE) 32 | set(CMAKE_INSTALL_SO_NO_EXE "1") 33 | endif() 34 | 35 | # Is this installation the result of a crosscompile? 36 | if(NOT DEFINED CMAKE_CROSSCOMPILING) 37 | set(CMAKE_CROSSCOMPILING "FALSE") 38 | endif() 39 | 40 | # Set default install directory permissions. 41 | if(NOT DEFINED CMAKE_OBJDUMP) 42 | set(CMAKE_OBJDUMP "/data/eda/work/yangzx/ventus/llvm-project/install/bin/llvm-objdump") 43 | endif() 44 | 45 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4w16t/object.cl: -------------------------------------------------------------------------------- 1 | kernel void 2 | vecadd (__global const float *a, 3 | __global const float *b, 4 | __global float *c) 5 | { 6 | int gid = get_global_id(0); 7 | c[gid] = a[gid] + b[gid]; 8 | } 9 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4w16t/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_vecadd/4w16t/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4w16t/vecadd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_vecadd/4w16t/vecadd -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4w16t/vecadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000010 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90044000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90044000 42 | 00000000 43 | 00000100 44 | 00000000 45 | 00000100 46 | 00000000 47 | 00000100 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 00000410 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000100 58 | 00000000 59 | 00000100 60 | 00000000 61 | 00000100 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00040000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4w32t/object.cl: -------------------------------------------------------------------------------- 1 | kernel void 2 | vecadd (__global const float *a, 3 | __global const float *b, 4 | __global float *c) 5 | { 6 | int gid = get_global_id(0); 7 | c[gid] = a[gid] + b[gid]; 8 | } 9 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4w32t/object.riscv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_vecadd/4w32t/object.riscv -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4w32t/vecadd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_vecadd/4w32t/vecadd -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4w32t/vecadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000020 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90084000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90084000 42 | 00000000 43 | 00000200 44 | 00000000 45 | 00000200 46 | 00000000 47 | 00000200 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 00000410 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000200 58 | 00000000 59 | 00000200 60 | 00000000 61 | 00000200 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00080000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4x8/vecadd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/THU-DSP-LAB/ventus-gpgpu-verilog/192d1e054d8628fe188894927c0e1976f4c25cde/testcase/test_gpgpu_top/tc_vecadd/4x8/vecadd -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/4x8/vecadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000008 12 | 00000000 13 | 00000004 14 | 00000000 15 | 90024000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90024000 42 | 00000000 43 | 00000080 44 | 00000000 45 | 00000080 46 | 00000000 47 | 00000080 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 00000410 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000080 58 | 00000000 59 | 00000080 60 | 00000000 61 | 00000080 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00020000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/8w4t/vecadd_0.metadata: -------------------------------------------------------------------------------- 1 | 80000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000001 6 | 00000000 7 | 00000001 8 | 00000000 9 | 00000001 10 | 00000000 11 | 00000004 12 | 00000000 13 | 00000008 14 | 00000000 15 | 90024000 16 | 00000000 17 | 00001000 18 | 00000000 19 | 00001000 20 | 00000000 21 | 00000040 22 | 00000000 23 | 00000040 24 | 00000000 25 | 90004000 26 | 00000000 27 | 00000007 28 | 00000000 29 | 90000000 30 | 00000000 31 | 90001000 32 | 00000000 33 | 90002000 34 | 00000000 35 | 90003000 36 | 00000000 37 | 80000000 38 | 00000000 39 | 90004000 40 | 00000000 41 | 90024000 42 | 00000000 43 | 00000080 44 | 00000000 45 | 00000080 46 | 00000000 47 | 00000080 48 | 00000000 49 | 0000000c 50 | 00000000 51 | 00000478 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000040 56 | 00000000 57 | 00000080 58 | 00000000 59 | 00000080 60 | 00000000 61 | 00000080 62 | 00000000 63 | 0000000c 64 | 00000000 65 | 10000000 66 | 00000000 67 | 00020000 68 | 00000000 69 | 00000040 70 | 00000000 71 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/tc_vecadd/Makefile: -------------------------------------------------------------------------------- 1 | 2 | help: 3 | @echo "========= help ==================" 4 | @echo "make run-vcs " 5 | @echo "make verdi " 6 | @echo "make clean " 7 | @echo "=================================" 8 | 9 | run-vcs: 10 | #vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed -R -timescale=1ns/1ps -fsdb -f ../testbench/run.f -debug_access+all -l simv.log 11 | vcs -full64 -LDFLAGS -Wl,--no-as-needed -R -sverilog -timescale=1ns/1ps -f ../testbench/run.f -debug_access+all +fsdb+functions -l simv.log 12 | 13 | verdi: 14 | verdi -f ../testbench/run.f -ssf ./test.fsdb & 15 | 16 | clean: 17 | rm -r ./simv* ./csrc ./verdiLog ./ucli* ./*.fsdb ./DVE* ./nova* ./*.h AN.DB 18 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/testbench/gen_clk.v: -------------------------------------------------------------------------------- 1 | 2 | `timescale 1ns / 1ps 3 | 4 | module gen_clk( 5 | output clk 6 | ); 7 | 8 | localparam PERIOD = 10.0; 9 | 10 | reg clk_r; 11 | initial begin 12 | clk_r = 1'b0; 13 | while(1) begin 14 | #(PERIOD/2) clk_r = ~clk_r; 15 | end 16 | end 17 | 18 | assign clk = clk_r; 19 | 20 | endmodule 21 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/testbench/gen_rst.v: -------------------------------------------------------------------------------- 1 | 2 | module gen_rst( 3 | output rst_n, 4 | input clk 5 | ); 6 | //----------------------- 7 | localparam RST_CYCLE_N = 2; 8 | 9 | reg rst_n_r; 10 | initial begin 11 | rst_n_r = 0; 12 | repeat(RST_CYCLE_N) 13 | @(posedge clk) 14 | rst_n_r = 1'b1; 15 | end 16 | 17 | assign rst_n = rst_n_r; 18 | 19 | endmodule 20 | 21 | -------------------------------------------------------------------------------- /testcase/test_gpgpu_top/testbench/run.f: -------------------------------------------------------------------------------- 1 | -assert svaext 2 | +v2k 3 | -2012 4 | +libext+.v+.V+.vlib+.vc 5 | -sverilog +systemverilog+.sv +systemverilogext+.v 6 | -debug_acc+dmptf+all -debug_region+cell+encrypt 7 | -debug_access+all 8 | +bus_conflict_off 9 | +notimingcheck 10 | +nospecify 11 | 12 | +define+FSDB 13 | 14 | -top test_gpu_top 15 | 16 | //=================== 17 | // Testbench 18 | //=================== 19 | 20 | 21 | //=================== 22 | // Include 23 | //=================== 24 | +incdir+../../../src/define/ 25 | 26 | //=================== 27 | // RTL 28 | //=================== 29 | -f ../testbench/file_list.f 30 | --------------------------------------------------------------------------------