├── 3D-model
├── HDLab.step
└── View_3D.PNG
├── Block-diagram
├── Block-diagram-3000PX.jpg
├── Block-diagram.docx
├── Block-diagram.jpg
├── Block-diagram.pdf
└── PART
│ ├── 7SEG.PNG
│ ├── ADC.PNG
│ ├── BUTT.PNG
│ ├── BUZZ.PNG
│ ├── CLK.PNG
│ ├── IMU.PNG
│ ├── IO1.PNG
│ ├── IO2.PNG
│ ├── IR.PNG
│ ├── JTAG PORT.PNG
│ ├── JUMP.PNG
│ ├── LED.PNG
│ ├── POWER.PNG
│ ├── PS2.PNG
│ ├── RES.PNG
│ ├── SRAM.PNG
│ ├── UART.PNG
│ ├── USB.PNG
│ └── VGA.PNG
├── Document
├── BOM
│ └── Part Namber.xlsx
├── GERBER
│ ├── CAMtastic1.Cam
│ ├── CAMtastic2.Cam
│ ├── HDLab-NonPlated.TXT
│ ├── HDLab-Plated.TXT
│ ├── HDLab-macro.APR_LIB
│ ├── HDLab.DRR
│ ├── HDLab.EXTREP
│ ├── HDLab.GBL
│ ├── HDLab.GBO
│ ├── HDLab.GBP
│ ├── HDLab.GBS
│ ├── HDLab.GKO
│ ├── HDLab.GPB
│ ├── HDLab.GPT
│ ├── HDLab.GTL
│ ├── HDLab.GTO
│ ├── HDLab.GTP
│ ├── HDLab.GTS
│ ├── HDLab.LDP
│ ├── HDLab.REP
│ ├── HDLab.RUL
│ ├── HDLab.apr
│ └── Status Report.Txt
├── PCB
│ ├── PCB-src
│ │ └── HDLab.PcbDoc
│ └── PDF
│ │ └── HDLabPCB.pdf
├── PICTURES
│ ├── 7SEG.PNG
│ ├── ADC.PNG
│ ├── BUTT.PNG
│ ├── BUZZ.PNG
│ ├── Block-diagram.jpg
│ ├── CLK.PNG
│ ├── IMU.PNG
│ ├── IO1.PNG
│ ├── IO2.PNG
│ ├── IR.PNG
│ ├── JTAG PORT.PNG
│ ├── JUMP.PNG
│ ├── LED.PNG
│ ├── POWER.PNG
│ ├── PS2.PNG
│ ├── RES.PNG
│ ├── SRAM.PNG
│ ├── UART.PNG
│ ├── USB.PNG
│ ├── VGA.PNG
│ ├── View_3D.PNG
│ ├── View_F.jpg
│ ├── View_PCB.PNG
│ ├── View_T.jpg
│ └── View_TO.jpg
├── Schematic
│ ├── pdf
│ │ └── HDLab-schematics.pdf
│ └── schematics-src
│ │ └── HDLab.SchDoc
└── UCF
│ └── UCF-HDLab.txt
├── Example-Projects
└── VHDL_Module
│ ├── DFF
│ └── DFF.vhd
│ ├── HEX_TO_SSEG
│ └── HEX TO SSEG7.vhd
│ ├── I2C
│ ├── I2C_CLK.vhd
│ ├── I2C_MASTER.vhd
│ ├── I2C_MASTER_MODULE.vhd
│ ├── bof.vhd
│ └── timer.vhd
│ ├── TIMER
│ └── TIMER.vhd
│ └── UART
│ └── UART.vhd
├── LICENSE
├── PCB
├── HDLab-schematics.pdf
├── HDLab-v1.PcbDoc
├── HDLab-v1.PrjPCB
├── HDLab-v1.SchDoc
├── HDLabPCB.pdf
├── PCB
│ ├── PCB-src
│ │ └── HDLab.PcbDoc
│ └── PDF
│ │ └── HDLabPCB.pdf
└── Schematic
│ ├── pdf
│ └── HDLab-schematics.pdf
│ └── schematics-src
│ └── HDLab.SchDoc
└── README.md
/3D-model/View_3D.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/3D-model/View_3D.PNG
--------------------------------------------------------------------------------
/Block-diagram/Block-diagram-3000PX.jpg:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/Block-diagram-3000PX.jpg
--------------------------------------------------------------------------------
/Block-diagram/Block-diagram.docx:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/Block-diagram.docx
--------------------------------------------------------------------------------
/Block-diagram/Block-diagram.jpg:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/Block-diagram.jpg
--------------------------------------------------------------------------------
/Block-diagram/Block-diagram.pdf:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/Block-diagram.pdf
--------------------------------------------------------------------------------
/Block-diagram/PART/7SEG.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/7SEG.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/ADC.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/ADC.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/BUTT.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/BUTT.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/BUZZ.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/BUZZ.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/CLK.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/CLK.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/IMU.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/IMU.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/IO1.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/IO1.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/IO2.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/IO2.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/IR.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/IR.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/JTAG PORT.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/JTAG PORT.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/JUMP.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/JUMP.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/LED.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/LED.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/POWER.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/POWER.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/PS2.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/PS2.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/RES.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/RES.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/SRAM.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/SRAM.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/UART.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/UART.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/USB.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/USB.PNG
--------------------------------------------------------------------------------
/Block-diagram/PART/VGA.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Block-diagram/PART/VGA.PNG
--------------------------------------------------------------------------------
/Document/BOM/Part Namber.xlsx:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/BOM/Part Namber.xlsx
--------------------------------------------------------------------------------
/Document/GERBER/CAMtastic1.Cam:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/GERBER/CAMtastic1.Cam
--------------------------------------------------------------------------------
/Document/GERBER/CAMtastic2.Cam:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/GERBER/CAMtastic2.Cam
--------------------------------------------------------------------------------
/Document/GERBER/HDLab-NonPlated.TXT:
--------------------------------------------------------------------------------
1 | M48
2 | ;Layer_Color=9474304
3 | ;FILE_FORMAT=4:3
4 | METRIC,LZ
5 | ;TYPE=NON_PLATED
6 | T15F00S00C2.200
7 | %
8 | T15
9 | X0186397Y0103486
10 | X0187197Y0110236
11 | X0186397Y0116986
12 | M30
13 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab-Plated.TXT:
--------------------------------------------------------------------------------
1 | M48
2 | ;Layer_Color=9474304
3 | ;FILE_FORMAT=4:3
4 | METRIC,LZ
5 | ;TYPE=PLATED
6 | T1F00S00C0.300
7 | T2F00S00C0.635
8 | T3F00S00C0.700
9 | T4F00S00C0.900
10 | T5F00S00C1.000
11 | T6F00S00C1.016
12 | T7F00S00C1.100
13 | T8F00S00C1.190
14 | T9F00S00C1.270
15 | T10F00S00C1.500
16 | T11F00S00C2.300
17 | T12F00S00C2.500
18 | T13F00S00C3.150
19 | T14F00S00C3.180
20 | %
21 | T01
22 | X01868Y0079358
23 | X0188214Y0078772
24 | X0189628Y0079358
25 | X0073533Y0079248
26 | X0057421Y0079358
27 | X0056007Y0078772
28 | X0054593Y0079358
29 | X0054007Y0080772
30 | X0054593Y0082186
31 | X0056007Y0082772
32 | X0057421Y0082186
33 | X0058007Y0080772
34 | X0088138Y0113411
35 | X0088646Y0113919
36 | X0089154Y0114427
37 | X0089617Y011489
38 | X0096647Y0117602
39 | X009958Y011736
40 | Y0116725
41 | X0099072Y0116217
42 | X009958Y0117995
43 | X010541Y0116078
44 | X0106196Y0115824
45 | X0106819Y0115201
46 | X0108204Y0114173
47 | X0108712Y0110668
48 | X0112395Y0113411
49 | Y0114808
50 | X0115697
51 | X0117729Y0113411
52 | X0113919Y011811
53 | Y0118872
54 | X0114681Y0106299
55 | X011303
56 | X0117348Y0101727
57 | Y0100584
58 | X0118491Y0100711
59 | X0117983Y0099314
60 | X0118872Y0098425
61 | X0118999Y0097028
62 | X0118872Y0095949
63 | X011811Y0097536
64 | X0116967Y0085598
65 | X0107162Y010315
66 | X0124431Y0102685
67 | X0124587Y0107061
68 | X0125984Y0106934
69 | X0127381Y0107061
70 | X0129413Y0106553
71 | X012827Y0109474
72 | Y0110109
73 | X0125984Y0110236
74 | X0125603Y0109728
75 | X0123317
76 | X0122936Y0115189
77 | X0121539
78 | X0124206Y0115951
79 | X0122936Y0117348
80 | X0120904Y0118618
81 | X0126238Y0113665
82 | X0129159
83 | X0129413Y0115824
84 | X0134874Y0116459
85 | X0135001Y0114681
86 | X0134366Y0113919
87 | X0135636Y0112522
88 | X0137287Y0111887
89 | X013843Y0111252
90 | Y011303
91 | X0137414Y0114173
92 | X0137287Y0109855
93 | Y0108189
94 | X013843Y0108839
95 | Y0107315
96 | X0137795Y0105156
97 | X0137033Y0102108
98 | X0130937Y0102743
99 | X0131064Y0101189
100 | X012954Y0101473
101 | X0129794Y0099949
102 | X0128397Y0098933
103 | X0126492Y0091948
104 | X0125222Y0092075
105 | X012573Y0091186
106 | X0123063Y0092202
107 | X0122174Y0092964
108 | X0121412Y0093726
109 | X012065Y0094488
110 | X0119703Y0095181
111 | X0135255Y009271
112 | Y0091186
113 | Y0089652
114 | Y0088138
115 | X0137033Y008255
116 | X0148717Y0083693
117 | Y0086106
118 | Y0080772
119 | X0152889Y008168
120 | X0152702Y008428
121 | X015548Y0084201
122 | X0155575Y008255
123 | X0147574Y0091694
124 | X0140843Y0091948
125 | X0141224Y0092583
126 | X0140462Y0092613
127 | X014986Y0098298
128 | X0149225Y0100076
129 | X014859Y0100457
130 | X014856Y0099695
131 | X0153416Y0100076
132 | X0154051Y0099695
133 | X0154081Y0100457
134 | X0154178Y0103632
135 | X0154208Y0104394
136 | X0153543Y0104013
137 | X0151257Y0105791
138 | X0149352Y010541
139 | X0148971Y0105791
140 | X0148941Y0105029
141 | X0154239Y0113599
142 | X0153416Y011811
143 | X0153797Y0118745
144 | X0153035Y0118775
145 | X0158623Y0118745
146 | X0137795Y0118999
147 | X0135255Y0108077
148 | X0159131Y0109982
149 | X0159766Y0109601
150 | X0159796Y0110363
151 | X0159766Y0111887
152 | Y0112649
153 | X0162941
154 | Y0111887
155 | Y0104013
156 | Y0103251
157 | X0159766
158 | Y0104013
159 | Y0101854
160 | X0159736Y0101092
161 | X0160401Y0101473
162 | X0160147Y0099822
163 | X0160117Y009906
164 | X0160782Y0099441
165 | X0160274Y0095377
166 | X0164846Y009017
167 | Y0084201
168 | X0160528Y0082296
169 | Y0079629
170 | X0170942Y0086106
171 | X0169164Y0092329
172 | X01868Y0082186
173 | X0186214Y0080772
174 | X0190214
175 | X0189628Y0082186
176 | X0188214Y0082772
177 | X0159258Y0118364
178 | X0159288Y0119126
179 | X0159766Y012065
180 | Y0121539
181 | X0162814
182 | Y012065
183 | X01868Y0151494
184 | X0188214Y0150908
185 | X0189628Y0151494
186 | X0190214Y0152908
187 | X0189628Y0154322
188 | X0188214Y0154908
189 | X01868Y0154322
190 | X0186214Y0152908
191 | X0149225Y0141224
192 | X0147955
193 | X0148209Y0137922
194 | X0147828Y0137287
195 | X014859Y0137257
196 | X0139192Y0136398
197 | X0138811Y0135763
198 | X0139573Y0135733
199 | X0142113Y0132461
200 | X01397Y0129286
201 | X0137795Y0129413
202 | X0133985Y0131191
203 | X0133858Y0134112
204 | X0132538Y0132854
205 | X013133Y0133108
206 | X0130695Y0134378
207 | X0130429Y0135509
208 | X012954Y0136398
209 | X0128917Y0138061
210 | X0127889Y0137287
211 | X0126885Y0137934
212 | X0125857Y0138684
213 | X0125334Y0139939
214 | X0125857Y0141224
215 | X0126619Y0141194
216 | X0126238Y0141859
217 | X0122492Y0144589
218 | X0121793Y0151257
219 | X0133289Y0153247
220 | X0134366Y0137541
221 | X0133985Y0136906
222 | X0134747Y0136876
223 | X0132067Y012813
224 | X0133731Y0125095
225 | X0138049Y012319
226 | X0127254Y0123952
227 | X0122301
228 | X0120904
229 | X0122428Y0120269
230 | X0128397Y0119761
231 | X0128016Y0119126
232 | X0128778Y0119096
233 | X0121539Y0129413
234 | X0122682Y0135001
235 | X0117221Y0131826
236 | X0115189Y0132588
237 | X0115062Y0137922
238 | X0113284Y0140843
239 | X0112395
240 | X011176Y0140208
241 | X0113792Y0141478
242 | X0107569Y0141194
243 | X0106807Y0141224
244 | X0107315Y0141732
245 | Y0142367
246 | X010414Y014224
247 | X0102743
248 | X0102489Y0139573
249 | X01036Y0139541
250 | X010541Y0139446
251 | X0101092Y0139573
252 | X0097663Y014224
253 | X0096139
254 | X009017Y0143891
255 | Y0144653
256 | Y0145415
257 | Y0146177
258 | X0089916Y013843
259 | X0090055Y0136537
260 | X0089928Y0134886
261 | X0089916Y013335
262 | X0088404Y0134124
263 | X0088392Y0135763
264 | Y0137414
265 | X0088265Y0132334
266 | X0091313Y0130048
267 | X0094615Y0128651
268 | X0095135Y013387
269 | X0096786
270 | X0099949Y0132969
271 | X0100457Y0128651
272 | X0099072Y0124472
273 | X0108839Y0126492
274 | X0109601Y012573
275 | X0111125Y0125857
276 | X0112395Y0122809
277 | X0113919Y0119761
278 | X0117221Y0122809
279 | X0118364Y0123952
280 | X0108204Y0127508
281 | X0108077Y0128524
282 | X0107061Y0129032
283 | X010541Y0130048
284 | X0107315Y0132588
285 | X010795
286 | X0108585
287 | X010922
288 | X0107696Y0135509
289 | X0117729Y0152146
290 | X011557Y015494
291 | X009652Y0151511
292 | X0084709Y0130302
293 | X0084142Y0129735
294 | X0083578Y0129171
295 | X0087884Y0122555
296 | X0089123Y0121697
297 | X0090043Y0120904
298 | X0070739Y0144907
299 | X0065913Y0149733
300 | X0057421Y0151494
301 | X0058007Y0152908
302 | X0057421Y0154322
303 | X0056007Y0154908
304 | X0054593Y0154322
305 | X0054007Y0152908
306 | X0054593Y0151494
307 | X0056007Y0150908
308 | T02
309 | X0145542Y0082015
310 | Y0086895
311 | T03
312 | X0162033Y0079365
313 | X0174033
314 | X0142033
315 | X0130033
316 | X0118033
317 | X0106033
318 | X0094033
319 | X0090033
320 | X0086033
321 | X0082033
322 | X0070033
323 | X0066033
324 | X0062033
325 | Y0087365
326 | X0066033
327 | X0060033Y0091365
328 | X0056033
329 | X0054033Y0087365
330 | Y0095365
331 | X0056033Y0099365
332 | X0060033
333 | X0062033Y0095365
334 | Y0103365
335 | X0060033Y0107365
336 | X0056033
337 | X0054033Y0103365
338 | Y0111365
339 | X0056033Y0115365
340 | X0062033Y0111365
341 | X0070033
342 | X0074033
343 | X0078033
344 | X0080033Y0107365
345 | X0086033Y0111365
346 | X0094033
347 | X0098033
348 | X0100033Y0107365
349 | X0096033
350 | X0090033Y0103365
351 | Y0087365
352 | X0094033
353 | X0096033Y0083365
354 | X0092033
355 | X0088033
356 | X0084033
357 | X0106033Y0087365
358 | X0118033
359 | X0110033Y0103365
360 | X0134033Y0095365
361 | X0138033
362 | X0142033
363 | X0144033Y0083365
364 | X0156033Y0091365
365 | X0158033Y0095365
366 | X0150033Y0111365
367 | X0168033Y0115365
368 | X0172033
369 | X0176033
370 | X0180033
371 | X0184033
372 | X0188033
373 | Y0107365
374 | Y0099365
375 | X0186033Y0095365
376 | X0182033
377 | X0184033Y0091365
378 | X0188033
379 | X0186033Y0087365
380 | X0184033Y0083365
381 | X0180033
382 | X0172033
383 | X0164033
384 | Y0091365
385 | X0160033
386 | X0168033
387 | X0166033Y0095365
388 | X0168033Y0099365
389 | X0174033Y0103365
390 | Y0111365
391 | X0178033
392 | X0174033Y0119365
393 | X0176033Y0123365
394 | X0178033Y0127365
395 | X0182033
396 | X0188033Y0123365
397 | X0186033Y0119365
398 | X0188033Y0139365
399 | X0186033Y0143365
400 | X0182033
401 | Y0151365
402 | X0178033
403 | X0174033
404 | X0176033Y0147365
405 | X0172033
406 | X0168033
407 | X0164033
408 | X0160033
409 | Y0139365
410 | X0172033
411 | X0176033
412 | X0172033Y0131365
413 | X0168033
414 | X0166033Y0151365
415 | X0188033Y0147365
416 | X0158033Y0143365
417 | X0146033
418 | X0148033Y0147365
419 | X0144033
420 | X0150033Y0151365
421 | X0152033Y0131365
422 | X0142033Y0127365
423 | X0110033Y0143365
424 | X0096033Y0147365
425 | X0092033
426 | X0088033
427 | X0086033Y0151365
428 | X0082033Y0143365
429 | X0084033Y0131365
430 | X0082033Y0119365
431 | X0086033
432 | X0094033
433 | X0098033
434 | X0096033Y0123365
435 | X0078033Y0119365
436 | X0066033
437 | X0062033
438 | X0060033Y0123365
439 | X0056033
440 | X0054033Y0119365
441 | Y0127365
442 | X0056033Y0131365
443 | X0060033
444 | X0062033Y0127365
445 | Y0135365
446 | X0060033Y0139365
447 | X0056033
448 | X0054033Y0135365
449 | Y0143365
450 | X0056033Y0147365
451 | X0060033
452 | X0064033
453 | X0068033
454 | X0062033Y0151365
455 | X0078033Y0143365
456 | T04
457 | X0064516Y0090043
458 | Y0092583
459 | Y0095123
460 | Y0097663
461 | Y0100203
462 | Y0102743
463 | Y0105283
464 | Y0107823
465 | Y0110363
466 | Y0112903
467 | X0067056
468 | Y0110363
469 | Y0107823
470 | Y0105283
471 | Y0102743
472 | Y0100203
473 | Y0097663
474 | Y0095123
475 | Y0092583
476 | Y0090043
477 | X0180897Y0106836
478 | X0183397
479 | Y0108936
480 | Y0111536
481 | Y0113636
482 | X0180897
483 | X0112141Y014732
484 | X0109474
485 | X0106934
486 | X0104267
487 | X01016
488 | X0098933
489 | Y0155321
490 | X01016
491 | X0104267
492 | X0106934
493 | X0109474
494 | X0112141
495 | X0083058Y0152273
496 | X0080518
497 | Y0149733
498 | X0083058
499 | X0077978
500 | X0075438
501 | X0072898
502 | Y0152273
503 | X0075438
504 | X0077978
505 | X0067056Y0143764
506 | X0064516
507 | Y0141224
508 | X0067056
509 | Y0138684
510 | X0064516
511 | Y0136144
512 | X0067056
513 | Y0133604
514 | X0064516
515 | Y0131064
516 | X0067056
517 | Y0128524
518 | X0064516
519 | Y0125984
520 | X0067056
521 | Y0123444
522 | X0064516
523 | T05
524 | X0172387Y0080772
525 | X0175387
526 | X0178387
527 | X0178887Y0092349
528 | X0176887
529 | Y0094849
530 | X0178887
531 | T06
532 | X0082169Y0084963
533 | X0089789
534 | X013589Y0151638
535 | X013843
536 | X014097
537 | T07
538 | X0161036Y0148844
539 | Y0151384
540 | Y0153924
541 | T08
542 | X0179705Y013004
543 | Y013233
544 | Y013462
545 | Y013691
546 | Y01392
547 | X0182245Y014034
548 | Y013805
549 | Y013576
550 | Y013347
551 | Y013118
552 | X0184785Y013004
553 | Y013233
554 | Y013462
555 | Y013691
556 | Y01392
557 | T09
558 | X0098869Y0079947
559 | X0103314
560 | X0110299
561 | X0114744
562 | Y0086932
563 | X0110299
564 | X0103314
565 | X0098869
566 | X0121729Y0086804
567 | X0126174
568 | X013316
569 | X0137605
570 | Y007982
571 | X013316
572 | X0126174
573 | X0121729
574 | T10
575 | X0169787Y0080772
576 | X0180987
577 | X0155027Y0148757
578 | Y0153757
579 | X0150027
580 | Y0148757
581 | X0152527Y0151257
582 | T11
583 | X0181597Y0087579
584 | Y0099619
585 | T12
586 | X0188214Y0152908
587 | T13
588 | X0056007Y0080772
589 | X0188214
590 | X0056007Y0152908
591 | T14
592 | X0182245Y012162
593 | Y014762
594 | M30
595 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab-macro.APR_LIB:
--------------------------------------------------------------------------------
1 | G04:AMPARAMS|DCode=48|XSize=2.032mm|YSize=1.524mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
2 | %AMOCTAGOND48*
3 | 4,1,8,-0.381,-1.016,0.381,-1.016,0.762,-0.635,0.762,0.635,0.381,1.016,-0.381,1.016,-0.762,0.635,-0.762,-0.635,-0.381,-1.016,0.0*
4 | %
5 | G04:AMPARAMS|DCode=51|XSize=1.2mm|YSize=1.6mm|CornerRadius=0.3mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
6 | %AMROUNDEDRECTD51*
7 | 21,1,1.200,1.000,0,0,270.0*
8 | 21,1,0.600,1.600,0,0,270.0*
9 | 1,1,0.600,-0.500,-0.300*
10 | 1,1,0.600,-0.500,0.300*
11 | 1,1,0.600,0.500,0.300*
12 | 1,1,0.600,0.500,-0.300*
13 | %
14 | G04:AMPARAMS|DCode=113|XSize=2.235mm|YSize=1.727mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
15 | %AMOCTAGOND113*
16 | 4,1,8,-0.432,-1.118,0.432,-1.118,0.864,-0.686,0.864,0.686,0.432,1.118,-0.432,1.118,-0.864,0.686,-0.864,-0.686,-0.432,-1.118,0.0*
17 | %
18 | G04:AMPARAMS|DCode=116|XSize=1.403mm|YSize=1.803mm|CornerRadius=0.402mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
19 | %AMROUNDEDRECTD116*
20 | 21,1,1.403,1.000,0,0,270.0*
21 | 21,1,0.600,1.803,0,0,270.0*
22 | 1,1,0.803,-0.500,-0.300*
23 | 1,1,0.803,-0.500,0.300*
24 | 1,1,0.803,0.500,0.300*
25 | 1,1,0.803,0.500,-0.300*
26 | %
27 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.DRR:
--------------------------------------------------------------------------------
1 | ---------------------------------------------------------------------------
2 | NCDrill File Report For: HDLab.PcbDoc 8/9/2018 11:45:04 PM
3 | ---------------------------------------------------------------------------
4 |
5 | Layer Pair : Top Layer to Bottom Layer
6 | ASCII Plated RoundHoles File : HDLab-Plated.TXT
7 | ASCII Non-Plated RoundHoles File : HDLab-NonPlated.TXT
8 |
9 | Tool Hole Size Hole Type Hole Count Plated Tool Travel
10 | ---------------------------------------------------------------------------
11 | T1 0.3mm (11.811mil) Round 286 1297.13 mm (51.07 Inch)
12 | T2 0.635mm (25mil) Round 2 4.88 mm (0.19 Inch)
13 | T3 0.7mm (27.559mil) Round 144 1110.31 mm (43.71 Inch)
14 | T4 0.9mm (35.433mil) Round 66 397.78 mm (15.66 Inch)
15 | T5 1mm (39.37mil) Round 7 24.09 mm (0.95 Inch)
16 | T6 1.016mm (40mil) Round 5 93.76 mm (3.69 Inch)
17 | T7 1.1mm (43.307mil) Round 3 5.08 mm (0.20 Inch)
18 | T8 1.19mm (46.85mil) Round 15 33.05 mm (1.30 Inch)
19 | T9 1.27mm (50mil) Round 16 100.33 mm (3.95 Inch)
20 | T10 1.5mm (59.055mil) Round 7 102.51 mm (4.04 Inch)
21 | T11 2.3mm (90.551mil) Round 2 12.04 mm (0.47 Inch)
22 | T12 2.5mm (98.425mil) Round 1 0.00 mm (0.00 Inch)
23 | T13 3.15mm (124.016mil) Round 3 282.81 mm (11.13 Inch)
24 | T14 3.18mm (125.197mil) Round 2 26.00 mm (1.02 Inch)
25 | T15 2.2mm (86.614mil) Round 3 NPTH 13.59 mm (0.54 Inch)
26 | ---------------------------------------------------------------------------
27 | Totals 562 3503.37 mm (137.93 Inch)
28 |
29 | Total Processing Time (hh:mm:ss) : 00:00:00
30 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.EXTREP:
--------------------------------------------------------------------------------
1 | ------------------------------------------------------------------------------------------
2 | Gerber File Extension Report For: HDLab.GBR 8/9/2018 11:39:10 PM
3 | ------------------------------------------------------------------------------------------
4 |
5 |
6 | ------------------------------------------------------------------------------------------
7 | Layer Extension Layer Description
8 | ------------------------------------------------------------------------------------------
9 | .GTL Top Layer
10 | .GBL Bottom Layer
11 | .GPB Bottom Pad Master
12 | .GPT Top Pad Master
13 | .GTO Top Overlay
14 | .GTP Top Paste
15 | .GTS Top Solder
16 | .GBS Bottom Solder
17 | .GBP Bottom Paste
18 | .GBO Bottom Overlay
19 | .GKO Keep-Out Layer
20 | ------------------------------------------------------------------------------------------
21 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.GBO:
--------------------------------------------------------------------------------
1 | %FSLAX43Y43*%
2 | %MOMM*%
3 | G71*
4 | G01*
5 | G75*
6 | G04 Layer_Color=32896*
7 | %ADD10R,0.650X0.600*%
8 | %ADD11R,0.500X0.600*%
9 | %ADD12R,0.800X0.800*%
10 | %ADD13R,0.900X1.300*%
11 | %ADD14R,1.300X0.900*%
12 | %ADD15R,0.800X0.800*%
13 | %ADD16R,0.850X0.600*%
14 | %ADD17R,0.600X0.850*%
15 | %ADD18R,0.600X0.650*%
16 | %ADD19R,0.600X0.500*%
17 | %ADD20O,1.800X0.300*%
18 | %ADD21O,0.300X1.800*%
19 | %ADD22O,2.100X0.450*%
20 | %ADD23R,2.400X3.300*%
21 | %ADD24R,2.400X1.000*%
22 | %ADD25O,0.510X2.000*%
23 | %ADD26R,0.510X2.000*%
24 | %ADD27R,2.400X2.500*%
25 | %ADD28R,2.500X2.500*%
26 | %ADD29O,1.650X0.550*%
27 | %ADD30O,0.700X0.200*%
28 | %ADD31O,0.200X0.700*%
29 | %ADD32C,0.254*%
30 | %ADD33C,0.200*%
31 | %ADD34C,1.000*%
32 | %ADD35C,0.250*%
33 | %ADD36C,1.500*%
34 | %ADD37C,0.500*%
35 | %ADD38C,0.245*%
36 | %ADD39O,1.800X1.524*%
37 | %ADD40O,1.800X1.500*%
38 | %ADD41O,5.000X4.000*%
39 | %ADD42C,3.000*%
40 | %ADD43P,1.524X8X112.5*%
41 | %ADD44C,0.600*%
42 | %ADD45C,5.000*%
43 | %ADD46C,1.700*%
44 | %ADD47O,1.700X3.556*%
45 | G04:AMPARAMS|DCode=48|XSize=2.032mm|YSize=1.524mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
46 | %AMOCTAGOND48*
47 | 4,1,8,-0.381,-1.016,0.381,-1.016,0.762,-0.635,0.762,0.635,0.381,1.016,-0.381,1.016,-0.762,0.635,-0.762,-0.635,-0.381,-1.016,0.0*
48 | %
49 | %ADD48OCTAGOND48*%
50 |
51 | %ADD49O,2.400X1.800*%
52 | %ADD50R,2.400X1.800*%
53 | G04:AMPARAMS|DCode=51|XSize=1.2mm|YSize=1.6mm|CornerRadius=0.3mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
54 | %AMROUNDEDRECTD51*
55 | 21,1,1.200,1.000,0,0,270.0*
56 | 21,1,0.600,1.600,0,0,270.0*
57 | 1,1,0.600,-0.500,-0.300*
58 | 1,1,0.600,-0.500,0.300*
59 | 1,1,0.600,0.500,0.300*
60 | 1,1,0.600,0.500,-0.300*
61 | %
62 | %ADD51ROUNDEDRECTD51*%
63 | %ADD52R,1.600X1.200*%
64 | %ADD53C,1.397*%
65 | %ADD54C,3.800*%
66 | %ADD55O,1.600X1.900*%
67 | %ADD56R,1.600X1.900*%
68 | %ADD57C,2.032*%
69 | %ADD58R,2.032X2.032*%
70 | %ADD59C,1.500*%
71 | %ADD60R,1.500X1.500*%
72 | %ADD61R,1.500X1.500*%
73 | %ADD62C,2.500*%
74 | %ADD63P,2.337X8X202.5*%
75 | %ADD64C,0.700*%
76 | %ADD65C,1.000*%
77 | %ADD66C,0.255*%
78 | %ADD67C,0.800*%
79 | %ADD68C,0.178*%
80 | %ADD69C,0.860*%
81 | %ADD70C,0.127*%
82 | %ADD71C,0.600*%
83 | %ADD72C,0.152*%
84 | %ADD73C,0.203*%
85 | %ADD74C,0.762*%
86 | %ADD75C,0.051*%
87 | %ADD76C,0.120*%
88 | %ADD77C,0.150*%
89 | %ADD78R,17.755X3.175*%
90 | %ADD79R,2.159X1.905*%
91 | %ADD80R,0.508X0.508*%
92 | %ADD81R,1.778X0.254*%
93 | %ADD82R,0.701X0.651*%
94 | %ADD83R,0.551X0.651*%
95 | %ADD84R,1.003X1.003*%
96 | %ADD85R,1.103X1.503*%
97 | %ADD86R,1.503X1.103*%
98 | %ADD87R,1.003X1.003*%
99 | %ADD88R,1.053X0.803*%
100 | %ADD89R,0.803X1.053*%
101 | %ADD90R,0.651X0.701*%
102 | %ADD91R,0.651X0.551*%
103 | %ADD92O,2.003X0.503*%
104 | %ADD93O,0.503X2.003*%
105 | %ADD94O,2.303X0.653*%
106 | %ADD95R,2.603X3.503*%
107 | %ADD96R,2.603X1.203*%
108 | %ADD97O,0.713X2.203*%
109 | %ADD98R,0.713X2.203*%
110 | %ADD99R,2.603X2.703*%
111 | %ADD100R,2.703X2.703*%
112 | %ADD101O,1.853X0.753*%
113 | %ADD102O,0.903X0.403*%
114 | %ADD103O,0.403X0.903*%
115 | %ADD104O,2.003X1.727*%
116 | %ADD105O,2.003X1.703*%
117 | %ADD106O,5.203X4.203*%
118 | %ADD107C,3.203*%
119 | %ADD108P,1.744X8X112.5*%
120 | %ADD109C,0.803*%
121 | %ADD110C,5.200*%
122 | %ADD111C,1.903*%
123 | %ADD112O,1.903X3.759*%
124 | G04:AMPARAMS|DCode=113|XSize=2.235mm|YSize=1.727mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
125 | %AMOCTAGOND113*
126 | 4,1,8,-0.432,-1.118,0.432,-1.118,0.864,-0.686,0.864,0.686,0.432,1.118,-0.432,1.118,-0.864,0.686,-0.864,-0.686,-0.432,-1.118,0.0*
127 | %
128 | %ADD113OCTAGOND113*%
129 |
130 | %ADD114O,2.603X2.003*%
131 | %ADD115R,2.603X2.003*%
132 | G04:AMPARAMS|DCode=116|XSize=1.403mm|YSize=1.803mm|CornerRadius=0.402mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
133 | %AMROUNDEDRECTD116*
134 | 21,1,1.403,1.000,0,0,270.0*
135 | 21,1,0.600,1.803,0,0,270.0*
136 | 1,1,0.803,-0.500,-0.300*
137 | 1,1,0.803,-0.500,0.300*
138 | 1,1,0.803,0.500,0.300*
139 | 1,1,0.803,0.500,-0.300*
140 | %
141 | %ADD116ROUNDEDRECTD116*%
142 | %ADD117R,1.803X1.403*%
143 | %ADD118C,1.600*%
144 | %ADD119C,4.003*%
145 | %ADD120O,1.803X2.103*%
146 | %ADD121R,1.803X2.103*%
147 | %ADD122C,2.235*%
148 | %ADD123R,2.235X2.235*%
149 | %ADD124C,1.703*%
150 | %ADD125R,1.703X1.703*%
151 | %ADD126R,1.703X1.703*%
152 | %ADD127C,2.703*%
153 | %ADD128P,2.557X8X202.5*%
154 | %ADD129C,0.100*%
155 | D33*
156 | X96972Y115330D02*
157 | Y115530D01*
158 | X96322Y115330D02*
159 | Y115530D01*
160 | X70302Y143270D02*
161 | Y143470D01*
162 | X69652Y143270D02*
163 | Y143470D01*
164 | X71953Y143270D02*
165 | Y143470D01*
166 | X71303Y143270D02*
167 | Y143470D01*
168 | D76*
169 | X70358Y145288D02*
170 | Y145738D01*
171 | X70133D01*
172 | X70058Y145663D01*
173 | Y145513D01*
174 | X70133Y145438D01*
175 | X70358D01*
176 | X70208D02*
177 | X70058Y145288D01*
178 | X69683D02*
179 | Y145738D01*
180 | X69908Y145513D01*
181 | X69608D01*
182 | X69158Y145738D02*
183 | X69458D01*
184 | Y145513D01*
185 | X69308Y145588D01*
186 | X69233D01*
187 | X69158Y145513D01*
188 | Y145363D01*
189 | X69233Y145288D01*
190 | X69383D01*
191 | X69458Y145363D01*
192 | X72263Y141478D02*
193 | Y141928D01*
194 | X72038D01*
195 | X71963Y141853D01*
196 | Y141703D01*
197 | X72038Y141628D01*
198 | X72263D01*
199 | X72113D02*
200 | X71963Y141478D01*
201 | X71588D02*
202 | Y141928D01*
203 | X71813Y141703D01*
204 | X71513D01*
205 | X71063Y141928D02*
206 | X71213Y141853D01*
207 | X71363Y141703D01*
208 | Y141553D01*
209 | X71288Y141478D01*
210 | X71138D01*
211 | X71063Y141553D01*
212 | Y141628D01*
213 | X71138Y141703D01*
214 | X71363D01*
215 | D129*
216 | X97008Y116755D02*
217 | Y116955D01*
218 | X96908D01*
219 | X96875Y116921D01*
220 | Y116855D01*
221 | X96908Y116821D01*
222 | X97008D01*
223 | X96941D02*
224 | X96875Y116755D01*
225 | X96708D02*
226 | Y116955D01*
227 | X96808Y116855D01*
228 | X96675D01*
229 | X96475Y116755D02*
230 | X96608D01*
231 | X96475Y116888D01*
232 | Y116921D01*
233 | X96508Y116955D01*
234 | X96575D01*
235 | X96608Y116921D01*
236 | M02*
237 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.GBP:
--------------------------------------------------------------------------------
1 | %FSLAX43Y43*%
2 | %MOMM*%
3 | G71*
4 | G01*
5 | G75*
6 | G04 Layer_Color=128*
7 | %ADD10R,0.650X0.600*%
8 | %ADD11R,0.500X0.600*%
9 | %ADD12R,0.800X0.800*%
10 | %ADD13R,0.900X1.300*%
11 | %ADD14R,1.300X0.900*%
12 | %ADD15R,0.800X0.800*%
13 | %ADD16R,0.850X0.600*%
14 | %ADD17R,0.600X0.850*%
15 | %ADD18R,0.600X0.650*%
16 | %ADD19R,0.600X0.500*%
17 | %ADD20O,1.800X0.300*%
18 | %ADD21O,0.300X1.800*%
19 | %ADD22O,2.100X0.450*%
20 | %ADD23R,2.400X3.300*%
21 | %ADD24R,2.400X1.000*%
22 | %ADD25O,0.510X2.000*%
23 | %ADD26R,0.510X2.000*%
24 | %ADD27R,2.400X2.500*%
25 | %ADD28R,2.500X2.500*%
26 | %ADD29O,1.650X0.550*%
27 | %ADD30O,0.700X0.200*%
28 | %ADD31O,0.200X0.700*%
29 | %ADD32C,0.254*%
30 | %ADD33C,0.200*%
31 | %ADD34C,1.000*%
32 | %ADD35C,0.250*%
33 | %ADD36C,1.500*%
34 | %ADD37C,0.500*%
35 | %ADD38C,0.245*%
36 | %ADD39O,1.800X1.524*%
37 | %ADD40O,1.800X1.500*%
38 | %ADD41O,5.000X4.000*%
39 | %ADD42C,3.000*%
40 | %ADD43P,1.524X8X112.5*%
41 | %ADD44C,0.600*%
42 | %ADD45C,5.000*%
43 | %ADD46C,1.700*%
44 | %ADD47O,1.700X3.556*%
45 | G04:AMPARAMS|DCode=48|XSize=2.032mm|YSize=1.524mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
46 | %AMOCTAGOND48*
47 | 4,1,8,-0.381,-1.016,0.381,-1.016,0.762,-0.635,0.762,0.635,0.381,1.016,-0.381,1.016,-0.762,0.635,-0.762,-0.635,-0.381,-1.016,0.0*
48 | %
49 | %ADD48OCTAGOND48*%
50 |
51 | %ADD49O,2.400X1.800*%
52 | %ADD50R,2.400X1.800*%
53 | G04:AMPARAMS|DCode=51|XSize=1.2mm|YSize=1.6mm|CornerRadius=0.3mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
54 | %AMROUNDEDRECTD51*
55 | 21,1,1.200,1.000,0,0,270.0*
56 | 21,1,0.600,1.600,0,0,270.0*
57 | 1,1,0.600,-0.500,-0.300*
58 | 1,1,0.600,-0.500,0.300*
59 | 1,1,0.600,0.500,0.300*
60 | 1,1,0.600,0.500,-0.300*
61 | %
62 | %ADD51ROUNDEDRECTD51*%
63 | %ADD52R,1.600X1.200*%
64 | %ADD53C,1.397*%
65 | %ADD54C,3.800*%
66 | %ADD55O,1.600X1.900*%
67 | %ADD56R,1.600X1.900*%
68 | %ADD57C,2.032*%
69 | %ADD58R,2.032X2.032*%
70 | %ADD59C,1.500*%
71 | %ADD60R,1.500X1.500*%
72 | %ADD61R,1.500X1.500*%
73 | %ADD62C,2.500*%
74 | %ADD63P,2.337X8X202.5*%
75 | %ADD64C,0.700*%
76 | %ADD65C,1.000*%
77 | %ADD66C,0.255*%
78 | %ADD67C,0.800*%
79 | %ADD68C,0.178*%
80 | %ADD69C,0.860*%
81 | %ADD70C,0.127*%
82 | %ADD71C,0.600*%
83 | %ADD72C,0.152*%
84 | %ADD73C,0.203*%
85 | %ADD74C,0.762*%
86 | %ADD75C,0.051*%
87 | %ADD76C,0.120*%
88 | %ADD77C,0.150*%
89 | %ADD78R,17.755X3.175*%
90 | %ADD79R,2.159X1.905*%
91 | %ADD80R,0.508X0.508*%
92 | %ADD81R,1.778X0.254*%
93 | %ADD82R,0.701X0.651*%
94 | %ADD83R,0.551X0.651*%
95 | %ADD84R,1.003X1.003*%
96 | %ADD85R,1.103X1.503*%
97 | %ADD86R,1.503X1.103*%
98 | %ADD87R,1.003X1.003*%
99 | %ADD88R,1.053X0.803*%
100 | %ADD89R,0.803X1.053*%
101 | %ADD90R,0.651X0.701*%
102 | %ADD91R,0.651X0.551*%
103 | %ADD92O,2.003X0.503*%
104 | %ADD93O,0.503X2.003*%
105 | %ADD94O,2.303X0.653*%
106 | %ADD95R,2.603X3.503*%
107 | %ADD96R,2.603X1.203*%
108 | %ADD97O,0.713X2.203*%
109 | %ADD98R,0.713X2.203*%
110 | %ADD99R,2.603X2.703*%
111 | %ADD100R,2.703X2.703*%
112 | %ADD101O,1.853X0.753*%
113 | %ADD102O,0.903X0.403*%
114 | %ADD103O,0.403X0.903*%
115 | %ADD104O,2.003X1.727*%
116 | %ADD105O,2.003X1.703*%
117 | %ADD106O,5.203X4.203*%
118 | %ADD107C,3.203*%
119 | %ADD108P,1.744X8X112.5*%
120 | %ADD109C,0.803*%
121 | %ADD110C,5.200*%
122 | %ADD111C,1.903*%
123 | %ADD112O,1.903X3.759*%
124 | G04:AMPARAMS|DCode=113|XSize=2.235mm|YSize=1.727mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
125 | %AMOCTAGOND113*
126 | 4,1,8,-0.432,-1.118,0.432,-1.118,0.864,-0.686,0.864,0.686,0.432,1.118,-0.432,1.118,-0.864,0.686,-0.864,-0.686,-0.432,-1.118,0.0*
127 | %
128 | %ADD113OCTAGOND113*%
129 |
130 | %ADD114O,2.603X2.003*%
131 | %ADD115R,2.603X2.003*%
132 | G04:AMPARAMS|DCode=116|XSize=1.403mm|YSize=1.803mm|CornerRadius=0.402mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
133 | %AMROUNDEDRECTD116*
134 | 21,1,1.403,1.000,0,0,270.0*
135 | 21,1,0.600,1.803,0,0,270.0*
136 | 1,1,0.803,-0.500,-0.300*
137 | 1,1,0.803,-0.500,0.300*
138 | 1,1,0.803,0.500,0.300*
139 | 1,1,0.803,0.500,-0.300*
140 | %
141 | %ADD116ROUNDEDRECTD116*%
142 | %ADD117R,1.803X1.403*%
143 | %ADD118C,1.600*%
144 | %ADD119C,4.003*%
145 | %ADD120O,1.803X2.103*%
146 | %ADD121R,1.803X2.103*%
147 | %ADD122C,2.235*%
148 | %ADD123R,2.235X2.235*%
149 | %ADD124C,1.703*%
150 | %ADD125R,1.703X1.703*%
151 | %ADD126R,1.703X1.703*%
152 | %ADD127C,2.703*%
153 | %ADD128P,2.557X8X202.5*%
154 | D16*
155 | X96647Y114808D02*
156 | D03*
157 | Y116052D02*
158 | D03*
159 | X69977Y142748D02*
160 | D03*
161 | Y143992D02*
162 | D03*
163 | X71628Y142748D02*
164 | D03*
165 | Y143992D02*
166 | D03*
167 | M02*
168 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.GBS:
--------------------------------------------------------------------------------
1 | %FSLAX43Y43*%
2 | %MOMM*%
3 | G71*
4 | G01*
5 | G75*
6 | G04 Layer_Color=16711935*
7 | %ADD10R,0.650X0.600*%
8 | %ADD11R,0.500X0.600*%
9 | %ADD12R,0.800X0.800*%
10 | %ADD13R,0.900X1.300*%
11 | %ADD14R,1.300X0.900*%
12 | %ADD15R,0.800X0.800*%
13 | %ADD16R,0.850X0.600*%
14 | %ADD17R,0.600X0.850*%
15 | %ADD18R,0.600X0.650*%
16 | %ADD19R,0.600X0.500*%
17 | %ADD20O,1.800X0.300*%
18 | %ADD21O,0.300X1.800*%
19 | %ADD22O,2.100X0.450*%
20 | %ADD23R,2.400X3.300*%
21 | %ADD24R,2.400X1.000*%
22 | %ADD25O,0.510X2.000*%
23 | %ADD26R,0.510X2.000*%
24 | %ADD27R,2.400X2.500*%
25 | %ADD28R,2.500X2.500*%
26 | %ADD29O,1.650X0.550*%
27 | %ADD30O,0.700X0.200*%
28 | %ADD31O,0.200X0.700*%
29 | %ADD32C,0.254*%
30 | %ADD33C,0.200*%
31 | %ADD34C,1.000*%
32 | %ADD35C,0.250*%
33 | %ADD36C,1.500*%
34 | %ADD37C,0.500*%
35 | %ADD38C,0.245*%
36 | %ADD39O,1.800X1.524*%
37 | %ADD40O,1.800X1.500*%
38 | %ADD41O,5.000X4.000*%
39 | %ADD42C,3.000*%
40 | %ADD43P,1.524X8X112.5*%
41 | %ADD44C,0.600*%
42 | %ADD45C,5.000*%
43 | %ADD46C,1.700*%
44 | %ADD47O,1.700X3.556*%
45 | G04:AMPARAMS|DCode=48|XSize=2.032mm|YSize=1.524mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
46 | %AMOCTAGOND48*
47 | 4,1,8,-0.381,-1.016,0.381,-1.016,0.762,-0.635,0.762,0.635,0.381,1.016,-0.381,1.016,-0.762,0.635,-0.762,-0.635,-0.381,-1.016,0.0*
48 | %
49 | %ADD48OCTAGOND48*%
50 |
51 | %ADD49O,2.400X1.800*%
52 | %ADD50R,2.400X1.800*%
53 | G04:AMPARAMS|DCode=51|XSize=1.2mm|YSize=1.6mm|CornerRadius=0.3mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
54 | %AMROUNDEDRECTD51*
55 | 21,1,1.200,1.000,0,0,270.0*
56 | 21,1,0.600,1.600,0,0,270.0*
57 | 1,1,0.600,-0.500,-0.300*
58 | 1,1,0.600,-0.500,0.300*
59 | 1,1,0.600,0.500,0.300*
60 | 1,1,0.600,0.500,-0.300*
61 | %
62 | %ADD51ROUNDEDRECTD51*%
63 | %ADD52R,1.600X1.200*%
64 | %ADD53C,1.397*%
65 | %ADD54C,3.800*%
66 | %ADD55O,1.600X1.900*%
67 | %ADD56R,1.600X1.900*%
68 | %ADD57C,2.032*%
69 | %ADD58R,2.032X2.032*%
70 | %ADD59C,1.500*%
71 | %ADD60R,1.500X1.500*%
72 | %ADD61R,1.500X1.500*%
73 | %ADD62C,2.500*%
74 | %ADD63P,2.337X8X202.5*%
75 | %ADD64C,0.700*%
76 | %ADD65C,1.000*%
77 | %ADD66C,0.255*%
78 | %ADD67C,0.800*%
79 | %ADD68C,0.178*%
80 | %ADD69C,0.860*%
81 | %ADD70C,0.127*%
82 | %ADD71C,0.600*%
83 | %ADD72C,0.152*%
84 | %ADD73C,0.203*%
85 | %ADD74C,0.762*%
86 | %ADD75C,0.051*%
87 | %ADD76C,0.120*%
88 | %ADD77C,0.150*%
89 | %ADD78R,17.755X3.175*%
90 | %ADD79R,2.159X1.905*%
91 | %ADD80R,0.508X0.508*%
92 | %ADD81R,1.778X0.254*%
93 | %ADD82R,0.701X0.651*%
94 | %ADD83R,0.551X0.651*%
95 | %ADD84R,1.003X1.003*%
96 | %ADD85R,1.103X1.503*%
97 | %ADD86R,1.503X1.103*%
98 | %ADD87R,1.003X1.003*%
99 | %ADD88R,1.053X0.803*%
100 | %ADD89R,0.803X1.053*%
101 | %ADD90R,0.651X0.701*%
102 | %ADD91R,0.651X0.551*%
103 | %ADD92O,2.003X0.503*%
104 | %ADD93O,0.503X2.003*%
105 | %ADD94O,2.303X0.653*%
106 | %ADD95R,2.603X3.503*%
107 | %ADD96R,2.603X1.203*%
108 | %ADD97O,0.713X2.203*%
109 | %ADD98R,0.713X2.203*%
110 | %ADD99R,2.603X2.703*%
111 | %ADD100R,2.703X2.703*%
112 | %ADD101O,1.853X0.753*%
113 | %ADD102O,0.903X0.403*%
114 | %ADD103O,0.403X0.903*%
115 | %ADD104O,2.003X1.727*%
116 | %ADD105O,2.003X1.703*%
117 | %ADD106O,5.203X4.203*%
118 | %ADD107C,3.203*%
119 | %ADD108P,1.744X8X112.5*%
120 | %ADD109C,0.803*%
121 | %ADD110C,5.200*%
122 | %ADD111C,1.903*%
123 | %ADD112O,1.903X3.759*%
124 | G04:AMPARAMS|DCode=113|XSize=2.235mm|YSize=1.727mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
125 | %AMOCTAGOND113*
126 | 4,1,8,-0.432,-1.118,0.432,-1.118,0.864,-0.686,0.864,0.686,0.432,1.118,-0.432,1.118,-0.864,0.686,-0.864,-0.686,-0.432,-1.118,0.0*
127 | %
128 | %ADD113OCTAGOND113*%
129 |
130 | %ADD114O,2.603X2.003*%
131 | %ADD115R,2.603X2.003*%
132 | G04:AMPARAMS|DCode=116|XSize=1.403mm|YSize=1.803mm|CornerRadius=0.402mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
133 | %AMROUNDEDRECTD116*
134 | 21,1,1.403,1.000,0,0,270.0*
135 | 21,1,0.600,1.803,0,0,270.0*
136 | 1,1,0.803,-0.500,-0.300*
137 | 1,1,0.803,-0.500,0.300*
138 | 1,1,0.803,0.500,0.300*
139 | 1,1,0.803,0.500,-0.300*
140 | %
141 | %ADD116ROUNDEDRECTD116*%
142 | %ADD117R,1.803X1.403*%
143 | %ADD118C,1.600*%
144 | %ADD119C,4.003*%
145 | %ADD120O,1.803X2.103*%
146 | %ADD121R,1.803X2.103*%
147 | %ADD122C,2.235*%
148 | %ADD123R,2.235X2.235*%
149 | %ADD124C,1.703*%
150 | %ADD125R,1.703X1.703*%
151 | %ADD126R,1.703X1.703*%
152 | %ADD127C,2.703*%
153 | %ADD128P,2.557X8X202.5*%
154 | D88*
155 | X96647Y114808D02*
156 | D03*
157 | Y116052D02*
158 | D03*
159 | X69977Y142748D02*
160 | D03*
161 | Y143992D02*
162 | D03*
163 | X71628Y142748D02*
164 | D03*
165 | Y143992D02*
166 | D03*
167 | D104*
168 | X184785Y132330D02*
169 | D03*
170 | Y139200D02*
171 | D03*
172 | Y130040D02*
173 | D03*
174 | Y134620D02*
175 | D03*
176 | Y136910D02*
177 | D03*
178 | X179705D02*
179 | D03*
180 | Y134620D02*
181 | D03*
182 | Y139200D02*
183 | D03*
184 | Y132330D02*
185 | D03*
186 | X182245Y138050D02*
187 | D03*
188 | Y135760D02*
189 | D03*
190 | Y131180D02*
191 | D03*
192 | Y140340D02*
193 | D03*
194 | Y133470D02*
195 | D03*
196 | D105*
197 | X179705Y130040D02*
198 | D03*
199 | D106*
200 | X182245Y147620D02*
201 | D03*
202 | Y121620D02*
203 | D03*
204 | D107*
205 | X186397Y116986D02*
206 | D03*
207 | Y103486D02*
208 | D03*
209 | X187197Y110236D02*
210 | D03*
211 | D108*
212 | X180897Y106836D02*
213 | D03*
214 | Y113636D02*
215 | D03*
216 | X183397Y106836D02*
217 | D03*
218 | Y113636D02*
219 | D03*
220 | Y108936D02*
221 | D03*
222 | Y111536D02*
223 | D03*
224 | D109*
225 | X189628Y79358D02*
226 | D03*
227 | X190214Y80772D02*
228 | D03*
229 | X186800Y79358D02*
230 | D03*
231 | X188214Y78772D02*
232 | D03*
233 | X186800Y82186D02*
234 | D03*
235 | X186214Y80772D02*
236 | D03*
237 | X188214Y82772D02*
238 | D03*
239 | X189628Y82186D02*
240 | D03*
241 | X57421Y79358D02*
242 | D03*
243 | X58007Y80772D02*
244 | D03*
245 | X54593Y79358D02*
246 | D03*
247 | X56007Y78772D02*
248 | D03*
249 | X54593Y82186D02*
250 | D03*
251 | X54007Y80772D02*
252 | D03*
253 | X56007Y82772D02*
254 | D03*
255 | X57421Y82186D02*
256 | D03*
257 | X189628Y151494D02*
258 | D03*
259 | X190214Y152908D02*
260 | D03*
261 | X186800Y151494D02*
262 | D03*
263 | X188214Y150908D02*
264 | D03*
265 | X186800Y154322D02*
266 | D03*
267 | X186214Y152908D02*
268 | D03*
269 | X188214Y154908D02*
270 | D03*
271 | X189628Y154322D02*
272 | D03*
273 | X57421Y151494D02*
274 | D03*
275 | X58007Y152908D02*
276 | D03*
277 | X54593Y151494D02*
278 | D03*
279 | X56007Y150908D02*
280 | D03*
281 | X54593Y154322D02*
282 | D03*
283 | X54007Y152908D02*
284 | D03*
285 | X56007Y154908D02*
286 | D03*
287 | X57421Y154322D02*
288 | D03*
289 | D110*
290 | X188214Y80772D02*
291 | D03*
292 | X56007D02*
293 | D03*
294 | X188214Y152908D02*
295 | D03*
296 | X56007D02*
297 | D03*
298 | D111*
299 | X172387Y80772D02*
300 | D03*
301 | X178387D02*
302 | D03*
303 | X175387D02*
304 | D03*
305 | D112*
306 | X180987D02*
307 | D03*
308 | X169787D02*
309 | D03*
310 | D113*
311 | X135890Y151638D02*
312 | D03*
313 | X138430D02*
314 | D03*
315 | X140970D02*
316 | D03*
317 | D114*
318 | X161036Y153924D02*
319 | D03*
320 | Y148844D02*
321 | D03*
322 | D115*
323 | Y151384D02*
324 | D03*
325 | D116*
326 | X112141Y147320D02*
327 | D03*
328 | X109474D02*
329 | D03*
330 | X106934D02*
331 | D03*
332 | X104267D02*
333 | D03*
334 | X101600D02*
335 | D03*
336 | Y155321D02*
337 | D03*
338 | X104267D02*
339 | D03*
340 | X106934D02*
341 | D03*
342 | X109474D02*
343 | D03*
344 | X98933D02*
345 | D03*
346 | X112141D02*
347 | D03*
348 | D117*
349 | X98933Y147320D02*
350 | D03*
351 | D118*
352 | X145542Y82015D02*
353 | D03*
354 | Y86895D02*
355 | D03*
356 | D119*
357 | X181597Y87579D02*
358 | D03*
359 | Y99619D02*
360 | D03*
361 | D120*
362 | X178887Y92349D02*
363 | D03*
364 | Y94849D02*
365 | D03*
366 | X176887Y92349D02*
367 | D03*
368 | D121*
369 | Y94849D02*
370 | D03*
371 | D122*
372 | X137605Y86804D02*
373 | D03*
374 | Y79820D02*
375 | D03*
376 | X126174Y86804D02*
377 | D03*
378 | Y79820D02*
379 | D03*
380 | X114744Y86932D02*
381 | D03*
382 | Y79947D02*
383 | D03*
384 | X103314Y86932D02*
385 | D03*
386 | Y79947D02*
387 | D03*
388 | D123*
389 | X133160Y86804D02*
390 | D03*
391 | Y79820D02*
392 | D03*
393 | X121729Y86804D02*
394 | D03*
395 | Y79820D02*
396 | D03*
397 | X110299Y86932D02*
398 | D03*
399 | Y79947D02*
400 | D03*
401 | X98869Y86932D02*
402 | D03*
403 | Y79947D02*
404 | D03*
405 | D124*
406 | X72898Y149733D02*
407 | D03*
408 | Y152273D02*
409 | D03*
410 | X75438Y149733D02*
411 | D03*
412 | Y152273D02*
413 | D03*
414 | X77978Y149733D02*
415 | D03*
416 | Y152273D02*
417 | D03*
418 | X80518Y149733D02*
419 | D03*
420 | Y152273D02*
421 | D03*
422 | X83058Y149733D02*
423 | D03*
424 | X67056Y112903D02*
425 | D03*
426 | X64516Y110363D02*
427 | D03*
428 | X67056D02*
429 | D03*
430 | X64516Y107823D02*
431 | D03*
432 | X67056D02*
433 | D03*
434 | X64516Y105283D02*
435 | D03*
436 | X67056D02*
437 | D03*
438 | X64516Y102743D02*
439 | D03*
440 | X67056D02*
441 | D03*
442 | X64516Y100203D02*
443 | D03*
444 | X67056D02*
445 | D03*
446 | X64516Y97663D02*
447 | D03*
448 | X67056D02*
449 | D03*
450 | X64516Y95123D02*
451 | D03*
452 | X67056D02*
453 | D03*
454 | X64516Y92583D02*
455 | D03*
456 | X67056D02*
457 | D03*
458 | X64516Y90043D02*
459 | D03*
460 | X67056D02*
461 | D03*
462 | Y143764D02*
463 | D03*
464 | X64516Y141224D02*
465 | D03*
466 | X67056D02*
467 | D03*
468 | X64516Y138684D02*
469 | D03*
470 | X67056D02*
471 | D03*
472 | X64516Y136144D02*
473 | D03*
474 | X67056D02*
475 | D03*
476 | X64516Y133604D02*
477 | D03*
478 | X67056D02*
479 | D03*
480 | X64516Y131064D02*
481 | D03*
482 | X67056D02*
483 | D03*
484 | X64516Y128524D02*
485 | D03*
486 | X67056D02*
487 | D03*
488 | X64516Y125984D02*
489 | D03*
490 | X67056D02*
491 | D03*
492 | X64516Y123444D02*
493 | D03*
494 | X67056D02*
495 | D03*
496 | D125*
497 | X83058Y152273D02*
498 | D03*
499 | D126*
500 | X64516Y112903D02*
501 | D03*
502 | Y143764D02*
503 | D03*
504 | D127*
505 | X150027Y153757D02*
506 | D03*
507 | X155027D02*
508 | D03*
509 | Y148757D02*
510 | D03*
511 | X150027D02*
512 | D03*
513 | X152527Y151257D02*
514 | D03*
515 | D128*
516 | X89789Y84963D02*
517 | D03*
518 | X82169D02*
519 | D03*
520 | M02*
521 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.GKO:
--------------------------------------------------------------------------------
1 | %FSLAX43Y43*%
2 | %MOMM*%
3 | G71*
4 | G01*
5 | G75*
6 | G04 Layer_Color=16711935*
7 | %ADD10R,0.650X0.600*%
8 | %ADD11R,0.500X0.600*%
9 | %ADD12R,0.800X0.800*%
10 | %ADD13R,0.900X1.300*%
11 | %ADD14R,1.300X0.900*%
12 | %ADD15R,0.800X0.800*%
13 | %ADD16R,0.850X0.600*%
14 | %ADD17R,0.600X0.850*%
15 | %ADD18R,0.600X0.650*%
16 | %ADD19R,0.600X0.500*%
17 | %ADD20O,1.800X0.300*%
18 | %ADD21O,0.300X1.800*%
19 | %ADD22O,2.100X0.450*%
20 | %ADD23R,2.400X3.300*%
21 | %ADD24R,2.400X1.000*%
22 | %ADD25O,0.510X2.000*%
23 | %ADD26R,0.510X2.000*%
24 | %ADD27R,2.400X2.500*%
25 | %ADD28R,2.500X2.500*%
26 | %ADD29O,1.650X0.550*%
27 | %ADD30O,0.700X0.200*%
28 | %ADD31O,0.200X0.700*%
29 | %ADD32C,0.254*%
30 | %ADD33C,0.200*%
31 | %ADD34C,1.000*%
32 | %ADD35C,0.250*%
33 | %ADD36C,1.500*%
34 | %ADD37C,0.500*%
35 | %ADD38C,0.245*%
36 | %ADD39O,1.800X1.524*%
37 | %ADD40O,1.800X1.500*%
38 | %ADD41O,5.000X4.000*%
39 | %ADD42C,3.000*%
40 | %ADD43P,1.524X8X112.5*%
41 | %ADD44C,0.600*%
42 | %ADD45C,5.000*%
43 | %ADD46C,1.700*%
44 | %ADD47O,1.700X3.556*%
45 | G04:AMPARAMS|DCode=48|XSize=2.032mm|YSize=1.524mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
46 | %AMOCTAGOND48*
47 | 4,1,8,-0.381,-1.016,0.381,-1.016,0.762,-0.635,0.762,0.635,0.381,1.016,-0.381,1.016,-0.762,0.635,-0.762,-0.635,-0.381,-1.016,0.0*
48 | %
49 | %ADD48OCTAGOND48*%
50 |
51 | %ADD49O,2.400X1.800*%
52 | %ADD50R,2.400X1.800*%
53 | G04:AMPARAMS|DCode=51|XSize=1.2mm|YSize=1.6mm|CornerRadius=0.3mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
54 | %AMROUNDEDRECTD51*
55 | 21,1,1.200,1.000,0,0,270.0*
56 | 21,1,0.600,1.600,0,0,270.0*
57 | 1,1,0.600,-0.500,-0.300*
58 | 1,1,0.600,-0.500,0.300*
59 | 1,1,0.600,0.500,0.300*
60 | 1,1,0.600,0.500,-0.300*
61 | %
62 | %ADD51ROUNDEDRECTD51*%
63 | %ADD52R,1.600X1.200*%
64 | %ADD53C,1.397*%
65 | %ADD54C,3.800*%
66 | %ADD55O,1.600X1.900*%
67 | %ADD56R,1.600X1.900*%
68 | %ADD57C,2.032*%
69 | %ADD58R,2.032X2.032*%
70 | %ADD59C,1.500*%
71 | %ADD60R,1.500X1.500*%
72 | %ADD61R,1.500X1.500*%
73 | %ADD62C,2.500*%
74 | %ADD63P,2.337X8X202.5*%
75 | %ADD64C,0.700*%
76 | %ADD65C,1.000*%
77 | %ADD66C,0.255*%
78 | %ADD67C,0.800*%
79 | %ADD68C,0.178*%
80 | %ADD69C,0.860*%
81 | %ADD70C,0.127*%
82 | %ADD71C,0.600*%
83 | %ADD72C,0.152*%
84 | %ADD73C,0.203*%
85 | %ADD74C,0.762*%
86 | %ADD75C,0.051*%
87 | %ADD76C,0.120*%
88 | %ADD77C,0.150*%
89 | %ADD78R,17.755X3.175*%
90 | %ADD79R,2.159X1.905*%
91 | %ADD80R,0.508X0.508*%
92 | %ADD81R,1.778X0.254*%
93 | %ADD82R,0.701X0.651*%
94 | %ADD83R,0.551X0.651*%
95 | %ADD84R,1.003X1.003*%
96 | %ADD85R,1.103X1.503*%
97 | %ADD86R,1.503X1.103*%
98 | %ADD87R,1.003X1.003*%
99 | %ADD88R,1.053X0.803*%
100 | %ADD89R,0.803X1.053*%
101 | %ADD90R,0.651X0.701*%
102 | %ADD91R,0.651X0.551*%
103 | %ADD92O,2.003X0.503*%
104 | %ADD93O,0.503X2.003*%
105 | %ADD94O,2.303X0.653*%
106 | %ADD95R,2.603X3.503*%
107 | %ADD96R,2.603X1.203*%
108 | %ADD97O,0.713X2.203*%
109 | %ADD98R,0.713X2.203*%
110 | %ADD99R,2.603X2.703*%
111 | %ADD100R,2.703X2.703*%
112 | %ADD101O,1.853X0.753*%
113 | %ADD102O,0.903X0.403*%
114 | %ADD103O,0.403X0.903*%
115 | %ADD104O,2.003X1.727*%
116 | %ADD105O,2.003X1.703*%
117 | %ADD106O,5.203X4.203*%
118 | %ADD107C,3.203*%
119 | %ADD108P,1.744X8X112.5*%
120 | %ADD109C,0.803*%
121 | %ADD110C,5.200*%
122 | %ADD111C,1.903*%
123 | %ADD112O,1.903X3.759*%
124 | G04:AMPARAMS|DCode=113|XSize=2.235mm|YSize=1.727mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
125 | %AMOCTAGOND113*
126 | 4,1,8,-0.432,-1.118,0.432,-1.118,0.864,-0.686,0.864,0.686,0.432,1.118,-0.432,1.118,-0.864,0.686,-0.864,-0.686,-0.432,-1.118,0.0*
127 | %
128 | %ADD113OCTAGOND113*%
129 |
130 | %ADD114O,2.603X2.003*%
131 | %ADD115R,2.603X2.003*%
132 | G04:AMPARAMS|DCode=116|XSize=1.403mm|YSize=1.803mm|CornerRadius=0.402mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
133 | %AMROUNDEDRECTD116*
134 | 21,1,1.403,1.000,0,0,270.0*
135 | 21,1,0.600,1.803,0,0,270.0*
136 | 1,1,0.803,-0.500,-0.300*
137 | 1,1,0.803,-0.500,0.300*
138 | 1,1,0.803,0.500,0.300*
139 | 1,1,0.803,0.500,-0.300*
140 | %
141 | %ADD116ROUNDEDRECTD116*%
142 | %ADD117R,1.803X1.403*%
143 | %ADD118C,1.600*%
144 | %ADD119C,4.003*%
145 | %ADD120O,1.803X2.103*%
146 | %ADD121R,1.803X2.103*%
147 | %ADD122C,2.235*%
148 | %ADD123R,2.235X2.235*%
149 | %ADD124C,1.703*%
150 | %ADD125R,1.703X1.703*%
151 | %ADD126R,1.703X1.703*%
152 | %ADD127C,2.703*%
153 | %ADD128P,2.557X8X202.5*%
154 | %ADD129C,0.100*%
155 | %ADD130C,0.300*%
156 | D32*
157 | X192151Y152400D02*
158 | G03*
159 | X187960Y156865I-4328J137D01*
160 | G01*
161 | X187668Y76835D02*
162 | G03*
163 | X192133Y81026I137J4328D01*
164 | G01*
165 | X52070Y81330D02*
166 | G03*
167 | X56261Y76865I4328J-137D01*
168 | G01*
169 | X56515Y156883D02*
170 | G03*
171 | X52050Y152692I-137J-4328D01*
172 | G01*
173 | D130*
174 | X57170Y156865D02*
175 | X57188Y156883D01*
176 | X56515D02*
177 | X57188D01*
178 | X57170Y156865D02*
179 | X187960D01*
180 | X192133Y81026D02*
181 | Y152400D01*
182 | X56261Y76865D02*
183 | X187668D01*
184 | X52070Y81330D02*
185 | Y152692D01*
186 | M02*
187 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.GPB:
--------------------------------------------------------------------------------
1 | %FSLAX43Y43*%
2 | %MOMM*%
3 | G71*
4 | G01*
5 | G75*
6 | G04 Layer_Color=255*
7 | %ADD10R,0.650X0.600*%
8 | %ADD11R,0.500X0.600*%
9 | %ADD12R,0.800X0.800*%
10 | %ADD13R,0.900X1.300*%
11 | %ADD14R,1.300X0.900*%
12 | %ADD15R,0.800X0.800*%
13 | %ADD16R,0.850X0.600*%
14 | %ADD17R,0.600X0.850*%
15 | %ADD18R,0.600X0.650*%
16 | %ADD19R,0.600X0.500*%
17 | %ADD20O,1.800X0.300*%
18 | %ADD21O,0.300X1.800*%
19 | %ADD22O,2.100X0.450*%
20 | %ADD23R,2.400X3.300*%
21 | %ADD24R,2.400X1.000*%
22 | %ADD25O,0.510X2.000*%
23 | %ADD26R,0.510X2.000*%
24 | %ADD27R,2.400X2.500*%
25 | %ADD28R,2.500X2.500*%
26 | %ADD29O,1.650X0.550*%
27 | %ADD30O,0.700X0.200*%
28 | %ADD31O,0.200X0.700*%
29 | %ADD32C,0.254*%
30 | %ADD33C,0.200*%
31 | %ADD34C,1.000*%
32 | %ADD35C,0.250*%
33 | %ADD36C,1.500*%
34 | %ADD37C,0.500*%
35 | %ADD38C,0.245*%
36 | %ADD39O,1.800X1.524*%
37 | %ADD40O,1.800X1.500*%
38 | %ADD41O,5.000X4.000*%
39 | %ADD42C,3.000*%
40 | %ADD43P,1.524X8X112.5*%
41 | %ADD44C,0.600*%
42 | %ADD45C,5.000*%
43 | %ADD46C,1.700*%
44 | %ADD47O,1.700X3.556*%
45 | G04:AMPARAMS|DCode=48|XSize=2.032mm|YSize=1.524mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
46 | %AMOCTAGOND48*
47 | 4,1,8,-0.381,-1.016,0.381,-1.016,0.762,-0.635,0.762,0.635,0.381,1.016,-0.381,1.016,-0.762,0.635,-0.762,-0.635,-0.381,-1.016,0.0*
48 | %
49 | %ADD48OCTAGOND48*%
50 |
51 | %ADD49O,2.400X1.800*%
52 | %ADD50R,2.400X1.800*%
53 | G04:AMPARAMS|DCode=51|XSize=1.2mm|YSize=1.6mm|CornerRadius=0.3mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
54 | %AMROUNDEDRECTD51*
55 | 21,1,1.200,1.000,0,0,270.0*
56 | 21,1,0.600,1.600,0,0,270.0*
57 | 1,1,0.600,-0.500,-0.300*
58 | 1,1,0.600,-0.500,0.300*
59 | 1,1,0.600,0.500,0.300*
60 | 1,1,0.600,0.500,-0.300*
61 | %
62 | %ADD51ROUNDEDRECTD51*%
63 | %ADD52R,1.600X1.200*%
64 | %ADD53C,1.397*%
65 | %ADD54C,3.800*%
66 | %ADD55O,1.600X1.900*%
67 | %ADD56R,1.600X1.900*%
68 | %ADD57C,2.032*%
69 | %ADD58R,2.032X2.032*%
70 | %ADD59C,1.500*%
71 | %ADD60R,1.500X1.500*%
72 | %ADD61R,1.500X1.500*%
73 | %ADD62C,2.500*%
74 | %ADD63P,2.337X8X202.5*%
75 | %ADD64C,0.700*%
76 | %ADD65C,1.000*%
77 | %ADD66C,0.255*%
78 | %ADD67C,0.800*%
79 | D16*
80 | X96647Y114808D02*
81 | D03*
82 | Y116052D02*
83 | D03*
84 | X69977Y142748D02*
85 | D03*
86 | Y143992D02*
87 | D03*
88 | X71628Y142748D02*
89 | D03*
90 | Y143992D02*
91 | D03*
92 | D39*
93 | X184785Y132330D02*
94 | D03*
95 | Y139200D02*
96 | D03*
97 | Y130040D02*
98 | D03*
99 | Y134620D02*
100 | D03*
101 | Y136910D02*
102 | D03*
103 | X179705D02*
104 | D03*
105 | Y134620D02*
106 | D03*
107 | Y139200D02*
108 | D03*
109 | Y132330D02*
110 | D03*
111 | X182245Y138050D02*
112 | D03*
113 | Y135760D02*
114 | D03*
115 | Y131180D02*
116 | D03*
117 | Y140340D02*
118 | D03*
119 | Y133470D02*
120 | D03*
121 | D40*
122 | X179705Y130040D02*
123 | D03*
124 | D41*
125 | X182245Y147620D02*
126 | D03*
127 | Y121620D02*
128 | D03*
129 | D42*
130 | X186397Y116986D02*
131 | D03*
132 | Y103486D02*
133 | D03*
134 | X187197Y110236D02*
135 | D03*
136 | D43*
137 | X180897Y106836D02*
138 | D03*
139 | Y113636D02*
140 | D03*
141 | X183397Y106836D02*
142 | D03*
143 | Y113636D02*
144 | D03*
145 | Y108936D02*
146 | D03*
147 | Y111536D02*
148 | D03*
149 | D44*
150 | X189628Y79358D02*
151 | D03*
152 | X190214Y80772D02*
153 | D03*
154 | X186800Y79358D02*
155 | D03*
156 | X188214Y78772D02*
157 | D03*
158 | X186800Y82186D02*
159 | D03*
160 | X186214Y80772D02*
161 | D03*
162 | X188214Y82772D02*
163 | D03*
164 | X189628Y82186D02*
165 | D03*
166 | X57421Y79358D02*
167 | D03*
168 | X58007Y80772D02*
169 | D03*
170 | X54593Y79358D02*
171 | D03*
172 | X56007Y78772D02*
173 | D03*
174 | X54593Y82186D02*
175 | D03*
176 | X54007Y80772D02*
177 | D03*
178 | X56007Y82772D02*
179 | D03*
180 | X57421Y82186D02*
181 | D03*
182 | X189628Y151494D02*
183 | D03*
184 | X190214Y152908D02*
185 | D03*
186 | X186800Y151494D02*
187 | D03*
188 | X188214Y150908D02*
189 | D03*
190 | X186800Y154322D02*
191 | D03*
192 | X186214Y152908D02*
193 | D03*
194 | X188214Y154908D02*
195 | D03*
196 | X189628Y154322D02*
197 | D03*
198 | X57421Y151494D02*
199 | D03*
200 | X58007Y152908D02*
201 | D03*
202 | X54593Y151494D02*
203 | D03*
204 | X56007Y150908D02*
205 | D03*
206 | X54593Y154322D02*
207 | D03*
208 | X54007Y152908D02*
209 | D03*
210 | X56007Y154908D02*
211 | D03*
212 | X57421Y154322D02*
213 | D03*
214 | D45*
215 | X188214Y80772D02*
216 | D03*
217 | X56007D02*
218 | D03*
219 | X188214Y152908D02*
220 | D03*
221 | X56007D02*
222 | D03*
223 | D46*
224 | X172387Y80772D02*
225 | D03*
226 | X178387D02*
227 | D03*
228 | X175387D02*
229 | D03*
230 | D47*
231 | X180987D02*
232 | D03*
233 | X169787D02*
234 | D03*
235 | D48*
236 | X135890Y151638D02*
237 | D03*
238 | X138430D02*
239 | D03*
240 | X140970D02*
241 | D03*
242 | D49*
243 | X161036Y153924D02*
244 | D03*
245 | Y148844D02*
246 | D03*
247 | D50*
248 | Y151384D02*
249 | D03*
250 | D51*
251 | X112141Y147320D02*
252 | D03*
253 | X109474D02*
254 | D03*
255 | X106934D02*
256 | D03*
257 | X104267D02*
258 | D03*
259 | X101600D02*
260 | D03*
261 | Y155321D02*
262 | D03*
263 | X104267D02*
264 | D03*
265 | X106934D02*
266 | D03*
267 | X109474D02*
268 | D03*
269 | X98933D02*
270 | D03*
271 | X112141D02*
272 | D03*
273 | D52*
274 | X98933Y147320D02*
275 | D03*
276 | D53*
277 | X145542Y82015D02*
278 | D03*
279 | Y86895D02*
280 | D03*
281 | D54*
282 | X181597Y87579D02*
283 | D03*
284 | Y99619D02*
285 | D03*
286 | D55*
287 | X178887Y92349D02*
288 | D03*
289 | Y94849D02*
290 | D03*
291 | X176887Y92349D02*
292 | D03*
293 | D56*
294 | Y94849D02*
295 | D03*
296 | D57*
297 | X137605Y86804D02*
298 | D03*
299 | Y79820D02*
300 | D03*
301 | X126174Y86804D02*
302 | D03*
303 | Y79820D02*
304 | D03*
305 | X114744Y86932D02*
306 | D03*
307 | Y79947D02*
308 | D03*
309 | X103314Y86932D02*
310 | D03*
311 | Y79947D02*
312 | D03*
313 | D58*
314 | X133160Y86804D02*
315 | D03*
316 | Y79820D02*
317 | D03*
318 | X121729Y86804D02*
319 | D03*
320 | Y79820D02*
321 | D03*
322 | X110299Y86932D02*
323 | D03*
324 | Y79947D02*
325 | D03*
326 | X98869Y86932D02*
327 | D03*
328 | Y79947D02*
329 | D03*
330 | D59*
331 | X72898Y149733D02*
332 | D03*
333 | Y152273D02*
334 | D03*
335 | X75438Y149733D02*
336 | D03*
337 | Y152273D02*
338 | D03*
339 | X77978Y149733D02*
340 | D03*
341 | Y152273D02*
342 | D03*
343 | X80518Y149733D02*
344 | D03*
345 | Y152273D02*
346 | D03*
347 | X83058Y149733D02*
348 | D03*
349 | X67056Y112903D02*
350 | D03*
351 | X64516Y110363D02*
352 | D03*
353 | X67056D02*
354 | D03*
355 | X64516Y107823D02*
356 | D03*
357 | X67056D02*
358 | D03*
359 | X64516Y105283D02*
360 | D03*
361 | X67056D02*
362 | D03*
363 | X64516Y102743D02*
364 | D03*
365 | X67056D02*
366 | D03*
367 | X64516Y100203D02*
368 | D03*
369 | X67056D02*
370 | D03*
371 | X64516Y97663D02*
372 | D03*
373 | X67056D02*
374 | D03*
375 | X64516Y95123D02*
376 | D03*
377 | X67056D02*
378 | D03*
379 | X64516Y92583D02*
380 | D03*
381 | X67056D02*
382 | D03*
383 | X64516Y90043D02*
384 | D03*
385 | X67056D02*
386 | D03*
387 | Y143764D02*
388 | D03*
389 | X64516Y141224D02*
390 | D03*
391 | X67056D02*
392 | D03*
393 | X64516Y138684D02*
394 | D03*
395 | X67056D02*
396 | D03*
397 | X64516Y136144D02*
398 | D03*
399 | X67056D02*
400 | D03*
401 | X64516Y133604D02*
402 | D03*
403 | X67056D02*
404 | D03*
405 | X64516Y131064D02*
406 | D03*
407 | X67056D02*
408 | D03*
409 | X64516Y128524D02*
410 | D03*
411 | X67056D02*
412 | D03*
413 | X64516Y125984D02*
414 | D03*
415 | X67056D02*
416 | D03*
417 | X64516Y123444D02*
418 | D03*
419 | X67056D02*
420 | D03*
421 | D60*
422 | X83058Y152273D02*
423 | D03*
424 | D61*
425 | X64516Y112903D02*
426 | D03*
427 | Y143764D02*
428 | D03*
429 | D62*
430 | X150027Y153757D02*
431 | D03*
432 | X155027D02*
433 | D03*
434 | Y148757D02*
435 | D03*
436 | X150027D02*
437 | D03*
438 | X152527Y151257D02*
439 | D03*
440 | D63*
441 | X89789Y84963D02*
442 | D03*
443 | X82169D02*
444 | D03*
445 | M02*
446 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.GPT:
--------------------------------------------------------------------------------
1 | %FSLAX43Y43*%
2 | %MOMM*%
3 | G71*
4 | G01*
5 | G75*
6 | %ADD10R,0.650X0.600*%
7 | %ADD11R,0.500X0.600*%
8 | %ADD12R,0.800X0.800*%
9 | %ADD13R,0.900X1.300*%
10 | %ADD14R,1.300X0.900*%
11 | %ADD15R,0.800X0.800*%
12 | %ADD16R,0.850X0.600*%
13 | %ADD17R,0.600X0.850*%
14 | %ADD18R,0.600X0.650*%
15 | %ADD19R,0.600X0.500*%
16 | %ADD20O,1.800X0.300*%
17 | %ADD21O,0.300X1.800*%
18 | %ADD22O,2.100X0.450*%
19 | %ADD23R,2.400X3.300*%
20 | %ADD24R,2.400X1.000*%
21 | %ADD25O,0.510X2.000*%
22 | %ADD26R,0.510X2.000*%
23 | %ADD27R,2.400X2.500*%
24 | %ADD28R,2.500X2.500*%
25 | %ADD29O,1.650X0.550*%
26 | %ADD30O,0.700X0.200*%
27 | %ADD31O,0.200X0.700*%
28 | %ADD32C,0.254*%
29 | %ADD33C,0.200*%
30 | %ADD34C,1.000*%
31 | %ADD35C,0.250*%
32 | %ADD36C,1.500*%
33 | %ADD37C,0.500*%
34 | %ADD38C,0.245*%
35 | %ADD39O,1.800X1.524*%
36 | %ADD40O,1.800X1.500*%
37 | %ADD41O,5.000X4.000*%
38 | %ADD42C,3.000*%
39 | %ADD43P,1.524X8X112.5*%
40 | %ADD44C,0.600*%
41 | %ADD45C,5.000*%
42 | %ADD46C,1.700*%
43 | %ADD47O,1.700X3.556*%
44 | G04:AMPARAMS|DCode=48|XSize=2.032mm|YSize=1.524mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
45 | %AMOCTAGOND48*
46 | 4,1,8,-0.381,-1.016,0.381,-1.016,0.762,-0.635,0.762,0.635,0.381,1.016,-0.381,1.016,-0.762,0.635,-0.762,-0.635,-0.381,-1.016,0.0*
47 | %
48 | %ADD48OCTAGOND48*%
49 |
50 | %ADD49O,2.400X1.800*%
51 | %ADD50R,2.400X1.800*%
52 | G04:AMPARAMS|DCode=51|XSize=1.2mm|YSize=1.6mm|CornerRadius=0.3mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
53 | %AMROUNDEDRECTD51*
54 | 21,1,1.200,1.000,0,0,270.0*
55 | 21,1,0.600,1.600,0,0,270.0*
56 | 1,1,0.600,-0.500,-0.300*
57 | 1,1,0.600,-0.500,0.300*
58 | 1,1,0.600,0.500,0.300*
59 | 1,1,0.600,0.500,-0.300*
60 | %
61 | %ADD51ROUNDEDRECTD51*%
62 | %ADD52R,1.600X1.200*%
63 | %ADD53C,1.397*%
64 | %ADD54C,3.800*%
65 | %ADD55O,1.600X1.900*%
66 | %ADD56R,1.600X1.900*%
67 | %ADD57C,2.032*%
68 | %ADD58R,2.032X2.032*%
69 | %ADD59C,1.500*%
70 | %ADD60R,1.500X1.500*%
71 | %ADD61R,1.500X1.500*%
72 | %ADD62C,2.500*%
73 | %ADD63P,2.337X8X202.5*%
74 | %ADD64C,0.700*%
75 | %ADD65C,1.000*%
76 | %ADD66C,0.255*%
77 | %ADD67C,0.800*%
78 | D10*
79 | X164597Y144564D02*
80 | D03*
81 | X162047D02*
82 | D03*
83 | X164597Y142964D02*
84 | D03*
85 | X162047D02*
86 | D03*
87 | X168915Y144564D02*
88 | D03*
89 | X166365D02*
90 | D03*
91 | X168915Y142964D02*
92 | D03*
93 | X166365D02*
94 | D03*
95 | X173233Y144564D02*
96 | D03*
97 | X170683D02*
98 | D03*
99 | X173233Y142964D02*
100 | D03*
101 | X170683D02*
102 | D03*
103 | X164597Y138976D02*
104 | D03*
105 | X162047D02*
106 | D03*
107 | X164597Y137376D02*
108 | D03*
109 | X162047D02*
110 | D03*
111 | X168915Y138976D02*
112 | D03*
113 | X166365D02*
114 | D03*
115 | X168915Y137376D02*
116 | D03*
117 | X166365D02*
118 | D03*
119 | X164597Y134277D02*
120 | D03*
121 | X162047D02*
122 | D03*
123 | X164597Y132677D02*
124 | D03*
125 | X162047D02*
126 | D03*
127 | X164597Y129578D02*
128 | D03*
129 | X162047D02*
130 | D03*
131 | X164597Y127978D02*
132 | D03*
133 | X162047D02*
134 | D03*
135 | X168915Y129578D02*
136 | D03*
137 | X166365D02*
138 | D03*
139 | X168915Y127978D02*
140 | D03*
141 | X166365D02*
142 | D03*
143 | X173233Y129578D02*
144 | D03*
145 | X170683D02*
146 | D03*
147 | X173233Y127978D02*
148 | D03*
149 | X170683D02*
150 | D03*
151 | X168915Y134277D02*
152 | D03*
153 | X166365D02*
154 | D03*
155 | X168915Y132677D02*
156 | D03*
157 | X166365D02*
158 | D03*
159 | X173233Y134277D02*
160 | D03*
161 | X170683D02*
162 | D03*
163 | X173233Y132677D02*
164 | D03*
165 | X170683D02*
166 | D03*
167 | D11*
168 | X163722Y144564D02*
169 | D03*
170 | X162922D02*
171 | D03*
172 | X163722Y142964D02*
173 | D03*
174 | X162922D02*
175 | D03*
176 | X168040Y144564D02*
177 | D03*
178 | X167240D02*
179 | D03*
180 | X168040Y142964D02*
181 | D03*
182 | X167240D02*
183 | D03*
184 | X172358Y144564D02*
185 | D03*
186 | X171558D02*
187 | D03*
188 | X172358Y142964D02*
189 | D03*
190 | X171558D02*
191 | D03*
192 | X163722Y138976D02*
193 | D03*
194 | X162922D02*
195 | D03*
196 | X163722Y137376D02*
197 | D03*
198 | X162922D02*
199 | D03*
200 | X168040Y138976D02*
201 | D03*
202 | X167240D02*
203 | D03*
204 | X168040Y137376D02*
205 | D03*
206 | X167240D02*
207 | D03*
208 | X163722Y134277D02*
209 | D03*
210 | X162922D02*
211 | D03*
212 | X163722Y132677D02*
213 | D03*
214 | X162922D02*
215 | D03*
216 | X163722Y129578D02*
217 | D03*
218 | X162922D02*
219 | D03*
220 | X163722Y127978D02*
221 | D03*
222 | X162922D02*
223 | D03*
224 | X168040Y129578D02*
225 | D03*
226 | X167240D02*
227 | D03*
228 | X168040Y127978D02*
229 | D03*
230 | X167240D02*
231 | D03*
232 | X172358Y129578D02*
233 | D03*
234 | X171558D02*
235 | D03*
236 | X172358Y127978D02*
237 | D03*
238 | X171558D02*
239 | D03*
240 | X168040Y134277D02*
241 | D03*
242 | X167240D02*
243 | D03*
244 | X168040Y132677D02*
245 | D03*
246 | X167240D02*
247 | D03*
248 | X172358Y134277D02*
249 | D03*
250 | X171558D02*
251 | D03*
252 | X172358Y132677D02*
253 | D03*
254 | X171558D02*
255 | D03*
256 | D12*
257 | X141224Y81927D02*
258 | D03*
259 | Y83427D02*
260 | D03*
261 | Y87491D02*
262 | D03*
263 | Y85991D02*
264 | D03*
265 | X166878Y82411D02*
266 | D03*
267 | Y80911D02*
268 | D03*
269 | X115062Y137934D02*
270 | D03*
271 | Y139434D02*
272 | D03*
273 | X79502Y125615D02*
274 | D03*
275 | Y127115D02*
276 | D03*
277 | X142748Y134251D02*
278 | D03*
279 | Y135751D02*
280 | D03*
281 | X161290Y95389D02*
282 | D03*
283 | Y96889D02*
284 | D03*
285 | X159258Y95389D02*
286 | D03*
287 | Y96889D02*
288 | D03*
289 | X153924Y142887D02*
290 | D03*
291 | Y144387D02*
292 | D03*
293 | D13*
294 | X154239Y109093D02*
295 | D03*
296 | X152339D02*
297 | D03*
298 | X158557Y112268D02*
299 | D03*
300 | X156657D02*
301 | D03*
302 | X158557Y103632D02*
303 | D03*
304 | X156657D02*
305 | D03*
306 | X158557Y121158D02*
307 | D03*
308 | X156657D02*
309 | D03*
310 | D14*
311 | X161163Y121092D02*
312 | D03*
313 | Y119192D02*
314 | D03*
315 | Y103566D02*
316 | D03*
317 | Y101666D02*
318 | D03*
319 | Y112329D02*
320 | D03*
321 | Y110429D02*
322 | D03*
323 | D15*
324 | X154039Y112141D02*
325 | D03*
326 | X152539D02*
327 | D03*
328 | X91301Y128143D02*
329 | D03*
330 | X89801D02*
331 | D03*
332 | X75069Y83566D02*
333 | D03*
334 | X76569D02*
335 | D03*
336 | X139307Y147193D02*
337 | D03*
338 | X137807D02*
339 | D03*
340 | X175502Y106172D02*
341 | D03*
342 | X174002D02*
343 | D03*
344 | X75196Y79248D02*
345 | D03*
346 | X76696D02*
347 | D03*
348 | X120561Y144653D02*
349 | D03*
350 | X118961D02*
351 | D03*
352 | X114084D02*
353 | D03*
354 | X112484D02*
355 | D03*
356 | X107607D02*
357 | D03*
358 | X106007D02*
359 | D03*
360 | X101130D02*
361 | D03*
362 | X99530D02*
363 | D03*
364 | X94653D02*
365 | D03*
366 | X93053D02*
367 | D03*
368 | D16*
369 | X139700Y127127D02*
370 | D03*
371 | Y128371D02*
372 | D03*
373 | X137795Y117475D02*
374 | D03*
375 | Y118719D02*
376 | D03*
377 | X108204Y104394D02*
378 | D03*
379 | Y103150D02*
380 | D03*
381 | X137795Y127127D02*
382 | D03*
383 | Y128371D02*
384 | D03*
385 | X115189Y133858D02*
386 | D03*
387 | Y132614D02*
388 | D03*
389 | X142748Y137668D02*
390 | D03*
391 | Y138912D02*
392 | D03*
393 | X133985Y127127D02*
394 | D03*
395 | Y128371D02*
396 | D03*
397 | X135890Y128397D02*
398 | D03*
399 | Y127153D02*
400 | D03*
401 | X153924Y139954D02*
402 | D03*
403 | Y138710D02*
404 | D03*
405 | X160782Y85217D02*
406 | D03*
407 | Y86461D02*
408 | D03*
409 | X164846Y86487D02*
410 | D03*
411 | Y85243D02*
412 | D03*
413 | X162814Y85217D02*
414 | D03*
415 | Y86461D02*
416 | D03*
417 | X166878Y86487D02*
418 | D03*
419 | Y85243D02*
420 | D03*
421 | X94615Y86233D02*
422 | D03*
423 | Y84989D02*
424 | D03*
425 | X117221Y139446D02*
426 | D03*
427 | Y138202D02*
428 | D03*
429 | X145034Y150749D02*
430 | D03*
431 | Y151993D02*
432 | D03*
433 | X117221Y132588D02*
434 | D03*
435 | Y133832D02*
436 | D03*
437 | X147574Y102108D02*
438 | D03*
439 | Y103352D02*
440 | D03*
441 | X145796Y102108D02*
442 | D03*
443 | Y103352D02*
444 | D03*
445 | X144018Y103378D02*
446 | D03*
447 | Y102134D02*
448 | D03*
449 | X142240Y103378D02*
450 | D03*
451 | Y102134D02*
452 | D03*
453 | X153924Y135636D02*
454 | D03*
455 | Y134392D02*
456 | D03*
457 | X99060Y133871D02*
458 | D03*
459 | Y135115D02*
460 | D03*
461 | X101600Y125975D02*
462 | D03*
463 | Y127219D02*
464 | D03*
465 | X100076Y127219D02*
466 | D03*
467 | Y125975D02*
468 | D03*
469 | X96520Y127241D02*
470 | D03*
471 | Y125997D02*
472 | D03*
473 | X97917Y127254D02*
474 | D03*
475 | Y126010D02*
476 | D03*
477 | X95123Y133858D02*
478 | D03*
479 | Y135102D02*
480 | D03*
481 | X96774Y133858D02*
482 | D03*
483 | Y135102D02*
484 | D03*
485 | D17*
486 | X135255Y105156D02*
487 | D03*
488 | X136499D02*
489 | D03*
490 | X134112Y101473D02*
491 | D03*
492 | X135356D02*
493 | D03*
494 | X136525Y103378D02*
495 | D03*
496 | X135281D02*
497 | D03*
498 | X149733Y93218D02*
499 | D03*
500 | X148489D02*
501 | D03*
502 | X151892D02*
503 | D03*
504 | X153136D02*
505 | D03*
506 | X169418Y86106D02*
507 | D03*
508 | X170662D02*
509 | D03*
510 | X120142Y142748D02*
511 | D03*
512 | X118898D02*
513 | D03*
514 | X113792D02*
515 | D03*
516 | X112548D02*
517 | D03*
518 | X107315D02*
519 | D03*
520 | X106071D02*
521 | D03*
522 | X100838D02*
523 | D03*
524 | X99594D02*
525 | D03*
526 | X94361D02*
527 | D03*
528 | X93117D02*
529 | D03*
530 | X150495Y142621D02*
531 | D03*
532 | X149251D02*
533 | D03*
534 | X153162Y90551D02*
535 | D03*
536 | X151918D02*
537 | D03*
538 | D18*
539 | X128740Y154818D02*
540 | D03*
541 | Y152268D02*
542 | D03*
543 | X130340Y154818D02*
544 | D03*
545 | Y152268D02*
546 | D03*
547 | X128740Y146436D02*
548 | D03*
549 | Y143886D02*
550 | D03*
551 | X130340Y146436D02*
552 | D03*
553 | Y143886D02*
554 | D03*
555 | X128740Y148077D02*
556 | D03*
557 | Y150627D02*
558 | D03*
559 | X130340Y148077D02*
560 | D03*
561 | Y150627D02*
562 | D03*
563 | X133261Y89022D02*
564 | D03*
565 | Y91572D02*
566 | D03*
567 | X131661Y89022D02*
568 | D03*
569 | Y91572D02*
570 | D03*
571 | X138722Y89022D02*
572 | D03*
573 | Y91572D02*
574 | D03*
575 | X137122Y89022D02*
576 | D03*
577 | Y91572D02*
578 | D03*
579 | D19*
580 | X128740Y153943D02*
581 | D03*
582 | Y153143D02*
583 | D03*
584 | X130340Y153943D02*
585 | D03*
586 | Y153143D02*
587 | D03*
588 | X128740Y145561D02*
589 | D03*
590 | Y144761D02*
591 | D03*
592 | X130340Y145561D02*
593 | D03*
594 | Y144761D02*
595 | D03*
596 | X128740Y148952D02*
597 | D03*
598 | Y149752D02*
599 | D03*
600 | X130340Y148952D02*
601 | D03*
602 | Y149752D02*
603 | D03*
604 | X133261Y89897D02*
605 | D03*
606 | Y90697D02*
607 | D03*
608 | X131661Y89897D02*
609 | D03*
610 | Y90697D02*
611 | D03*
612 | X138722Y89897D02*
613 | D03*
614 | Y90697D02*
615 | D03*
616 | X137122Y89897D02*
617 | D03*
618 | Y90697D02*
619 | D03*
620 | D20*
621 | X110608Y106439D02*
622 | D03*
623 | Y106939D02*
624 | D03*
625 | Y107439D02*
626 | D03*
627 | Y107939D02*
628 | D03*
629 | Y108439D02*
630 | D03*
631 | Y108939D02*
632 | D03*
633 | Y109439D02*
634 | D03*
635 | Y109939D02*
636 | D03*
637 | Y110439D02*
638 | D03*
639 | Y110939D02*
640 | D03*
641 | Y111439D02*
642 | D03*
643 | Y111939D02*
644 | D03*
645 | Y112439D02*
646 | D03*
647 | Y112939D02*
648 | D03*
649 | Y113439D02*
650 | D03*
651 | Y113939D02*
652 | D03*
653 | Y114439D02*
654 | D03*
655 | Y114939D02*
656 | D03*
657 | Y115439D02*
658 | D03*
659 | Y115939D02*
660 | D03*
661 | Y116439D02*
662 | D03*
663 | Y116939D02*
664 | D03*
665 | Y117439D02*
666 | D03*
667 | Y117939D02*
668 | D03*
669 | Y118439D02*
670 | D03*
671 | Y118939D02*
672 | D03*
673 | Y119439D02*
674 | D03*
675 | Y119939D02*
676 | D03*
677 | Y120439D02*
678 | D03*
679 | Y120939D02*
680 | D03*
681 | Y121439D02*
682 | D03*
683 | Y121939D02*
684 | D03*
685 | Y122439D02*
686 | D03*
687 | Y122939D02*
688 | D03*
689 | Y123439D02*
690 | D03*
691 | Y123939D02*
692 | D03*
693 | X131708D02*
694 | D03*
695 | Y123439D02*
696 | D03*
697 | Y122939D02*
698 | D03*
699 | Y122439D02*
700 | D03*
701 | Y121939D02*
702 | D03*
703 | Y121439D02*
704 | D03*
705 | Y120939D02*
706 | D03*
707 | Y120439D02*
708 | D03*
709 | Y119939D02*
710 | D03*
711 | Y119439D02*
712 | D03*
713 | Y118939D02*
714 | D03*
715 | Y118439D02*
716 | D03*
717 | Y117939D02*
718 | D03*
719 | Y117439D02*
720 | D03*
721 | Y116939D02*
722 | D03*
723 | Y116439D02*
724 | D03*
725 | Y115939D02*
726 | D03*
727 | Y115439D02*
728 | D03*
729 | Y114939D02*
730 | D03*
731 | Y114439D02*
732 | D03*
733 | Y113939D02*
734 | D03*
735 | Y113439D02*
736 | D03*
737 | Y112939D02*
738 | D03*
739 | Y112439D02*
740 | D03*
741 | Y111939D02*
742 | D03*
743 | Y111439D02*
744 | D03*
745 | Y110939D02*
746 | D03*
747 | Y110439D02*
748 | D03*
749 | Y109939D02*
750 | D03*
751 | Y109439D02*
752 | D03*
753 | Y108939D02*
754 | D03*
755 | Y108439D02*
756 | D03*
757 | Y107939D02*
758 | D03*
759 | Y107439D02*
760 | D03*
761 | Y106939D02*
762 | D03*
763 | Y106439D02*
764 | D03*
765 | D21*
766 | X112408Y125739D02*
767 | D03*
768 | X112908D02*
769 | D03*
770 | X113408D02*
771 | D03*
772 | X113908D02*
773 | D03*
774 | X114408D02*
775 | D03*
776 | X114908D02*
777 | D03*
778 | X115408D02*
779 | D03*
780 | X115908D02*
781 | D03*
782 | X116408D02*
783 | D03*
784 | X116908D02*
785 | D03*
786 | X117408D02*
787 | D03*
788 | X117908D02*
789 | D03*
790 | X118408D02*
791 | D03*
792 | X118908D02*
793 | D03*
794 | X119408D02*
795 | D03*
796 | X119908D02*
797 | D03*
798 | X120408D02*
799 | D03*
800 | X120908D02*
801 | D03*
802 | X121408D02*
803 | D03*
804 | X121908D02*
805 | D03*
806 | X122408D02*
807 | D03*
808 | X122908D02*
809 | D03*
810 | X123408D02*
811 | D03*
812 | X123908D02*
813 | D03*
814 | X124408D02*
815 | D03*
816 | X124908D02*
817 | D03*
818 | X125408D02*
819 | D03*
820 | X125908D02*
821 | D03*
822 | X126408D02*
823 | D03*
824 | X126908D02*
825 | D03*
826 | X127408D02*
827 | D03*
828 | X127908D02*
829 | D03*
830 | X128408D02*
831 | D03*
832 | X128908D02*
833 | D03*
834 | X129408D02*
835 | D03*
836 | X129908D02*
837 | D03*
838 | Y104639D02*
839 | D03*
840 | X129408D02*
841 | D03*
842 | X128908D02*
843 | D03*
844 | X128408D02*
845 | D03*
846 | X127908D02*
847 | D03*
848 | X127408D02*
849 | D03*
850 | X126908D02*
851 | D03*
852 | X126408D02*
853 | D03*
854 | X125908D02*
855 | D03*
856 | X125408D02*
857 | D03*
858 | X124908D02*
859 | D03*
860 | X124408D02*
861 | D03*
862 | X123908D02*
863 | D03*
864 | X123408D02*
865 | D03*
866 | X122908D02*
867 | D03*
868 | X122408D02*
869 | D03*
870 | X121908D02*
871 | D03*
872 | X121408D02*
873 | D03*
874 | X120908D02*
875 | D03*
876 | X120408D02*
877 | D03*
878 | X119908D02*
879 | D03*
880 | X119408D02*
881 | D03*
882 | X118908D02*
883 | D03*
884 | X118408D02*
885 | D03*
886 | X117908D02*
887 | D03*
888 | X117408D02*
889 | D03*
890 | X116908D02*
891 | D03*
892 | X116408D02*
893 | D03*
894 | X115908D02*
895 | D03*
896 | X115408D02*
897 | D03*
898 | X114908D02*
899 | D03*
900 | X114408D02*
901 | D03*
902 | X113908D02*
903 | D03*
904 | X113408D02*
905 | D03*
906 | X112908D02*
907 | D03*
908 | X112408D02*
909 | D03*
910 | D22*
911 | X150703Y87530D02*
912 | D03*
913 | Y86880D02*
914 | D03*
915 | Y86230D02*
916 | D03*
917 | Y85580D02*
918 | D03*
919 | Y84930D02*
920 | D03*
921 | Y84280D02*
922 | D03*
923 | Y83630D02*
924 | D03*
925 | Y82980D02*
926 | D03*
927 | Y82330D02*
928 | D03*
929 | Y81680D02*
930 | D03*
931 | Y81030D02*
932 | D03*
933 | Y80380D02*
934 | D03*
935 | Y79730D02*
936 | D03*
937 | Y79080D02*
938 | D03*
939 | X157603Y87530D02*
940 | D03*
941 | Y86880D02*
942 | D03*
943 | Y86230D02*
944 | D03*
945 | Y85580D02*
946 | D03*
947 | Y84930D02*
948 | D03*
949 | Y84280D02*
950 | D03*
951 | Y83630D02*
952 | D03*
953 | Y82980D02*
954 | D03*
955 | Y82330D02*
956 | D03*
957 | Y81680D02*
958 | D03*
959 | Y81030D02*
960 | D03*
961 | Y80380D02*
962 | D03*
963 | Y79730D02*
964 | D03*
965 | Y79080D02*
966 | D03*
967 | D23*
968 | X170540Y118745D02*
969 | D03*
970 | X170667Y101346D02*
971 | D03*
972 | Y109982D02*
973 | D03*
974 | D24*
975 | X164740Y116445D02*
976 | D03*
977 | Y118745D02*
978 | D03*
979 | Y121045D02*
980 | D03*
981 | X164867Y99046D02*
982 | D03*
983 | Y101346D02*
984 | D03*
985 | Y103646D02*
986 | D03*
987 | Y107682D02*
988 | D03*
989 | Y109982D02*
990 | D03*
991 | Y112282D02*
992 | D03*
993 | D25*
994 | X140081Y138790D02*
995 | D03*
996 | X138811D02*
997 | D03*
998 | X137541D02*
999 | D03*
1000 | X136271D02*
1001 | D03*
1002 | X140081Y133350D02*
1003 | D03*
1004 | X138811D02*
1005 | D03*
1006 | X137541D02*
1007 | D03*
1008 | X147955Y134260D02*
1009 | D03*
1010 | X149225D02*
1011 | D03*
1012 | X150495D02*
1013 | D03*
1014 | X151765D02*
1015 | D03*
1016 | X147955Y139700D02*
1017 | D03*
1018 | X149225D02*
1019 | D03*
1020 | X150495D02*
1021 | D03*
1022 | X123571Y138790D02*
1023 | D03*
1024 | X122301D02*
1025 | D03*
1026 | X121031D02*
1027 | D03*
1028 | X119761D02*
1029 | D03*
1030 | X123571Y133350D02*
1031 | D03*
1032 | X122301D02*
1033 | D03*
1034 | X121031D02*
1035 | D03*
1036 | D26*
1037 | X136271D02*
1038 | D03*
1039 | X151765Y139700D02*
1040 | D03*
1041 | X119761Y133350D02*
1042 | D03*
1043 | D27*
1044 | X108236Y133271D02*
1045 | D03*
1046 | Y139271D02*
1047 | D03*
1048 | D28*
1049 | X112236D02*
1050 | D03*
1051 | Y133271D02*
1052 | D03*
1053 | D29*
1054 | X103492Y107297D02*
1055 | D03*
1056 | Y108097D02*
1057 | D03*
1058 | Y108897D02*
1059 | D03*
1060 | Y109697D02*
1061 | D03*
1062 | Y110497D02*
1063 | D03*
1064 | Y111297D02*
1065 | D03*
1066 | Y112097D02*
1067 | D03*
1068 | Y112897D02*
1069 | D03*
1070 | Y113697D02*
1071 | D03*
1072 | Y114497D02*
1073 | D03*
1074 | Y115297D02*
1075 | D03*
1076 | Y116097D02*
1077 | D03*
1078 | Y116897D02*
1079 | D03*
1080 | Y117697D02*
1081 | D03*
1082 | Y118497D02*
1083 | D03*
1084 | Y119297D02*
1085 | D03*
1086 | Y120097D02*
1087 | D03*
1088 | Y120897D02*
1089 | D03*
1090 | Y121697D02*
1091 | D03*
1092 | Y122497D02*
1093 | D03*
1094 | Y123297D02*
1095 | D03*
1096 | Y124097D02*
1097 | D03*
1098 | X92342Y107297D02*
1099 | D03*
1100 | Y108097D02*
1101 | D03*
1102 | Y108897D02*
1103 | D03*
1104 | Y109697D02*
1105 | D03*
1106 | Y110497D02*
1107 | D03*
1108 | Y111297D02*
1109 | D03*
1110 | Y112097D02*
1111 | D03*
1112 | Y112897D02*
1113 | D03*
1114 | Y113697D02*
1115 | D03*
1116 | Y114497D02*
1117 | D03*
1118 | Y115297D02*
1119 | D03*
1120 | Y116097D02*
1121 | D03*
1122 | Y116897D02*
1123 | D03*
1124 | Y117697D02*
1125 | D03*
1126 | Y118497D02*
1127 | D03*
1128 | Y119297D02*
1129 | D03*
1130 | Y120097D02*
1131 | D03*
1132 | Y120897D02*
1133 | D03*
1134 | Y121697D02*
1135 | D03*
1136 | Y122497D02*
1137 | D03*
1138 | Y123297D02*
1139 | D03*
1140 | Y124097D02*
1141 | D03*
1142 | D30*
1143 | X99426Y129911D02*
1144 | D03*
1145 | X99426Y130311D02*
1146 | D03*
1147 | X99426Y130711D02*
1148 | D03*
1149 | X99426Y131111D02*
1150 | D03*
1151 | X99426Y131511D02*
1152 | D03*
1153 | X99426Y131911D02*
1154 | D03*
1155 | X96326D02*
1156 | D03*
1157 | X96326Y131511D02*
1158 | D03*
1159 | X96326Y131111D02*
1160 | D03*
1161 | Y130711D02*
1162 | D03*
1163 | X96326Y130311D02*
1164 | D03*
1165 | X96326Y129911D02*
1166 | D03*
1167 | D31*
1168 | X98876Y132461D02*
1169 | D03*
1170 | X98476D02*
1171 | D03*
1172 | X98076Y132461D02*
1173 | D03*
1174 | X97676D02*
1175 | D03*
1176 | X97276Y132461D02*
1177 | D03*
1178 | X96876Y132461D02*
1179 | D03*
1180 | X96876Y129361D02*
1181 | D03*
1182 | X97276D02*
1183 | D03*
1184 | X97676D02*
1185 | D03*
1186 | X98076D02*
1187 | D03*
1188 | X98476D02*
1189 | D03*
1190 | X98876D02*
1191 | D03*
1192 | D39*
1193 | X184785Y132330D02*
1194 | D03*
1195 | Y139200D02*
1196 | D03*
1197 | Y130040D02*
1198 | D03*
1199 | Y134620D02*
1200 | D03*
1201 | Y136910D02*
1202 | D03*
1203 | X179705D02*
1204 | D03*
1205 | Y134620D02*
1206 | D03*
1207 | Y139200D02*
1208 | D03*
1209 | Y132330D02*
1210 | D03*
1211 | X182245Y138050D02*
1212 | D03*
1213 | Y135760D02*
1214 | D03*
1215 | Y131180D02*
1216 | D03*
1217 | Y140340D02*
1218 | D03*
1219 | Y133470D02*
1220 | D03*
1221 | D40*
1222 | X179705Y130040D02*
1223 | D03*
1224 | D41*
1225 | X182245Y147620D02*
1226 | D03*
1227 | Y121620D02*
1228 | D03*
1229 | D42*
1230 | X186397Y116986D02*
1231 | D03*
1232 | Y103486D02*
1233 | D03*
1234 | X187197Y110236D02*
1235 | D03*
1236 | D43*
1237 | X180897Y106836D02*
1238 | D03*
1239 | Y113636D02*
1240 | D03*
1241 | X183397Y106836D02*
1242 | D03*
1243 | Y113636D02*
1244 | D03*
1245 | Y108936D02*
1246 | D03*
1247 | Y111536D02*
1248 | D03*
1249 | D44*
1250 | X189628Y79358D02*
1251 | D03*
1252 | X190214Y80772D02*
1253 | D03*
1254 | X186800Y79358D02*
1255 | D03*
1256 | X188214Y78772D02*
1257 | D03*
1258 | X186800Y82186D02*
1259 | D03*
1260 | X186214Y80772D02*
1261 | D03*
1262 | X188214Y82772D02*
1263 | D03*
1264 | X189628Y82186D02*
1265 | D03*
1266 | X57421Y79358D02*
1267 | D03*
1268 | X58007Y80772D02*
1269 | D03*
1270 | X54593Y79358D02*
1271 | D03*
1272 | X56007Y78772D02*
1273 | D03*
1274 | X54593Y82186D02*
1275 | D03*
1276 | X54007Y80772D02*
1277 | D03*
1278 | X56007Y82772D02*
1279 | D03*
1280 | X57421Y82186D02*
1281 | D03*
1282 | X189628Y151494D02*
1283 | D03*
1284 | X190214Y152908D02*
1285 | D03*
1286 | X186800Y151494D02*
1287 | D03*
1288 | X188214Y150908D02*
1289 | D03*
1290 | X186800Y154322D02*
1291 | D03*
1292 | X186214Y152908D02*
1293 | D03*
1294 | X188214Y154908D02*
1295 | D03*
1296 | X189628Y154322D02*
1297 | D03*
1298 | X57421Y151494D02*
1299 | D03*
1300 | X58007Y152908D02*
1301 | D03*
1302 | X54593Y151494D02*
1303 | D03*
1304 | X56007Y150908D02*
1305 | D03*
1306 | X54593Y154322D02*
1307 | D03*
1308 | X54007Y152908D02*
1309 | D03*
1310 | X56007Y154908D02*
1311 | D03*
1312 | X57421Y154322D02*
1313 | D03*
1314 | D45*
1315 | X188214Y80772D02*
1316 | D03*
1317 | X56007D02*
1318 | D03*
1319 | X188214Y152908D02*
1320 | D03*
1321 | X56007D02*
1322 | D03*
1323 | D46*
1324 | X172387Y80772D02*
1325 | D03*
1326 | X178387D02*
1327 | D03*
1328 | X175387D02*
1329 | D03*
1330 | D47*
1331 | X180987D02*
1332 | D03*
1333 | X169787D02*
1334 | D03*
1335 | D48*
1336 | X135890Y151638D02*
1337 | D03*
1338 | X138430D02*
1339 | D03*
1340 | X140970D02*
1341 | D03*
1342 | D49*
1343 | X161036Y153924D02*
1344 | D03*
1345 | Y148844D02*
1346 | D03*
1347 | D50*
1348 | Y151384D02*
1349 | D03*
1350 | D51*
1351 | X112141Y147320D02*
1352 | D03*
1353 | X109474D02*
1354 | D03*
1355 | X106934D02*
1356 | D03*
1357 | X104267D02*
1358 | D03*
1359 | X101600D02*
1360 | D03*
1361 | Y155321D02*
1362 | D03*
1363 | X104267D02*
1364 | D03*
1365 | X106934D02*
1366 | D03*
1367 | X109474D02*
1368 | D03*
1369 | X98933D02*
1370 | D03*
1371 | X112141D02*
1372 | D03*
1373 | D52*
1374 | X98933Y147320D02*
1375 | D03*
1376 | D53*
1377 | X145542Y82015D02*
1378 | D03*
1379 | Y86895D02*
1380 | D03*
1381 | D54*
1382 | X181597Y87579D02*
1383 | D03*
1384 | Y99619D02*
1385 | D03*
1386 | D55*
1387 | X178887Y92349D02*
1388 | D03*
1389 | Y94849D02*
1390 | D03*
1391 | X176887Y92349D02*
1392 | D03*
1393 | D56*
1394 | Y94849D02*
1395 | D03*
1396 | D57*
1397 | X137605Y86804D02*
1398 | D03*
1399 | Y79820D02*
1400 | D03*
1401 | X126174Y86804D02*
1402 | D03*
1403 | Y79820D02*
1404 | D03*
1405 | X114744Y86932D02*
1406 | D03*
1407 | Y79947D02*
1408 | D03*
1409 | X103314Y86932D02*
1410 | D03*
1411 | Y79947D02*
1412 | D03*
1413 | D58*
1414 | X133160Y86804D02*
1415 | D03*
1416 | Y79820D02*
1417 | D03*
1418 | X121729Y86804D02*
1419 | D03*
1420 | Y79820D02*
1421 | D03*
1422 | X110299Y86932D02*
1423 | D03*
1424 | Y79947D02*
1425 | D03*
1426 | X98869Y86932D02*
1427 | D03*
1428 | Y79947D02*
1429 | D03*
1430 | D59*
1431 | X72898Y149733D02*
1432 | D03*
1433 | Y152273D02*
1434 | D03*
1435 | X75438Y149733D02*
1436 | D03*
1437 | Y152273D02*
1438 | D03*
1439 | X77978Y149733D02*
1440 | D03*
1441 | Y152273D02*
1442 | D03*
1443 | X80518Y149733D02*
1444 | D03*
1445 | Y152273D02*
1446 | D03*
1447 | X83058Y149733D02*
1448 | D03*
1449 | X67056Y112903D02*
1450 | D03*
1451 | X64516Y110363D02*
1452 | D03*
1453 | X67056D02*
1454 | D03*
1455 | X64516Y107823D02*
1456 | D03*
1457 | X67056D02*
1458 | D03*
1459 | X64516Y105283D02*
1460 | D03*
1461 | X67056D02*
1462 | D03*
1463 | X64516Y102743D02*
1464 | D03*
1465 | X67056D02*
1466 | D03*
1467 | X64516Y100203D02*
1468 | D03*
1469 | X67056D02*
1470 | D03*
1471 | X64516Y97663D02*
1472 | D03*
1473 | X67056D02*
1474 | D03*
1475 | X64516Y95123D02*
1476 | D03*
1477 | X67056D02*
1478 | D03*
1479 | X64516Y92583D02*
1480 | D03*
1481 | X67056D02*
1482 | D03*
1483 | X64516Y90043D02*
1484 | D03*
1485 | X67056D02*
1486 | D03*
1487 | Y143764D02*
1488 | D03*
1489 | X64516Y141224D02*
1490 | D03*
1491 | X67056D02*
1492 | D03*
1493 | X64516Y138684D02*
1494 | D03*
1495 | X67056D02*
1496 | D03*
1497 | X64516Y136144D02*
1498 | D03*
1499 | X67056D02*
1500 | D03*
1501 | X64516Y133604D02*
1502 | D03*
1503 | X67056D02*
1504 | D03*
1505 | X64516Y131064D02*
1506 | D03*
1507 | X67056D02*
1508 | D03*
1509 | X64516Y128524D02*
1510 | D03*
1511 | X67056D02*
1512 | D03*
1513 | X64516Y125984D02*
1514 | D03*
1515 | X67056D02*
1516 | D03*
1517 | X64516Y123444D02*
1518 | D03*
1519 | X67056D02*
1520 | D03*
1521 | D60*
1522 | X83058Y152273D02*
1523 | D03*
1524 | D61*
1525 | X64516Y112903D02*
1526 | D03*
1527 | Y143764D02*
1528 | D03*
1529 | D62*
1530 | X150027Y153757D02*
1531 | D03*
1532 | X155027D02*
1533 | D03*
1534 | Y148757D02*
1535 | D03*
1536 | X150027D02*
1537 | D03*
1538 | X152527Y151257D02*
1539 | D03*
1540 | D63*
1541 | X89789Y84963D02*
1542 | D03*
1543 | X82169D02*
1544 | D03*
1545 | M02*
1546 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.GTP:
--------------------------------------------------------------------------------
1 | %FSLAX43Y43*%
2 | %MOMM*%
3 | G71*
4 | G01*
5 | G75*
6 | G04 Layer_Color=8421504*
7 | %ADD10R,0.650X0.600*%
8 | %ADD11R,0.500X0.600*%
9 | %ADD12R,0.800X0.800*%
10 | %ADD13R,0.900X1.300*%
11 | %ADD14R,1.300X0.900*%
12 | %ADD15R,0.800X0.800*%
13 | %ADD16R,0.850X0.600*%
14 | %ADD17R,0.600X0.850*%
15 | %ADD18R,0.600X0.650*%
16 | %ADD19R,0.600X0.500*%
17 | %ADD20O,1.800X0.300*%
18 | %ADD21O,0.300X1.800*%
19 | %ADD22O,2.100X0.450*%
20 | %ADD23R,2.400X3.300*%
21 | %ADD24R,2.400X1.000*%
22 | %ADD25O,0.510X2.000*%
23 | %ADD26R,0.510X2.000*%
24 | %ADD27R,2.400X2.500*%
25 | %ADD28R,2.500X2.500*%
26 | %ADD29O,1.650X0.550*%
27 | %ADD30O,0.700X0.200*%
28 | %ADD31O,0.200X0.700*%
29 | %ADD32C,0.254*%
30 | %ADD33C,0.200*%
31 | %ADD34C,1.000*%
32 | %ADD35C,0.250*%
33 | %ADD36C,1.500*%
34 | %ADD37C,0.500*%
35 | %ADD38C,0.245*%
36 | %ADD39O,1.800X1.524*%
37 | %ADD40O,1.800X1.500*%
38 | %ADD41O,5.000X4.000*%
39 | %ADD42C,3.000*%
40 | %ADD43P,1.524X8X112.5*%
41 | %ADD44C,0.600*%
42 | %ADD45C,5.000*%
43 | %ADD46C,1.700*%
44 | %ADD47O,1.700X3.556*%
45 | G04:AMPARAMS|DCode=48|XSize=2.032mm|YSize=1.524mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
46 | %AMOCTAGOND48*
47 | 4,1,8,-0.381,-1.016,0.381,-1.016,0.762,-0.635,0.762,0.635,0.381,1.016,-0.381,1.016,-0.762,0.635,-0.762,-0.635,-0.381,-1.016,0.0*
48 | %
49 | %ADD48OCTAGOND48*%
50 |
51 | %ADD49O,2.400X1.800*%
52 | %ADD50R,2.400X1.800*%
53 | G04:AMPARAMS|DCode=51|XSize=1.2mm|YSize=1.6mm|CornerRadius=0.3mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
54 | %AMROUNDEDRECTD51*
55 | 21,1,1.200,1.000,0,0,270.0*
56 | 21,1,0.600,1.600,0,0,270.0*
57 | 1,1,0.600,-0.500,-0.300*
58 | 1,1,0.600,-0.500,0.300*
59 | 1,1,0.600,0.500,0.300*
60 | 1,1,0.600,0.500,-0.300*
61 | %
62 | %ADD51ROUNDEDRECTD51*%
63 | %ADD52R,1.600X1.200*%
64 | %ADD53C,1.397*%
65 | %ADD54C,3.800*%
66 | %ADD55O,1.600X1.900*%
67 | %ADD56R,1.600X1.900*%
68 | %ADD57C,2.032*%
69 | %ADD58R,2.032X2.032*%
70 | %ADD59C,1.500*%
71 | %ADD60R,1.500X1.500*%
72 | %ADD61R,1.500X1.500*%
73 | %ADD62C,2.500*%
74 | %ADD63P,2.337X8X202.5*%
75 | %ADD64C,0.700*%
76 | %ADD65C,1.000*%
77 | %ADD66C,0.255*%
78 | %ADD67C,0.800*%
79 | %ADD68C,0.178*%
80 | %ADD69C,0.860*%
81 | %ADD70C,0.127*%
82 | %ADD71C,0.600*%
83 | %ADD72C,0.152*%
84 | %ADD73C,0.203*%
85 | %ADD74C,0.762*%
86 | %ADD75C,0.051*%
87 | %ADD76C,0.120*%
88 | %ADD77C,0.150*%
89 | %ADD78R,17.755X3.175*%
90 | %ADD79R,2.159X1.905*%
91 | %ADD80R,0.508X0.508*%
92 | %ADD81R,1.778X0.254*%
93 | D10*
94 | X164597Y144564D02*
95 | D03*
96 | X162047D02*
97 | D03*
98 | X164597Y142964D02*
99 | D03*
100 | X162047D02*
101 | D03*
102 | X168915Y144564D02*
103 | D03*
104 | X166365D02*
105 | D03*
106 | X168915Y142964D02*
107 | D03*
108 | X166365D02*
109 | D03*
110 | X173233Y144564D02*
111 | D03*
112 | X170683D02*
113 | D03*
114 | X173233Y142964D02*
115 | D03*
116 | X170683D02*
117 | D03*
118 | X164597Y138976D02*
119 | D03*
120 | X162047D02*
121 | D03*
122 | X164597Y137376D02*
123 | D03*
124 | X162047D02*
125 | D03*
126 | X168915Y138976D02*
127 | D03*
128 | X166365D02*
129 | D03*
130 | X168915Y137376D02*
131 | D03*
132 | X166365D02*
133 | D03*
134 | X164597Y134277D02*
135 | D03*
136 | X162047D02*
137 | D03*
138 | X164597Y132677D02*
139 | D03*
140 | X162047D02*
141 | D03*
142 | X164597Y129578D02*
143 | D03*
144 | X162047D02*
145 | D03*
146 | X164597Y127978D02*
147 | D03*
148 | X162047D02*
149 | D03*
150 | X168915Y129578D02*
151 | D03*
152 | X166365D02*
153 | D03*
154 | X168915Y127978D02*
155 | D03*
156 | X166365D02*
157 | D03*
158 | X173233Y129578D02*
159 | D03*
160 | X170683D02*
161 | D03*
162 | X173233Y127978D02*
163 | D03*
164 | X170683D02*
165 | D03*
166 | X168915Y134277D02*
167 | D03*
168 | X166365D02*
169 | D03*
170 | X168915Y132677D02*
171 | D03*
172 | X166365D02*
173 | D03*
174 | X173233Y134277D02*
175 | D03*
176 | X170683D02*
177 | D03*
178 | X173233Y132677D02*
179 | D03*
180 | X170683D02*
181 | D03*
182 | D11*
183 | X163722Y144564D02*
184 | D03*
185 | X162922D02*
186 | D03*
187 | X163722Y142964D02*
188 | D03*
189 | X162922D02*
190 | D03*
191 | X168040Y144564D02*
192 | D03*
193 | X167240D02*
194 | D03*
195 | X168040Y142964D02*
196 | D03*
197 | X167240D02*
198 | D03*
199 | X172358Y144564D02*
200 | D03*
201 | X171558D02*
202 | D03*
203 | X172358Y142964D02*
204 | D03*
205 | X171558D02*
206 | D03*
207 | X163722Y138976D02*
208 | D03*
209 | X162922D02*
210 | D03*
211 | X163722Y137376D02*
212 | D03*
213 | X162922D02*
214 | D03*
215 | X168040Y138976D02*
216 | D03*
217 | X167240D02*
218 | D03*
219 | X168040Y137376D02*
220 | D03*
221 | X167240D02*
222 | D03*
223 | X163722Y134277D02*
224 | D03*
225 | X162922D02*
226 | D03*
227 | X163722Y132677D02*
228 | D03*
229 | X162922D02*
230 | D03*
231 | X163722Y129578D02*
232 | D03*
233 | X162922D02*
234 | D03*
235 | X163722Y127978D02*
236 | D03*
237 | X162922D02*
238 | D03*
239 | X168040Y129578D02*
240 | D03*
241 | X167240D02*
242 | D03*
243 | X168040Y127978D02*
244 | D03*
245 | X167240D02*
246 | D03*
247 | X172358Y129578D02*
248 | D03*
249 | X171558D02*
250 | D03*
251 | X172358Y127978D02*
252 | D03*
253 | X171558D02*
254 | D03*
255 | X168040Y134277D02*
256 | D03*
257 | X167240D02*
258 | D03*
259 | X168040Y132677D02*
260 | D03*
261 | X167240D02*
262 | D03*
263 | X172358Y134277D02*
264 | D03*
265 | X171558D02*
266 | D03*
267 | X172358Y132677D02*
268 | D03*
269 | X171558D02*
270 | D03*
271 | D12*
272 | X141224Y81927D02*
273 | D03*
274 | Y83427D02*
275 | D03*
276 | Y87491D02*
277 | D03*
278 | Y85991D02*
279 | D03*
280 | X166878Y82411D02*
281 | D03*
282 | Y80911D02*
283 | D03*
284 | X115062Y137934D02*
285 | D03*
286 | Y139434D02*
287 | D03*
288 | X79502Y125615D02*
289 | D03*
290 | Y127115D02*
291 | D03*
292 | X142748Y134251D02*
293 | D03*
294 | Y135751D02*
295 | D03*
296 | X161290Y95389D02*
297 | D03*
298 | Y96889D02*
299 | D03*
300 | X159258Y95389D02*
301 | D03*
302 | Y96889D02*
303 | D03*
304 | X153924Y142887D02*
305 | D03*
306 | Y144387D02*
307 | D03*
308 | D13*
309 | X154239Y109093D02*
310 | D03*
311 | X152339D02*
312 | D03*
313 | X158557Y112268D02*
314 | D03*
315 | X156657D02*
316 | D03*
317 | X158557Y103632D02*
318 | D03*
319 | X156657D02*
320 | D03*
321 | X158557Y121158D02*
322 | D03*
323 | X156657D02*
324 | D03*
325 | D14*
326 | X161163Y121092D02*
327 | D03*
328 | Y119192D02*
329 | D03*
330 | Y103566D02*
331 | D03*
332 | Y101666D02*
333 | D03*
334 | Y112329D02*
335 | D03*
336 | Y110429D02*
337 | D03*
338 | D15*
339 | X154039Y112141D02*
340 | D03*
341 | X152539D02*
342 | D03*
343 | X91301Y128143D02*
344 | D03*
345 | X89801D02*
346 | D03*
347 | X75069Y83566D02*
348 | D03*
349 | X76569D02*
350 | D03*
351 | X139307Y147193D02*
352 | D03*
353 | X137807D02*
354 | D03*
355 | X175502Y106172D02*
356 | D03*
357 | X174002D02*
358 | D03*
359 | X75196Y79248D02*
360 | D03*
361 | X76696D02*
362 | D03*
363 | X120561Y144653D02*
364 | D03*
365 | X118961D02*
366 | D03*
367 | X114084D02*
368 | D03*
369 | X112484D02*
370 | D03*
371 | X107607D02*
372 | D03*
373 | X106007D02*
374 | D03*
375 | X101130D02*
376 | D03*
377 | X99530D02*
378 | D03*
379 | X94653D02*
380 | D03*
381 | X93053D02*
382 | D03*
383 | D16*
384 | X139700Y127127D02*
385 | D03*
386 | Y128371D02*
387 | D03*
388 | X137795Y117475D02*
389 | D03*
390 | Y118719D02*
391 | D03*
392 | X108204Y104394D02*
393 | D03*
394 | Y103150D02*
395 | D03*
396 | X137795Y127127D02*
397 | D03*
398 | Y128371D02*
399 | D03*
400 | X115189Y133858D02*
401 | D03*
402 | Y132614D02*
403 | D03*
404 | X142748Y137668D02*
405 | D03*
406 | Y138912D02*
407 | D03*
408 | X133985Y127127D02*
409 | D03*
410 | Y128371D02*
411 | D03*
412 | X135890Y128397D02*
413 | D03*
414 | Y127153D02*
415 | D03*
416 | X153924Y139954D02*
417 | D03*
418 | Y138710D02*
419 | D03*
420 | X160782Y85217D02*
421 | D03*
422 | Y86461D02*
423 | D03*
424 | X164846Y86487D02*
425 | D03*
426 | Y85243D02*
427 | D03*
428 | X162814Y85217D02*
429 | D03*
430 | Y86461D02*
431 | D03*
432 | X166878Y86487D02*
433 | D03*
434 | Y85243D02*
435 | D03*
436 | X94615Y86233D02*
437 | D03*
438 | Y84989D02*
439 | D03*
440 | X117221Y139446D02*
441 | D03*
442 | Y138202D02*
443 | D03*
444 | X145034Y150749D02*
445 | D03*
446 | Y151993D02*
447 | D03*
448 | X117221Y132588D02*
449 | D03*
450 | Y133832D02*
451 | D03*
452 | X147574Y102108D02*
453 | D03*
454 | Y103352D02*
455 | D03*
456 | X145796Y102108D02*
457 | D03*
458 | Y103352D02*
459 | D03*
460 | X144018Y103378D02*
461 | D03*
462 | Y102134D02*
463 | D03*
464 | X142240Y103378D02*
465 | D03*
466 | Y102134D02*
467 | D03*
468 | X153924Y135636D02*
469 | D03*
470 | Y134392D02*
471 | D03*
472 | X99060Y133871D02*
473 | D03*
474 | Y135115D02*
475 | D03*
476 | X101600Y125975D02*
477 | D03*
478 | Y127219D02*
479 | D03*
480 | X100076Y127219D02*
481 | D03*
482 | Y125975D02*
483 | D03*
484 | X96520Y127241D02*
485 | D03*
486 | Y125997D02*
487 | D03*
488 | X97917Y127254D02*
489 | D03*
490 | Y126010D02*
491 | D03*
492 | X95123Y133858D02*
493 | D03*
494 | Y135102D02*
495 | D03*
496 | X96774Y133858D02*
497 | D03*
498 | Y135102D02*
499 | D03*
500 | D17*
501 | X135255Y105156D02*
502 | D03*
503 | X136499D02*
504 | D03*
505 | X134112Y101473D02*
506 | D03*
507 | X135356D02*
508 | D03*
509 | X136525Y103378D02*
510 | D03*
511 | X135281D02*
512 | D03*
513 | X149733Y93218D02*
514 | D03*
515 | X148489D02*
516 | D03*
517 | X151892D02*
518 | D03*
519 | X153136D02*
520 | D03*
521 | X169418Y86106D02*
522 | D03*
523 | X170662D02*
524 | D03*
525 | X120142Y142748D02*
526 | D03*
527 | X118898D02*
528 | D03*
529 | X113792D02*
530 | D03*
531 | X112548D02*
532 | D03*
533 | X107315D02*
534 | D03*
535 | X106071D02*
536 | D03*
537 | X100838D02*
538 | D03*
539 | X99594D02*
540 | D03*
541 | X94361D02*
542 | D03*
543 | X93117D02*
544 | D03*
545 | X150495Y142621D02*
546 | D03*
547 | X149251D02*
548 | D03*
549 | X153162Y90551D02*
550 | D03*
551 | X151918D02*
552 | D03*
553 | D18*
554 | X128740Y154818D02*
555 | D03*
556 | Y152268D02*
557 | D03*
558 | X130340Y154818D02*
559 | D03*
560 | Y152268D02*
561 | D03*
562 | X128740Y146436D02*
563 | D03*
564 | Y143886D02*
565 | D03*
566 | X130340Y146436D02*
567 | D03*
568 | Y143886D02*
569 | D03*
570 | X128740Y148077D02*
571 | D03*
572 | Y150627D02*
573 | D03*
574 | X130340Y148077D02*
575 | D03*
576 | Y150627D02*
577 | D03*
578 | X133261Y89022D02*
579 | D03*
580 | Y91572D02*
581 | D03*
582 | X131661Y89022D02*
583 | D03*
584 | Y91572D02*
585 | D03*
586 | X138722Y89022D02*
587 | D03*
588 | Y91572D02*
589 | D03*
590 | X137122Y89022D02*
591 | D03*
592 | Y91572D02*
593 | D03*
594 | D19*
595 | X128740Y153943D02*
596 | D03*
597 | Y153143D02*
598 | D03*
599 | X130340Y153943D02*
600 | D03*
601 | Y153143D02*
602 | D03*
603 | X128740Y145561D02*
604 | D03*
605 | Y144761D02*
606 | D03*
607 | X130340Y145561D02*
608 | D03*
609 | Y144761D02*
610 | D03*
611 | X128740Y148952D02*
612 | D03*
613 | Y149752D02*
614 | D03*
615 | X130340Y148952D02*
616 | D03*
617 | Y149752D02*
618 | D03*
619 | X133261Y89897D02*
620 | D03*
621 | Y90697D02*
622 | D03*
623 | X131661Y89897D02*
624 | D03*
625 | Y90697D02*
626 | D03*
627 | X138722Y89897D02*
628 | D03*
629 | Y90697D02*
630 | D03*
631 | X137122Y89897D02*
632 | D03*
633 | Y90697D02*
634 | D03*
635 | D20*
636 | X110608Y106439D02*
637 | D03*
638 | Y106939D02*
639 | D03*
640 | Y107439D02*
641 | D03*
642 | Y107939D02*
643 | D03*
644 | Y108439D02*
645 | D03*
646 | Y108939D02*
647 | D03*
648 | Y109439D02*
649 | D03*
650 | Y109939D02*
651 | D03*
652 | Y110439D02*
653 | D03*
654 | Y110939D02*
655 | D03*
656 | Y111439D02*
657 | D03*
658 | Y111939D02*
659 | D03*
660 | Y112439D02*
661 | D03*
662 | Y112939D02*
663 | D03*
664 | Y113439D02*
665 | D03*
666 | Y113939D02*
667 | D03*
668 | Y114439D02*
669 | D03*
670 | Y114939D02*
671 | D03*
672 | Y115439D02*
673 | D03*
674 | Y115939D02*
675 | D03*
676 | Y116439D02*
677 | D03*
678 | Y116939D02*
679 | D03*
680 | Y117439D02*
681 | D03*
682 | Y117939D02*
683 | D03*
684 | Y118439D02*
685 | D03*
686 | Y118939D02*
687 | D03*
688 | Y119439D02*
689 | D03*
690 | Y119939D02*
691 | D03*
692 | Y120439D02*
693 | D03*
694 | Y120939D02*
695 | D03*
696 | Y121439D02*
697 | D03*
698 | Y121939D02*
699 | D03*
700 | Y122439D02*
701 | D03*
702 | Y122939D02*
703 | D03*
704 | Y123439D02*
705 | D03*
706 | Y123939D02*
707 | D03*
708 | X131708D02*
709 | D03*
710 | Y123439D02*
711 | D03*
712 | Y122939D02*
713 | D03*
714 | Y122439D02*
715 | D03*
716 | Y121939D02*
717 | D03*
718 | Y121439D02*
719 | D03*
720 | Y120939D02*
721 | D03*
722 | Y120439D02*
723 | D03*
724 | Y119939D02*
725 | D03*
726 | Y119439D02*
727 | D03*
728 | Y118939D02*
729 | D03*
730 | Y118439D02*
731 | D03*
732 | Y117939D02*
733 | D03*
734 | Y117439D02*
735 | D03*
736 | Y116939D02*
737 | D03*
738 | Y116439D02*
739 | D03*
740 | Y115939D02*
741 | D03*
742 | Y115439D02*
743 | D03*
744 | Y114939D02*
745 | D03*
746 | Y114439D02*
747 | D03*
748 | Y113939D02*
749 | D03*
750 | Y113439D02*
751 | D03*
752 | Y112939D02*
753 | D03*
754 | Y112439D02*
755 | D03*
756 | Y111939D02*
757 | D03*
758 | Y111439D02*
759 | D03*
760 | Y110939D02*
761 | D03*
762 | Y110439D02*
763 | D03*
764 | Y109939D02*
765 | D03*
766 | Y109439D02*
767 | D03*
768 | Y108939D02*
769 | D03*
770 | Y108439D02*
771 | D03*
772 | Y107939D02*
773 | D03*
774 | Y107439D02*
775 | D03*
776 | Y106939D02*
777 | D03*
778 | Y106439D02*
779 | D03*
780 | D21*
781 | X112408Y125739D02*
782 | D03*
783 | X112908D02*
784 | D03*
785 | X113408D02*
786 | D03*
787 | X113908D02*
788 | D03*
789 | X114408D02*
790 | D03*
791 | X114908D02*
792 | D03*
793 | X115408D02*
794 | D03*
795 | X115908D02*
796 | D03*
797 | X116408D02*
798 | D03*
799 | X116908D02*
800 | D03*
801 | X117408D02*
802 | D03*
803 | X117908D02*
804 | D03*
805 | X118408D02*
806 | D03*
807 | X118908D02*
808 | D03*
809 | X119408D02*
810 | D03*
811 | X119908D02*
812 | D03*
813 | X120408D02*
814 | D03*
815 | X120908D02*
816 | D03*
817 | X121408D02*
818 | D03*
819 | X121908D02*
820 | D03*
821 | X122408D02*
822 | D03*
823 | X122908D02*
824 | D03*
825 | X123408D02*
826 | D03*
827 | X123908D02*
828 | D03*
829 | X124408D02*
830 | D03*
831 | X124908D02*
832 | D03*
833 | X125408D02*
834 | D03*
835 | X125908D02*
836 | D03*
837 | X126408D02*
838 | D03*
839 | X126908D02*
840 | D03*
841 | X127408D02*
842 | D03*
843 | X127908D02*
844 | D03*
845 | X128408D02*
846 | D03*
847 | X128908D02*
848 | D03*
849 | X129408D02*
850 | D03*
851 | X129908D02*
852 | D03*
853 | Y104639D02*
854 | D03*
855 | X129408D02*
856 | D03*
857 | X128908D02*
858 | D03*
859 | X128408D02*
860 | D03*
861 | X127908D02*
862 | D03*
863 | X127408D02*
864 | D03*
865 | X126908D02*
866 | D03*
867 | X126408D02*
868 | D03*
869 | X125908D02*
870 | D03*
871 | X125408D02*
872 | D03*
873 | X124908D02*
874 | D03*
875 | X124408D02*
876 | D03*
877 | X123908D02*
878 | D03*
879 | X123408D02*
880 | D03*
881 | X122908D02*
882 | D03*
883 | X122408D02*
884 | D03*
885 | X121908D02*
886 | D03*
887 | X121408D02*
888 | D03*
889 | X120908D02*
890 | D03*
891 | X120408D02*
892 | D03*
893 | X119908D02*
894 | D03*
895 | X119408D02*
896 | D03*
897 | X118908D02*
898 | D03*
899 | X118408D02*
900 | D03*
901 | X117908D02*
902 | D03*
903 | X117408D02*
904 | D03*
905 | X116908D02*
906 | D03*
907 | X116408D02*
908 | D03*
909 | X115908D02*
910 | D03*
911 | X115408D02*
912 | D03*
913 | X114908D02*
914 | D03*
915 | X114408D02*
916 | D03*
917 | X113908D02*
918 | D03*
919 | X113408D02*
920 | D03*
921 | X112908D02*
922 | D03*
923 | X112408D02*
924 | D03*
925 | D22*
926 | X150703Y87530D02*
927 | D03*
928 | Y86880D02*
929 | D03*
930 | Y86230D02*
931 | D03*
932 | Y85580D02*
933 | D03*
934 | Y84930D02*
935 | D03*
936 | Y84280D02*
937 | D03*
938 | Y83630D02*
939 | D03*
940 | Y82980D02*
941 | D03*
942 | Y82330D02*
943 | D03*
944 | Y81680D02*
945 | D03*
946 | Y81030D02*
947 | D03*
948 | Y80380D02*
949 | D03*
950 | Y79730D02*
951 | D03*
952 | Y79080D02*
953 | D03*
954 | X157603Y87530D02*
955 | D03*
956 | Y86880D02*
957 | D03*
958 | Y86230D02*
959 | D03*
960 | Y85580D02*
961 | D03*
962 | Y84930D02*
963 | D03*
964 | Y84280D02*
965 | D03*
966 | Y83630D02*
967 | D03*
968 | Y82980D02*
969 | D03*
970 | Y82330D02*
971 | D03*
972 | Y81680D02*
973 | D03*
974 | Y81030D02*
975 | D03*
976 | Y80380D02*
977 | D03*
978 | Y79730D02*
979 | D03*
980 | Y79080D02*
981 | D03*
982 | D23*
983 | X170540Y118745D02*
984 | D03*
985 | X170667Y101346D02*
986 | D03*
987 | Y109982D02*
988 | D03*
989 | D24*
990 | X164740Y116445D02*
991 | D03*
992 | Y118745D02*
993 | D03*
994 | Y121045D02*
995 | D03*
996 | X164867Y99046D02*
997 | D03*
998 | Y101346D02*
999 | D03*
1000 | Y103646D02*
1001 | D03*
1002 | Y107682D02*
1003 | D03*
1004 | Y109982D02*
1005 | D03*
1006 | Y112282D02*
1007 | D03*
1008 | D25*
1009 | X140081Y138790D02*
1010 | D03*
1011 | X138811D02*
1012 | D03*
1013 | X137541D02*
1014 | D03*
1015 | X136271D02*
1016 | D03*
1017 | X140081Y133350D02*
1018 | D03*
1019 | X138811D02*
1020 | D03*
1021 | X137541D02*
1022 | D03*
1023 | X147955Y134260D02*
1024 | D03*
1025 | X149225D02*
1026 | D03*
1027 | X150495D02*
1028 | D03*
1029 | X151765D02*
1030 | D03*
1031 | X147955Y139700D02*
1032 | D03*
1033 | X149225D02*
1034 | D03*
1035 | X150495D02*
1036 | D03*
1037 | X123571Y138790D02*
1038 | D03*
1039 | X122301D02*
1040 | D03*
1041 | X121031D02*
1042 | D03*
1043 | X119761D02*
1044 | D03*
1045 | X123571Y133350D02*
1046 | D03*
1047 | X122301D02*
1048 | D03*
1049 | X121031D02*
1050 | D03*
1051 | D26*
1052 | X136271D02*
1053 | D03*
1054 | X151765Y139700D02*
1055 | D03*
1056 | X119761Y133350D02*
1057 | D03*
1058 | D27*
1059 | X108236Y133271D02*
1060 | D03*
1061 | Y139271D02*
1062 | D03*
1063 | D28*
1064 | X112236D02*
1065 | D03*
1066 | Y133271D02*
1067 | D03*
1068 | D29*
1069 | X103492Y107297D02*
1070 | D03*
1071 | Y108097D02*
1072 | D03*
1073 | Y108897D02*
1074 | D03*
1075 | Y109697D02*
1076 | D03*
1077 | Y110497D02*
1078 | D03*
1079 | Y111297D02*
1080 | D03*
1081 | Y112097D02*
1082 | D03*
1083 | Y112897D02*
1084 | D03*
1085 | Y113697D02*
1086 | D03*
1087 | Y114497D02*
1088 | D03*
1089 | Y115297D02*
1090 | D03*
1091 | Y116097D02*
1092 | D03*
1093 | Y116897D02*
1094 | D03*
1095 | Y117697D02*
1096 | D03*
1097 | Y118497D02*
1098 | D03*
1099 | Y119297D02*
1100 | D03*
1101 | Y120097D02*
1102 | D03*
1103 | Y120897D02*
1104 | D03*
1105 | Y121697D02*
1106 | D03*
1107 | Y122497D02*
1108 | D03*
1109 | Y123297D02*
1110 | D03*
1111 | Y124097D02*
1112 | D03*
1113 | X92342Y107297D02*
1114 | D03*
1115 | Y108097D02*
1116 | D03*
1117 | Y108897D02*
1118 | D03*
1119 | Y109697D02*
1120 | D03*
1121 | Y110497D02*
1122 | D03*
1123 | Y111297D02*
1124 | D03*
1125 | Y112097D02*
1126 | D03*
1127 | Y112897D02*
1128 | D03*
1129 | Y113697D02*
1130 | D03*
1131 | Y114497D02*
1132 | D03*
1133 | Y115297D02*
1134 | D03*
1135 | Y116097D02*
1136 | D03*
1137 | Y116897D02*
1138 | D03*
1139 | Y117697D02*
1140 | D03*
1141 | Y118497D02*
1142 | D03*
1143 | Y119297D02*
1144 | D03*
1145 | Y120097D02*
1146 | D03*
1147 | Y120897D02*
1148 | D03*
1149 | Y121697D02*
1150 | D03*
1151 | Y122497D02*
1152 | D03*
1153 | Y123297D02*
1154 | D03*
1155 | Y124097D02*
1156 | D03*
1157 | D30*
1158 | X99426Y129911D02*
1159 | D03*
1160 | X99426Y130311D02*
1161 | D03*
1162 | X99426Y130711D02*
1163 | D03*
1164 | X99426Y131111D02*
1165 | D03*
1166 | X99426Y131511D02*
1167 | D03*
1168 | X99426Y131911D02*
1169 | D03*
1170 | X96326D02*
1171 | D03*
1172 | X96326Y131511D02*
1173 | D03*
1174 | X96326Y131111D02*
1175 | D03*
1176 | Y130711D02*
1177 | D03*
1178 | X96326Y130311D02*
1179 | D03*
1180 | X96326Y129911D02*
1181 | D03*
1182 | D31*
1183 | X98876Y132461D02*
1184 | D03*
1185 | X98476D02*
1186 | D03*
1187 | X98076Y132461D02*
1188 | D03*
1189 | X97676D02*
1190 | D03*
1191 | X97276Y132461D02*
1192 | D03*
1193 | X96876Y132461D02*
1194 | D03*
1195 | X96876Y129361D02*
1196 | D03*
1197 | X97276D02*
1198 | D03*
1199 | X97676D02*
1200 | D03*
1201 | X98076D02*
1202 | D03*
1203 | X98476D02*
1204 | D03*
1205 | X98876D02*
1206 | D03*
1207 | M02*
1208 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.GTS:
--------------------------------------------------------------------------------
1 | %FSLAX43Y43*%
2 | %MOMM*%
3 | G71*
4 | G01*
5 | G75*
6 | G04 Layer_Color=8388736*
7 | %ADD10R,0.650X0.600*%
8 | %ADD11R,0.500X0.600*%
9 | %ADD12R,0.800X0.800*%
10 | %ADD13R,0.900X1.300*%
11 | %ADD14R,1.300X0.900*%
12 | %ADD15R,0.800X0.800*%
13 | %ADD16R,0.850X0.600*%
14 | %ADD17R,0.600X0.850*%
15 | %ADD18R,0.600X0.650*%
16 | %ADD19R,0.600X0.500*%
17 | %ADD20O,1.800X0.300*%
18 | %ADD21O,0.300X1.800*%
19 | %ADD22O,2.100X0.450*%
20 | %ADD23R,2.400X3.300*%
21 | %ADD24R,2.400X1.000*%
22 | %ADD25O,0.510X2.000*%
23 | %ADD26R,0.510X2.000*%
24 | %ADD27R,2.400X2.500*%
25 | %ADD28R,2.500X2.500*%
26 | %ADD29O,1.650X0.550*%
27 | %ADD30O,0.700X0.200*%
28 | %ADD31O,0.200X0.700*%
29 | %ADD32C,0.254*%
30 | %ADD33C,0.200*%
31 | %ADD34C,1.000*%
32 | %ADD35C,0.250*%
33 | %ADD36C,1.500*%
34 | %ADD37C,0.500*%
35 | %ADD38C,0.245*%
36 | %ADD39O,1.800X1.524*%
37 | %ADD40O,1.800X1.500*%
38 | %ADD41O,5.000X4.000*%
39 | %ADD42C,3.000*%
40 | %ADD43P,1.524X8X112.5*%
41 | %ADD44C,0.600*%
42 | %ADD45C,5.000*%
43 | %ADD46C,1.700*%
44 | %ADD47O,1.700X3.556*%
45 | G04:AMPARAMS|DCode=48|XSize=2.032mm|YSize=1.524mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
46 | %AMOCTAGOND48*
47 | 4,1,8,-0.381,-1.016,0.381,-1.016,0.762,-0.635,0.762,0.635,0.381,1.016,-0.381,1.016,-0.762,0.635,-0.762,-0.635,-0.381,-1.016,0.0*
48 | %
49 | %ADD48OCTAGOND48*%
50 |
51 | %ADD49O,2.400X1.800*%
52 | %ADD50R,2.400X1.800*%
53 | G04:AMPARAMS|DCode=51|XSize=1.2mm|YSize=1.6mm|CornerRadius=0.3mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
54 | %AMROUNDEDRECTD51*
55 | 21,1,1.200,1.000,0,0,270.0*
56 | 21,1,0.600,1.600,0,0,270.0*
57 | 1,1,0.600,-0.500,-0.300*
58 | 1,1,0.600,-0.500,0.300*
59 | 1,1,0.600,0.500,0.300*
60 | 1,1,0.600,0.500,-0.300*
61 | %
62 | %ADD51ROUNDEDRECTD51*%
63 | %ADD52R,1.600X1.200*%
64 | %ADD53C,1.397*%
65 | %ADD54C,3.800*%
66 | %ADD55O,1.600X1.900*%
67 | %ADD56R,1.600X1.900*%
68 | %ADD57C,2.032*%
69 | %ADD58R,2.032X2.032*%
70 | %ADD59C,1.500*%
71 | %ADD60R,1.500X1.500*%
72 | %ADD61R,1.500X1.500*%
73 | %ADD62C,2.500*%
74 | %ADD63P,2.337X8X202.5*%
75 | %ADD64C,0.700*%
76 | %ADD65C,1.000*%
77 | %ADD66C,0.255*%
78 | %ADD67C,0.800*%
79 | %ADD68C,0.178*%
80 | %ADD69C,0.860*%
81 | %ADD70C,0.127*%
82 | %ADD71C,0.600*%
83 | %ADD72C,0.152*%
84 | %ADD73C,0.203*%
85 | %ADD74C,0.762*%
86 | %ADD75C,0.051*%
87 | %ADD76C,0.120*%
88 | %ADD77C,0.150*%
89 | %ADD78R,17.755X3.175*%
90 | %ADD79R,2.159X1.905*%
91 | %ADD80R,0.508X0.508*%
92 | %ADD81R,1.778X0.254*%
93 | %ADD82R,0.701X0.651*%
94 | %ADD83R,0.551X0.651*%
95 | %ADD84R,1.003X1.003*%
96 | %ADD85R,1.103X1.503*%
97 | %ADD86R,1.503X1.103*%
98 | %ADD87R,1.003X1.003*%
99 | %ADD88R,1.053X0.803*%
100 | %ADD89R,0.803X1.053*%
101 | %ADD90R,0.651X0.701*%
102 | %ADD91R,0.651X0.551*%
103 | %ADD92O,2.003X0.503*%
104 | %ADD93O,0.503X2.003*%
105 | %ADD94O,2.303X0.653*%
106 | %ADD95R,2.603X3.503*%
107 | %ADD96R,2.603X1.203*%
108 | %ADD97O,0.713X2.203*%
109 | %ADD98R,0.713X2.203*%
110 | %ADD99R,2.603X2.703*%
111 | %ADD100R,2.703X2.703*%
112 | %ADD101O,1.853X0.753*%
113 | %ADD102O,0.903X0.403*%
114 | %ADD103O,0.403X0.903*%
115 | %ADD104O,2.003X1.727*%
116 | %ADD105O,2.003X1.703*%
117 | %ADD106O,5.203X4.203*%
118 | %ADD107C,3.203*%
119 | %ADD108P,1.744X8X112.5*%
120 | %ADD109C,0.803*%
121 | %ADD110C,5.200*%
122 | %ADD111C,1.903*%
123 | %ADD112O,1.903X3.759*%
124 | G04:AMPARAMS|DCode=113|XSize=2.235mm|YSize=1.727mm|CornerRadius=0mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=Octagon|*
125 | %AMOCTAGOND113*
126 | 4,1,8,-0.432,-1.118,0.432,-1.118,0.864,-0.686,0.864,0.686,0.432,1.118,-0.432,1.118,-0.864,0.686,-0.864,-0.686,-0.432,-1.118,0.0*
127 | %
128 | %ADD113OCTAGOND113*%
129 |
130 | %ADD114O,2.603X2.003*%
131 | %ADD115R,2.603X2.003*%
132 | G04:AMPARAMS|DCode=116|XSize=1.403mm|YSize=1.803mm|CornerRadius=0.402mm|HoleSize=0mm|Usage=FLASHONLY|Rotation=270.000|XOffset=0mm|YOffset=0mm|HoleType=Round|Shape=RoundedRectangle|*
133 | %AMROUNDEDRECTD116*
134 | 21,1,1.403,1.000,0,0,270.0*
135 | 21,1,0.600,1.803,0,0,270.0*
136 | 1,1,0.803,-0.500,-0.300*
137 | 1,1,0.803,-0.500,0.300*
138 | 1,1,0.803,0.500,0.300*
139 | 1,1,0.803,0.500,-0.300*
140 | %
141 | %ADD116ROUNDEDRECTD116*%
142 | %ADD117R,1.803X1.403*%
143 | %ADD118C,1.600*%
144 | %ADD119C,4.003*%
145 | %ADD120O,1.803X2.103*%
146 | %ADD121R,1.803X2.103*%
147 | %ADD122C,2.235*%
148 | %ADD123R,2.235X2.235*%
149 | %ADD124C,1.703*%
150 | %ADD125R,1.703X1.703*%
151 | %ADD126R,1.703X1.703*%
152 | %ADD127C,2.703*%
153 | %ADD128P,2.557X8X202.5*%
154 | D82*
155 | X164597Y144564D02*
156 | D03*
157 | X162047D02*
158 | D03*
159 | X164597Y142964D02*
160 | D03*
161 | X162047D02*
162 | D03*
163 | X168915Y144564D02*
164 | D03*
165 | X166365D02*
166 | D03*
167 | X168915Y142964D02*
168 | D03*
169 | X166365D02*
170 | D03*
171 | X173233Y144564D02*
172 | D03*
173 | X170683D02*
174 | D03*
175 | X173233Y142964D02*
176 | D03*
177 | X170683D02*
178 | D03*
179 | X164597Y138976D02*
180 | D03*
181 | X162047D02*
182 | D03*
183 | X164597Y137376D02*
184 | D03*
185 | X162047D02*
186 | D03*
187 | X168915Y138976D02*
188 | D03*
189 | X166365D02*
190 | D03*
191 | X168915Y137376D02*
192 | D03*
193 | X166365D02*
194 | D03*
195 | X164597Y134277D02*
196 | D03*
197 | X162047D02*
198 | D03*
199 | X164597Y132677D02*
200 | D03*
201 | X162047D02*
202 | D03*
203 | X164597Y129578D02*
204 | D03*
205 | X162047D02*
206 | D03*
207 | X164597Y127978D02*
208 | D03*
209 | X162047D02*
210 | D03*
211 | X168915Y129578D02*
212 | D03*
213 | X166365D02*
214 | D03*
215 | X168915Y127978D02*
216 | D03*
217 | X166365D02*
218 | D03*
219 | X173233Y129578D02*
220 | D03*
221 | X170683D02*
222 | D03*
223 | X173233Y127978D02*
224 | D03*
225 | X170683D02*
226 | D03*
227 | X168915Y134277D02*
228 | D03*
229 | X166365D02*
230 | D03*
231 | X168915Y132677D02*
232 | D03*
233 | X166365D02*
234 | D03*
235 | X173233Y134277D02*
236 | D03*
237 | X170683D02*
238 | D03*
239 | X173233Y132677D02*
240 | D03*
241 | X170683D02*
242 | D03*
243 | D83*
244 | X163722Y144564D02*
245 | D03*
246 | X162922D02*
247 | D03*
248 | X163722Y142964D02*
249 | D03*
250 | X162922D02*
251 | D03*
252 | X168040Y144564D02*
253 | D03*
254 | X167240D02*
255 | D03*
256 | X168040Y142964D02*
257 | D03*
258 | X167240D02*
259 | D03*
260 | X172358Y144564D02*
261 | D03*
262 | X171558D02*
263 | D03*
264 | X172358Y142964D02*
265 | D03*
266 | X171558D02*
267 | D03*
268 | X163722Y138976D02*
269 | D03*
270 | X162922D02*
271 | D03*
272 | X163722Y137376D02*
273 | D03*
274 | X162922D02*
275 | D03*
276 | X168040Y138976D02*
277 | D03*
278 | X167240D02*
279 | D03*
280 | X168040Y137376D02*
281 | D03*
282 | X167240D02*
283 | D03*
284 | X163722Y134277D02*
285 | D03*
286 | X162922D02*
287 | D03*
288 | X163722Y132677D02*
289 | D03*
290 | X162922D02*
291 | D03*
292 | X163722Y129578D02*
293 | D03*
294 | X162922D02*
295 | D03*
296 | X163722Y127978D02*
297 | D03*
298 | X162922D02*
299 | D03*
300 | X168040Y129578D02*
301 | D03*
302 | X167240D02*
303 | D03*
304 | X168040Y127978D02*
305 | D03*
306 | X167240D02*
307 | D03*
308 | X172358Y129578D02*
309 | D03*
310 | X171558D02*
311 | D03*
312 | X172358Y127978D02*
313 | D03*
314 | X171558D02*
315 | D03*
316 | X168040Y134277D02*
317 | D03*
318 | X167240D02*
319 | D03*
320 | X168040Y132677D02*
321 | D03*
322 | X167240D02*
323 | D03*
324 | X172358Y134277D02*
325 | D03*
326 | X171558D02*
327 | D03*
328 | X172358Y132677D02*
329 | D03*
330 | X171558D02*
331 | D03*
332 | D84*
333 | X141224Y81927D02*
334 | D03*
335 | Y83427D02*
336 | D03*
337 | Y87491D02*
338 | D03*
339 | Y85991D02*
340 | D03*
341 | X166878Y82411D02*
342 | D03*
343 | Y80911D02*
344 | D03*
345 | X115062Y137934D02*
346 | D03*
347 | Y139434D02*
348 | D03*
349 | X79502Y125615D02*
350 | D03*
351 | Y127115D02*
352 | D03*
353 | X142748Y134251D02*
354 | D03*
355 | Y135751D02*
356 | D03*
357 | X161290Y95389D02*
358 | D03*
359 | Y96889D02*
360 | D03*
361 | X159258Y95389D02*
362 | D03*
363 | Y96889D02*
364 | D03*
365 | X153924Y142887D02*
366 | D03*
367 | Y144387D02*
368 | D03*
369 | D85*
370 | X154239Y109093D02*
371 | D03*
372 | X152339D02*
373 | D03*
374 | X158557Y112268D02*
375 | D03*
376 | X156657D02*
377 | D03*
378 | X158557Y103632D02*
379 | D03*
380 | X156657D02*
381 | D03*
382 | X158557Y121158D02*
383 | D03*
384 | X156657D02*
385 | D03*
386 | D86*
387 | X161163Y121092D02*
388 | D03*
389 | Y119192D02*
390 | D03*
391 | Y103566D02*
392 | D03*
393 | Y101666D02*
394 | D03*
395 | Y112329D02*
396 | D03*
397 | Y110429D02*
398 | D03*
399 | D87*
400 | X154039Y112141D02*
401 | D03*
402 | X152539D02*
403 | D03*
404 | X91301Y128143D02*
405 | D03*
406 | X89801D02*
407 | D03*
408 | X75069Y83566D02*
409 | D03*
410 | X76569D02*
411 | D03*
412 | X139307Y147193D02*
413 | D03*
414 | X137807D02*
415 | D03*
416 | X175502Y106172D02*
417 | D03*
418 | X174002D02*
419 | D03*
420 | X75196Y79248D02*
421 | D03*
422 | X76696D02*
423 | D03*
424 | X120561Y144653D02*
425 | D03*
426 | X118961D02*
427 | D03*
428 | X114084D02*
429 | D03*
430 | X112484D02*
431 | D03*
432 | X107607D02*
433 | D03*
434 | X106007D02*
435 | D03*
436 | X101130D02*
437 | D03*
438 | X99530D02*
439 | D03*
440 | X94653D02*
441 | D03*
442 | X93053D02*
443 | D03*
444 | D88*
445 | X139700Y127127D02*
446 | D03*
447 | Y128371D02*
448 | D03*
449 | X137795Y117475D02*
450 | D03*
451 | Y118719D02*
452 | D03*
453 | X108204Y104394D02*
454 | D03*
455 | Y103150D02*
456 | D03*
457 | X137795Y127127D02*
458 | D03*
459 | Y128371D02*
460 | D03*
461 | X115189Y133858D02*
462 | D03*
463 | Y132614D02*
464 | D03*
465 | X142748Y137668D02*
466 | D03*
467 | Y138912D02*
468 | D03*
469 | X133985Y127127D02*
470 | D03*
471 | Y128371D02*
472 | D03*
473 | X135890Y128397D02*
474 | D03*
475 | Y127153D02*
476 | D03*
477 | X153924Y139954D02*
478 | D03*
479 | Y138710D02*
480 | D03*
481 | X160782Y85217D02*
482 | D03*
483 | Y86461D02*
484 | D03*
485 | X164846Y86487D02*
486 | D03*
487 | Y85243D02*
488 | D03*
489 | X162814Y85217D02*
490 | D03*
491 | Y86461D02*
492 | D03*
493 | X166878Y86487D02*
494 | D03*
495 | Y85243D02*
496 | D03*
497 | X94615Y86233D02*
498 | D03*
499 | Y84989D02*
500 | D03*
501 | X117221Y139446D02*
502 | D03*
503 | Y138202D02*
504 | D03*
505 | X145034Y150749D02*
506 | D03*
507 | Y151993D02*
508 | D03*
509 | X117221Y132588D02*
510 | D03*
511 | Y133832D02*
512 | D03*
513 | X147574Y102108D02*
514 | D03*
515 | Y103352D02*
516 | D03*
517 | X145796Y102108D02*
518 | D03*
519 | Y103352D02*
520 | D03*
521 | X144018Y103378D02*
522 | D03*
523 | Y102134D02*
524 | D03*
525 | X142240Y103378D02*
526 | D03*
527 | Y102134D02*
528 | D03*
529 | X153924Y135636D02*
530 | D03*
531 | Y134392D02*
532 | D03*
533 | X99060Y133871D02*
534 | D03*
535 | Y135115D02*
536 | D03*
537 | X101600Y125975D02*
538 | D03*
539 | Y127219D02*
540 | D03*
541 | X100076Y127219D02*
542 | D03*
543 | Y125975D02*
544 | D03*
545 | X96520Y127241D02*
546 | D03*
547 | Y125997D02*
548 | D03*
549 | X97917Y127254D02*
550 | D03*
551 | Y126010D02*
552 | D03*
553 | X95123Y133858D02*
554 | D03*
555 | Y135102D02*
556 | D03*
557 | X96774Y133858D02*
558 | D03*
559 | Y135102D02*
560 | D03*
561 | D89*
562 | X135255Y105156D02*
563 | D03*
564 | X136499D02*
565 | D03*
566 | X134112Y101473D02*
567 | D03*
568 | X135356D02*
569 | D03*
570 | X136525Y103378D02*
571 | D03*
572 | X135281D02*
573 | D03*
574 | X149733Y93218D02*
575 | D03*
576 | X148489D02*
577 | D03*
578 | X151892D02*
579 | D03*
580 | X153136D02*
581 | D03*
582 | X169418Y86106D02*
583 | D03*
584 | X170662D02*
585 | D03*
586 | X120142Y142748D02*
587 | D03*
588 | X118898D02*
589 | D03*
590 | X113792D02*
591 | D03*
592 | X112548D02*
593 | D03*
594 | X107315D02*
595 | D03*
596 | X106071D02*
597 | D03*
598 | X100838D02*
599 | D03*
600 | X99594D02*
601 | D03*
602 | X94361D02*
603 | D03*
604 | X93117D02*
605 | D03*
606 | X150495Y142621D02*
607 | D03*
608 | X149251D02*
609 | D03*
610 | X153162Y90551D02*
611 | D03*
612 | X151918D02*
613 | D03*
614 | D90*
615 | X128740Y154818D02*
616 | D03*
617 | Y152268D02*
618 | D03*
619 | X130340Y154818D02*
620 | D03*
621 | Y152268D02*
622 | D03*
623 | X128740Y146436D02*
624 | D03*
625 | Y143886D02*
626 | D03*
627 | X130340Y146436D02*
628 | D03*
629 | Y143886D02*
630 | D03*
631 | X128740Y148077D02*
632 | D03*
633 | Y150627D02*
634 | D03*
635 | X130340Y148077D02*
636 | D03*
637 | Y150627D02*
638 | D03*
639 | X133261Y89022D02*
640 | D03*
641 | Y91572D02*
642 | D03*
643 | X131661Y89022D02*
644 | D03*
645 | Y91572D02*
646 | D03*
647 | X138722Y89022D02*
648 | D03*
649 | Y91572D02*
650 | D03*
651 | X137122Y89022D02*
652 | D03*
653 | Y91572D02*
654 | D03*
655 | D91*
656 | X128740Y153943D02*
657 | D03*
658 | Y153143D02*
659 | D03*
660 | X130340Y153943D02*
661 | D03*
662 | Y153143D02*
663 | D03*
664 | X128740Y145561D02*
665 | D03*
666 | Y144761D02*
667 | D03*
668 | X130340Y145561D02*
669 | D03*
670 | Y144761D02*
671 | D03*
672 | X128740Y148952D02*
673 | D03*
674 | Y149752D02*
675 | D03*
676 | X130340Y148952D02*
677 | D03*
678 | Y149752D02*
679 | D03*
680 | X133261Y89897D02*
681 | D03*
682 | Y90697D02*
683 | D03*
684 | X131661Y89897D02*
685 | D03*
686 | Y90697D02*
687 | D03*
688 | X138722Y89897D02*
689 | D03*
690 | Y90697D02*
691 | D03*
692 | X137122Y89897D02*
693 | D03*
694 | Y90697D02*
695 | D03*
696 | D92*
697 | X110608Y106439D02*
698 | D03*
699 | Y106939D02*
700 | D03*
701 | Y107439D02*
702 | D03*
703 | Y107939D02*
704 | D03*
705 | Y108439D02*
706 | D03*
707 | Y108939D02*
708 | D03*
709 | Y109439D02*
710 | D03*
711 | Y109939D02*
712 | D03*
713 | Y110439D02*
714 | D03*
715 | Y110939D02*
716 | D03*
717 | Y111439D02*
718 | D03*
719 | Y111939D02*
720 | D03*
721 | Y112439D02*
722 | D03*
723 | Y112939D02*
724 | D03*
725 | Y113439D02*
726 | D03*
727 | Y113939D02*
728 | D03*
729 | Y114439D02*
730 | D03*
731 | Y114939D02*
732 | D03*
733 | Y115439D02*
734 | D03*
735 | Y115939D02*
736 | D03*
737 | Y116439D02*
738 | D03*
739 | Y116939D02*
740 | D03*
741 | Y117439D02*
742 | D03*
743 | Y117939D02*
744 | D03*
745 | Y118439D02*
746 | D03*
747 | Y118939D02*
748 | D03*
749 | Y119439D02*
750 | D03*
751 | Y119939D02*
752 | D03*
753 | Y120439D02*
754 | D03*
755 | Y120939D02*
756 | D03*
757 | Y121439D02*
758 | D03*
759 | Y121939D02*
760 | D03*
761 | Y122439D02*
762 | D03*
763 | Y122939D02*
764 | D03*
765 | Y123439D02*
766 | D03*
767 | Y123939D02*
768 | D03*
769 | X131708D02*
770 | D03*
771 | Y123439D02*
772 | D03*
773 | Y122939D02*
774 | D03*
775 | Y122439D02*
776 | D03*
777 | Y121939D02*
778 | D03*
779 | Y121439D02*
780 | D03*
781 | Y120939D02*
782 | D03*
783 | Y120439D02*
784 | D03*
785 | Y119939D02*
786 | D03*
787 | Y119439D02*
788 | D03*
789 | Y118939D02*
790 | D03*
791 | Y118439D02*
792 | D03*
793 | Y117939D02*
794 | D03*
795 | Y117439D02*
796 | D03*
797 | Y116939D02*
798 | D03*
799 | Y116439D02*
800 | D03*
801 | Y115939D02*
802 | D03*
803 | Y115439D02*
804 | D03*
805 | Y114939D02*
806 | D03*
807 | Y114439D02*
808 | D03*
809 | Y113939D02*
810 | D03*
811 | Y113439D02*
812 | D03*
813 | Y112939D02*
814 | D03*
815 | Y112439D02*
816 | D03*
817 | Y111939D02*
818 | D03*
819 | Y111439D02*
820 | D03*
821 | Y110939D02*
822 | D03*
823 | Y110439D02*
824 | D03*
825 | Y109939D02*
826 | D03*
827 | Y109439D02*
828 | D03*
829 | Y108939D02*
830 | D03*
831 | Y108439D02*
832 | D03*
833 | Y107939D02*
834 | D03*
835 | Y107439D02*
836 | D03*
837 | Y106939D02*
838 | D03*
839 | Y106439D02*
840 | D03*
841 | D93*
842 | X112408Y125739D02*
843 | D03*
844 | X112908D02*
845 | D03*
846 | X113408D02*
847 | D03*
848 | X113908D02*
849 | D03*
850 | X114408D02*
851 | D03*
852 | X114908D02*
853 | D03*
854 | X115408D02*
855 | D03*
856 | X115908D02*
857 | D03*
858 | X116408D02*
859 | D03*
860 | X116908D02*
861 | D03*
862 | X117408D02*
863 | D03*
864 | X117908D02*
865 | D03*
866 | X118408D02*
867 | D03*
868 | X118908D02*
869 | D03*
870 | X119408D02*
871 | D03*
872 | X119908D02*
873 | D03*
874 | X120408D02*
875 | D03*
876 | X120908D02*
877 | D03*
878 | X121408D02*
879 | D03*
880 | X121908D02*
881 | D03*
882 | X122408D02*
883 | D03*
884 | X122908D02*
885 | D03*
886 | X123408D02*
887 | D03*
888 | X123908D02*
889 | D03*
890 | X124408D02*
891 | D03*
892 | X124908D02*
893 | D03*
894 | X125408D02*
895 | D03*
896 | X125908D02*
897 | D03*
898 | X126408D02*
899 | D03*
900 | X126908D02*
901 | D03*
902 | X127408D02*
903 | D03*
904 | X127908D02*
905 | D03*
906 | X128408D02*
907 | D03*
908 | X128908D02*
909 | D03*
910 | X129408D02*
911 | D03*
912 | X129908D02*
913 | D03*
914 | Y104639D02*
915 | D03*
916 | X129408D02*
917 | D03*
918 | X128908D02*
919 | D03*
920 | X128408D02*
921 | D03*
922 | X127908D02*
923 | D03*
924 | X127408D02*
925 | D03*
926 | X126908D02*
927 | D03*
928 | X126408D02*
929 | D03*
930 | X125908D02*
931 | D03*
932 | X125408D02*
933 | D03*
934 | X124908D02*
935 | D03*
936 | X124408D02*
937 | D03*
938 | X123908D02*
939 | D03*
940 | X123408D02*
941 | D03*
942 | X122908D02*
943 | D03*
944 | X122408D02*
945 | D03*
946 | X121908D02*
947 | D03*
948 | X121408D02*
949 | D03*
950 | X120908D02*
951 | D03*
952 | X120408D02*
953 | D03*
954 | X119908D02*
955 | D03*
956 | X119408D02*
957 | D03*
958 | X118908D02*
959 | D03*
960 | X118408D02*
961 | D03*
962 | X117908D02*
963 | D03*
964 | X117408D02*
965 | D03*
966 | X116908D02*
967 | D03*
968 | X116408D02*
969 | D03*
970 | X115908D02*
971 | D03*
972 | X115408D02*
973 | D03*
974 | X114908D02*
975 | D03*
976 | X114408D02*
977 | D03*
978 | X113908D02*
979 | D03*
980 | X113408D02*
981 | D03*
982 | X112908D02*
983 | D03*
984 | X112408D02*
985 | D03*
986 | D94*
987 | X150703Y87530D02*
988 | D03*
989 | Y86880D02*
990 | D03*
991 | Y86230D02*
992 | D03*
993 | Y85580D02*
994 | D03*
995 | Y84930D02*
996 | D03*
997 | Y84280D02*
998 | D03*
999 | Y83630D02*
1000 | D03*
1001 | Y82980D02*
1002 | D03*
1003 | Y82330D02*
1004 | D03*
1005 | Y81680D02*
1006 | D03*
1007 | Y81030D02*
1008 | D03*
1009 | Y80380D02*
1010 | D03*
1011 | Y79730D02*
1012 | D03*
1013 | Y79080D02*
1014 | D03*
1015 | X157603Y87530D02*
1016 | D03*
1017 | Y86880D02*
1018 | D03*
1019 | Y86230D02*
1020 | D03*
1021 | Y85580D02*
1022 | D03*
1023 | Y84930D02*
1024 | D03*
1025 | Y84280D02*
1026 | D03*
1027 | Y83630D02*
1028 | D03*
1029 | Y82980D02*
1030 | D03*
1031 | Y82330D02*
1032 | D03*
1033 | Y81680D02*
1034 | D03*
1035 | Y81030D02*
1036 | D03*
1037 | Y80380D02*
1038 | D03*
1039 | Y79730D02*
1040 | D03*
1041 | Y79080D02*
1042 | D03*
1043 | D95*
1044 | X170540Y118745D02*
1045 | D03*
1046 | X170667Y101346D02*
1047 | D03*
1048 | Y109982D02*
1049 | D03*
1050 | D96*
1051 | X164740Y116445D02*
1052 | D03*
1053 | Y118745D02*
1054 | D03*
1055 | Y121045D02*
1056 | D03*
1057 | X164867Y99046D02*
1058 | D03*
1059 | Y101346D02*
1060 | D03*
1061 | Y103646D02*
1062 | D03*
1063 | Y107682D02*
1064 | D03*
1065 | Y109982D02*
1066 | D03*
1067 | Y112282D02*
1068 | D03*
1069 | D97*
1070 | X140081Y138790D02*
1071 | D03*
1072 | X138811D02*
1073 | D03*
1074 | X137541D02*
1075 | D03*
1076 | X136271D02*
1077 | D03*
1078 | X140081Y133350D02*
1079 | D03*
1080 | X138811D02*
1081 | D03*
1082 | X137541D02*
1083 | D03*
1084 | X147955Y134260D02*
1085 | D03*
1086 | X149225D02*
1087 | D03*
1088 | X150495D02*
1089 | D03*
1090 | X151765D02*
1091 | D03*
1092 | X147955Y139700D02*
1093 | D03*
1094 | X149225D02*
1095 | D03*
1096 | X150495D02*
1097 | D03*
1098 | X123571Y138790D02*
1099 | D03*
1100 | X122301D02*
1101 | D03*
1102 | X121031D02*
1103 | D03*
1104 | X119761D02*
1105 | D03*
1106 | X123571Y133350D02*
1107 | D03*
1108 | X122301D02*
1109 | D03*
1110 | X121031D02*
1111 | D03*
1112 | D98*
1113 | X136271D02*
1114 | D03*
1115 | X151765Y139700D02*
1116 | D03*
1117 | X119761Y133350D02*
1118 | D03*
1119 | D99*
1120 | X108236Y133271D02*
1121 | D03*
1122 | Y139271D02*
1123 | D03*
1124 | D100*
1125 | X112236D02*
1126 | D03*
1127 | Y133271D02*
1128 | D03*
1129 | D101*
1130 | X103492Y107297D02*
1131 | D03*
1132 | Y108097D02*
1133 | D03*
1134 | Y108897D02*
1135 | D03*
1136 | Y109697D02*
1137 | D03*
1138 | Y110497D02*
1139 | D03*
1140 | Y111297D02*
1141 | D03*
1142 | Y112097D02*
1143 | D03*
1144 | Y112897D02*
1145 | D03*
1146 | Y113697D02*
1147 | D03*
1148 | Y114497D02*
1149 | D03*
1150 | Y115297D02*
1151 | D03*
1152 | Y116097D02*
1153 | D03*
1154 | Y116897D02*
1155 | D03*
1156 | Y117697D02*
1157 | D03*
1158 | Y118497D02*
1159 | D03*
1160 | Y119297D02*
1161 | D03*
1162 | Y120097D02*
1163 | D03*
1164 | Y120897D02*
1165 | D03*
1166 | Y121697D02*
1167 | D03*
1168 | Y122497D02*
1169 | D03*
1170 | Y123297D02*
1171 | D03*
1172 | Y124097D02*
1173 | D03*
1174 | X92342Y107297D02*
1175 | D03*
1176 | Y108097D02*
1177 | D03*
1178 | Y108897D02*
1179 | D03*
1180 | Y109697D02*
1181 | D03*
1182 | Y110497D02*
1183 | D03*
1184 | Y111297D02*
1185 | D03*
1186 | Y112097D02*
1187 | D03*
1188 | Y112897D02*
1189 | D03*
1190 | Y113697D02*
1191 | D03*
1192 | Y114497D02*
1193 | D03*
1194 | Y115297D02*
1195 | D03*
1196 | Y116097D02*
1197 | D03*
1198 | Y116897D02*
1199 | D03*
1200 | Y117697D02*
1201 | D03*
1202 | Y118497D02*
1203 | D03*
1204 | Y119297D02*
1205 | D03*
1206 | Y120097D02*
1207 | D03*
1208 | Y120897D02*
1209 | D03*
1210 | Y121697D02*
1211 | D03*
1212 | Y122497D02*
1213 | D03*
1214 | Y123297D02*
1215 | D03*
1216 | Y124097D02*
1217 | D03*
1218 | D102*
1219 | X99426Y129911D02*
1220 | D03*
1221 | X99426Y130311D02*
1222 | D03*
1223 | X99426Y130711D02*
1224 | D03*
1225 | X99426Y131111D02*
1226 | D03*
1227 | X99426Y131511D02*
1228 | D03*
1229 | X99426Y131911D02*
1230 | D03*
1231 | X96326D02*
1232 | D03*
1233 | X96326Y131511D02*
1234 | D03*
1235 | X96326Y131111D02*
1236 | D03*
1237 | Y130711D02*
1238 | D03*
1239 | X96326Y130311D02*
1240 | D03*
1241 | X96326Y129911D02*
1242 | D03*
1243 | D103*
1244 | X98876Y132461D02*
1245 | D03*
1246 | X98476D02*
1247 | D03*
1248 | X98076Y132461D02*
1249 | D03*
1250 | X97676D02*
1251 | D03*
1252 | X97276Y132461D02*
1253 | D03*
1254 | X96876Y132461D02*
1255 | D03*
1256 | X96876Y129361D02*
1257 | D03*
1258 | X97276D02*
1259 | D03*
1260 | X97676D02*
1261 | D03*
1262 | X98076D02*
1263 | D03*
1264 | X98476D02*
1265 | D03*
1266 | X98876D02*
1267 | D03*
1268 | D104*
1269 | X184785Y132330D02*
1270 | D03*
1271 | Y139200D02*
1272 | D03*
1273 | Y130040D02*
1274 | D03*
1275 | Y134620D02*
1276 | D03*
1277 | Y136910D02*
1278 | D03*
1279 | X179705D02*
1280 | D03*
1281 | Y134620D02*
1282 | D03*
1283 | Y139200D02*
1284 | D03*
1285 | Y132330D02*
1286 | D03*
1287 | X182245Y138050D02*
1288 | D03*
1289 | Y135760D02*
1290 | D03*
1291 | Y131180D02*
1292 | D03*
1293 | Y140340D02*
1294 | D03*
1295 | Y133470D02*
1296 | D03*
1297 | D105*
1298 | X179705Y130040D02*
1299 | D03*
1300 | D106*
1301 | X182245Y147620D02*
1302 | D03*
1303 | Y121620D02*
1304 | D03*
1305 | D107*
1306 | X186397Y116986D02*
1307 | D03*
1308 | Y103486D02*
1309 | D03*
1310 | X187197Y110236D02*
1311 | D03*
1312 | D108*
1313 | X180897Y106836D02*
1314 | D03*
1315 | Y113636D02*
1316 | D03*
1317 | X183397Y106836D02*
1318 | D03*
1319 | Y113636D02*
1320 | D03*
1321 | Y108936D02*
1322 | D03*
1323 | Y111536D02*
1324 | D03*
1325 | D109*
1326 | X189628Y79358D02*
1327 | D03*
1328 | X190214Y80772D02*
1329 | D03*
1330 | X186800Y79358D02*
1331 | D03*
1332 | X188214Y78772D02*
1333 | D03*
1334 | X186800Y82186D02*
1335 | D03*
1336 | X186214Y80772D02*
1337 | D03*
1338 | X188214Y82772D02*
1339 | D03*
1340 | X189628Y82186D02*
1341 | D03*
1342 | X57421Y79358D02*
1343 | D03*
1344 | X58007Y80772D02*
1345 | D03*
1346 | X54593Y79358D02*
1347 | D03*
1348 | X56007Y78772D02*
1349 | D03*
1350 | X54593Y82186D02*
1351 | D03*
1352 | X54007Y80772D02*
1353 | D03*
1354 | X56007Y82772D02*
1355 | D03*
1356 | X57421Y82186D02*
1357 | D03*
1358 | X189628Y151494D02*
1359 | D03*
1360 | X190214Y152908D02*
1361 | D03*
1362 | X186800Y151494D02*
1363 | D03*
1364 | X188214Y150908D02*
1365 | D03*
1366 | X186800Y154322D02*
1367 | D03*
1368 | X186214Y152908D02*
1369 | D03*
1370 | X188214Y154908D02*
1371 | D03*
1372 | X189628Y154322D02*
1373 | D03*
1374 | X57421Y151494D02*
1375 | D03*
1376 | X58007Y152908D02*
1377 | D03*
1378 | X54593Y151494D02*
1379 | D03*
1380 | X56007Y150908D02*
1381 | D03*
1382 | X54593Y154322D02*
1383 | D03*
1384 | X54007Y152908D02*
1385 | D03*
1386 | X56007Y154908D02*
1387 | D03*
1388 | X57421Y154322D02*
1389 | D03*
1390 | D110*
1391 | X188214Y80772D02*
1392 | D03*
1393 | X56007D02*
1394 | D03*
1395 | X188214Y152908D02*
1396 | D03*
1397 | X56007D02*
1398 | D03*
1399 | D111*
1400 | X172387Y80772D02*
1401 | D03*
1402 | X178387D02*
1403 | D03*
1404 | X175387D02*
1405 | D03*
1406 | D112*
1407 | X180987D02*
1408 | D03*
1409 | X169787D02*
1410 | D03*
1411 | D113*
1412 | X135890Y151638D02*
1413 | D03*
1414 | X138430D02*
1415 | D03*
1416 | X140970D02*
1417 | D03*
1418 | D114*
1419 | X161036Y153924D02*
1420 | D03*
1421 | Y148844D02*
1422 | D03*
1423 | D115*
1424 | Y151384D02*
1425 | D03*
1426 | D116*
1427 | X112141Y147320D02*
1428 | D03*
1429 | X109474D02*
1430 | D03*
1431 | X106934D02*
1432 | D03*
1433 | X104267D02*
1434 | D03*
1435 | X101600D02*
1436 | D03*
1437 | Y155321D02*
1438 | D03*
1439 | X104267D02*
1440 | D03*
1441 | X106934D02*
1442 | D03*
1443 | X109474D02*
1444 | D03*
1445 | X98933D02*
1446 | D03*
1447 | X112141D02*
1448 | D03*
1449 | D117*
1450 | X98933Y147320D02*
1451 | D03*
1452 | D118*
1453 | X145542Y82015D02*
1454 | D03*
1455 | Y86895D02*
1456 | D03*
1457 | D119*
1458 | X181597Y87579D02*
1459 | D03*
1460 | Y99619D02*
1461 | D03*
1462 | D120*
1463 | X178887Y92349D02*
1464 | D03*
1465 | Y94849D02*
1466 | D03*
1467 | X176887Y92349D02*
1468 | D03*
1469 | D121*
1470 | Y94849D02*
1471 | D03*
1472 | D122*
1473 | X137605Y86804D02*
1474 | D03*
1475 | Y79820D02*
1476 | D03*
1477 | X126174Y86804D02*
1478 | D03*
1479 | Y79820D02*
1480 | D03*
1481 | X114744Y86932D02*
1482 | D03*
1483 | Y79947D02*
1484 | D03*
1485 | X103314Y86932D02*
1486 | D03*
1487 | Y79947D02*
1488 | D03*
1489 | D123*
1490 | X133160Y86804D02*
1491 | D03*
1492 | Y79820D02*
1493 | D03*
1494 | X121729Y86804D02*
1495 | D03*
1496 | Y79820D02*
1497 | D03*
1498 | X110299Y86932D02*
1499 | D03*
1500 | Y79947D02*
1501 | D03*
1502 | X98869Y86932D02*
1503 | D03*
1504 | Y79947D02*
1505 | D03*
1506 | D124*
1507 | X72898Y149733D02*
1508 | D03*
1509 | Y152273D02*
1510 | D03*
1511 | X75438Y149733D02*
1512 | D03*
1513 | Y152273D02*
1514 | D03*
1515 | X77978Y149733D02*
1516 | D03*
1517 | Y152273D02*
1518 | D03*
1519 | X80518Y149733D02*
1520 | D03*
1521 | Y152273D02*
1522 | D03*
1523 | X83058Y149733D02*
1524 | D03*
1525 | X67056Y112903D02*
1526 | D03*
1527 | X64516Y110363D02*
1528 | D03*
1529 | X67056D02*
1530 | D03*
1531 | X64516Y107823D02*
1532 | D03*
1533 | X67056D02*
1534 | D03*
1535 | X64516Y105283D02*
1536 | D03*
1537 | X67056D02*
1538 | D03*
1539 | X64516Y102743D02*
1540 | D03*
1541 | X67056D02*
1542 | D03*
1543 | X64516Y100203D02*
1544 | D03*
1545 | X67056D02*
1546 | D03*
1547 | X64516Y97663D02*
1548 | D03*
1549 | X67056D02*
1550 | D03*
1551 | X64516Y95123D02*
1552 | D03*
1553 | X67056D02*
1554 | D03*
1555 | X64516Y92583D02*
1556 | D03*
1557 | X67056D02*
1558 | D03*
1559 | X64516Y90043D02*
1560 | D03*
1561 | X67056D02*
1562 | D03*
1563 | Y143764D02*
1564 | D03*
1565 | X64516Y141224D02*
1566 | D03*
1567 | X67056D02*
1568 | D03*
1569 | X64516Y138684D02*
1570 | D03*
1571 | X67056D02*
1572 | D03*
1573 | X64516Y136144D02*
1574 | D03*
1575 | X67056D02*
1576 | D03*
1577 | X64516Y133604D02*
1578 | D03*
1579 | X67056D02*
1580 | D03*
1581 | X64516Y131064D02*
1582 | D03*
1583 | X67056D02*
1584 | D03*
1585 | X64516Y128524D02*
1586 | D03*
1587 | X67056D02*
1588 | D03*
1589 | X64516Y125984D02*
1590 | D03*
1591 | X67056D02*
1592 | D03*
1593 | X64516Y123444D02*
1594 | D03*
1595 | X67056D02*
1596 | D03*
1597 | D125*
1598 | X83058Y152273D02*
1599 | D03*
1600 | D126*
1601 | X64516Y112903D02*
1602 | D03*
1603 | Y143764D02*
1604 | D03*
1605 | D127*
1606 | X150027Y153757D02*
1607 | D03*
1608 | X155027D02*
1609 | D03*
1610 | Y148757D02*
1611 | D03*
1612 | X150027D02*
1613 | D03*
1614 | X152527Y151257D02*
1615 | D03*
1616 | D128*
1617 | X89789Y84963D02*
1618 | D03*
1619 | X82169D02*
1620 | D03*
1621 | M02*
1622 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.LDP:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/GERBER/HDLab.LDP
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.REP:
--------------------------------------------------------------------------------
1 | *************************************************************
2 | FileName = HDLab.GBR
3 | AutoAperture = True
4 | *************************************************************
5 | Generating : Top Layer
6 | File : HDLab.GTL
7 |
8 | Adding Layer : Top Layer
9 |
10 | Adding Layer : Multi-Layer
11 |
12 |
13 | Used DCodes :
14 | D10
15 | D11
16 | D12
17 | D13
18 | D14
19 | D15
20 | D16
21 | D17
22 | D18
23 | D19
24 | D20
25 | D21
26 | D22
27 | D23
28 | D24
29 | D25
30 | D26
31 | D27
32 | D28
33 | D29
34 | D30
35 | D31
36 | D32
37 | D33
38 | D34
39 | D35
40 | D36
41 | D37
42 | D38
43 | D39
44 | D40
45 | D41
46 | D42
47 | D43
48 | D44
49 | D45
50 | D46
51 | D47
52 | D48
53 | D49
54 | D50
55 | D51
56 | D52
57 | D53
58 | D54
59 | D55
60 | D56
61 | D57
62 | D58
63 | D59
64 | D60
65 | D61
66 | D62
67 | D63
68 | D64
69 | D65
70 | *************************************************************
71 |
72 | *************************************************************
73 | Generating : Bottom Layer
74 | File : HDLab.GBL
75 |
76 | Adding Layer : Bottom Layer
77 |
78 | Adding Layer : Multi-Layer
79 |
80 |
81 | Used DCodes :
82 | D16
83 | D32
84 | D33
85 | D34
86 | D35
87 | D36
88 | D37
89 | D38
90 | D39
91 | D40
92 | D41
93 | D42
94 | D43
95 | D44
96 | D45
97 | D46
98 | D47
99 | D48
100 | D49
101 | D50
102 | D51
103 | D52
104 | D53
105 | D54
106 | D55
107 | D56
108 | D57
109 | D58
110 | D59
111 | D60
112 | D61
113 | D62
114 | D63
115 | D64
116 | D65
117 | D66
118 | D67
119 | *************************************************************
120 |
121 | *************************************************************
122 | Generating : Bottom Pad Master
123 | File : HDLab.GPB
124 |
125 | Adding Layer : Bottom Layer
126 |
127 | Adding Layer : Multi-Layer
128 |
129 |
130 | Used DCodes :
131 | D16
132 | D39
133 | D40
134 | D41
135 | D42
136 | D43
137 | D44
138 | D45
139 | D46
140 | D47
141 | D48
142 | D49
143 | D50
144 | D51
145 | D52
146 | D53
147 | D54
148 | D55
149 | D56
150 | D57
151 | D58
152 | D59
153 | D60
154 | D61
155 | D62
156 | D63
157 | *************************************************************
158 |
159 | *************************************************************
160 | Generating : Top Pad Master
161 | File : HDLab.GPT
162 |
163 | Adding Layer : Top Layer
164 |
165 | Adding Layer : Multi-Layer
166 |
167 |
168 | Used DCodes :
169 | D10
170 | D11
171 | D12
172 | D13
173 | D14
174 | D15
175 | D16
176 | D17
177 | D18
178 | D19
179 | D20
180 | D21
181 | D22
182 | D23
183 | D24
184 | D25
185 | D26
186 | D27
187 | D28
188 | D29
189 | D30
190 | D31
191 | D39
192 | D40
193 | D41
194 | D42
195 | D43
196 | D44
197 | D45
198 | D46
199 | D47
200 | D48
201 | D49
202 | D50
203 | D51
204 | D52
205 | D53
206 | D54
207 | D55
208 | D56
209 | D57
210 | D58
211 | D59
212 | D60
213 | D61
214 | D62
215 | D63
216 | *************************************************************
217 |
218 | *************************************************************
219 | Generating : Top Overlay
220 | File : HDLab.GTO
221 |
222 | Adding Layer : Top Overlay
223 |
224 |
225 | Used DCodes :
226 | D32
227 | D33
228 | D35
229 | D68
230 | D69
231 | D70
232 | D71
233 | D72
234 | D73
235 | D74
236 | D75
237 | D76
238 | D77
239 | D78
240 | D79
241 | D80
242 | D81
243 | *************************************************************
244 |
245 | *************************************************************
246 | Generating : Top Paste
247 | File : HDLab.GTP
248 |
249 | Adding Layer : Top Paste
250 |
251 | Adding Layer : Top Layer
252 |
253 | Adding Layer : Multi-Layer
254 |
255 |
256 | Used DCodes :
257 | D10
258 | D11
259 | D12
260 | D13
261 | D14
262 | D15
263 | D16
264 | D17
265 | D18
266 | D19
267 | D20
268 | D21
269 | D22
270 | D23
271 | D24
272 | D25
273 | D26
274 | D27
275 | D28
276 | D29
277 | D30
278 | D31
279 | *************************************************************
280 |
281 | *************************************************************
282 | Generating : Top Solder
283 | File : HDLab.GTS
284 |
285 | Adding Layer : Top Solder
286 |
287 | Adding Layer : Top Layer
288 |
289 | Adding Layer : Multi-Layer
290 |
291 |
292 | Used DCodes :
293 | D82
294 | D83
295 | D84
296 | D85
297 | D86
298 | D87
299 | D88
300 | D89
301 | D90
302 | D91
303 | D92
304 | D93
305 | D94
306 | D95
307 | D96
308 | D97
309 | D98
310 | D99
311 | D100
312 | D101
313 | D102
314 | D103
315 | D104
316 | D105
317 | D106
318 | D107
319 | D108
320 | D109
321 | D110
322 | D111
323 | D112
324 | D113
325 | D114
326 | D115
327 | D116
328 | D117
329 | D118
330 | D119
331 | D120
332 | D121
333 | D122
334 | D123
335 | D124
336 | D125
337 | D126
338 | D127
339 | D128
340 | *************************************************************
341 |
342 | *************************************************************
343 | Generating : Bottom Solder
344 | File : HDLab.GBS
345 |
346 | Adding Layer : Bottom Solder
347 |
348 | Adding Layer : Bottom Layer
349 |
350 | Adding Layer : Multi-Layer
351 |
352 |
353 | Used DCodes :
354 | D88
355 | D104
356 | D105
357 | D106
358 | D107
359 | D108
360 | D109
361 | D110
362 | D111
363 | D112
364 | D113
365 | D114
366 | D115
367 | D116
368 | D117
369 | D118
370 | D119
371 | D120
372 | D121
373 | D122
374 | D123
375 | D124
376 | D125
377 | D126
378 | D127
379 | D128
380 | *************************************************************
381 |
382 | *************************************************************
383 | Generating : Bottom Paste
384 | File : HDLab.GBP
385 |
386 | Adding Layer : Bottom Paste
387 |
388 | Adding Layer : Bottom Layer
389 |
390 | Adding Layer : Multi-Layer
391 |
392 |
393 | Used DCodes :
394 | D16
395 | *************************************************************
396 |
397 | *************************************************************
398 | Generating : Bottom Overlay
399 | File : HDLab.GBO
400 |
401 | Adding Layer : Bottom Overlay
402 |
403 |
404 | Used DCodes :
405 | D33
406 | D76
407 | D129
408 | *************************************************************
409 |
410 | *************************************************************
411 | Generating : Keep-Out Layer
412 | File : HDLab.GKO
413 |
414 | Adding Layer : Keep-Out Layer
415 |
416 |
417 | Used DCodes :
418 | D32
419 | D130
420 | *************************************************************
421 |
422 |
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.RUL:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/GERBER/HDLab.RUL
--------------------------------------------------------------------------------
/Document/GERBER/HDLab.apr:
--------------------------------------------------------------------------------
1 | D10 RECTANGULAR 23.622 25.591 0.000 FLASH 90.000
2 | D11 RECTANGULAR 23.622 19.685 0.000 FLASH 90.000
3 | D12 RECTANGULAR 31.496 31.496 0.000 FLASH 270.000
4 | D13 RECTANGULAR 35.433 51.181 0.000 FLASH 0.000
5 | D14 RECTANGULAR 35.433 51.181 0.000 FLASH 90.000
6 | D15 RECTANGULAR 31.496 31.496 0.000 FLASH 0.000
7 | D16 RECTANGULAR 33.465 23.622 0.000 FLASH 180.000
8 | D17 RECTANGULAR 33.465 23.622 0.000 FLASH 90.000
9 | D18 RECTANGULAR 23.622 25.591 0.000 FLASH 180.000
10 | D19 RECTANGULAR 23.622 19.685 0.000 FLASH 180.000
11 | D20 ROUNDED 11.811 70.866 0.000 FLASH 90.000
12 | D21 ROUNDED 11.811 70.866 0.000 FLASH 180.000
13 | D22 ROUNDED 17.716 82.677 0.000 FLASH 270.000
14 | D23 RECTANGULAR 129.921 94.488 0.000 FLASH 90.000
15 | D24 RECTANGULAR 39.370 94.488 0.000 FLASH 90.000
16 | D25 ROUNDED 78.740 20.079 0.000 FLASH 90.000
17 | D26 RECTANGULAR 78.740 20.079 0.000 FLASH 90.000
18 | D27 RECTANGULAR 98.425 94.488 0.000 FLASH 90.000
19 | D28 RECTANGULAR 98.425 98.425 0.000 FLASH 90.000
20 | D29 ROUNDED 21.654 64.961 0.000 FLASH 90.000
21 | D30 ROUNDED 27.559 7.874 0.000 FLASH 0.000
22 | D31 ROUNDED 27.559 7.874 0.000 FLASH 90.000
23 | D32 ROUNDED 10.000 10.000 0.000 LINE 0.000
24 | D33 ROUNDED 7.874 7.874 0.000 LINE 0.000
25 | D34 ROUNDED 39.370 39.370 0.000 LINE 0.000
26 | D35 ROUNDED 9.842 9.842 0.000 LINE 0.000
27 | D36 ROUNDED 59.055 59.055 0.000 LINE 0.000
28 | D37 ROUNDED 19.685 19.685 0.000 LINE 0.000
29 | D38 ROUNDED 9.646 9.646 0.000 LINE 0.000
30 | D39 ROUNDED 60.000 70.866 0.000 FLASH 90.000
31 | D40 ROUNDED 59.055 70.866 0.000 FLASH 90.000
32 | D41 ROUNDED 157.480 196.850 0.000 FLASH 90.000
33 | D42 ROUNDED 118.110 118.110 0.000 FLASH 0.000
34 | D43 OCTAGONAL 55.433 55.433 0.000 FLASH 90.000
35 | D44 ROUNDED 23.622 23.622 0.000 FLASH 0.000
36 | D45 ROUNDED 196.850 196.850 0.000 FLASH 0.000
37 | D46 ROUNDED 66.929 66.929 0.000 FLASH 0.000
38 | D47 ROUNDED 140.000 66.929 0.000 FLASH 90.000
39 | D49 ROUNDED 70.866 94.488 0.000 FLASH 90.000
40 | D50 RECTANGULAR 70.866 94.488 0.000 FLASH 90.000
41 | D52 RECTANGULAR 47.244 62.992 0.000 FLASH 270.000
42 | D53 ROUNDED 55.000 55.000 0.000 FLASH 0.000
43 | D54 ROUNDED 149.606 149.606 0.000 FLASH 0.000
44 | D55 ROUNDED 62.992 74.803 0.000 FLASH 180.000
45 | D56 RECTANGULAR 62.992 74.803 0.000 FLASH 180.000
46 | D57 ROUNDED 80.000 80.000 0.000 FLASH 0.000
47 | D58 RECTANGULAR 80.000 80.000 0.000 FLASH 90.000
48 | D59 ROUNDED 59.055 59.055 0.000 FLASH 0.000
49 | D60 RECTANGULAR 59.055 59.055 0.000 FLASH 180.000
50 | D61 RECTANGULAR 59.055 59.055 0.000 FLASH 270.000
51 | D62 ROUNDED 98.425 98.425 0.000 FLASH 0.000
52 | D63 OCTAGONAL 85.000 85.000 0.000 FLASH 180.000
53 | D64 ROUNDED 27.559 27.559 0.000 FLASH 0.000
54 | D65 ROUNDED 39.370 39.370 0.000 FLASH 0.000
55 | D66 ROUNDED 10.020 10.020 0.000 LINE 0.000
56 | D67 ROUNDED 31.496 31.496 0.000 LINE 0.000
57 | D68 ROUNDED 7.000 7.000 0.000 LINE 0.000
58 | D69 ROUNDED 33.858 33.858 0.000 LINE 0.000
59 | D70 ROUNDED 5.000 5.000 0.000 LINE 0.000
60 | D71 ROUNDED 23.622 23.622 0.000 LINE 0.000
61 | D72 ROUNDED 6.000 6.000 0.000 LINE 0.000
62 | D73 ROUNDED 8.000 8.000 0.000 LINE 0.000
63 | D74 ROUNDED 30.000 30.000 0.000 LINE 0.000
64 | D75 ROUNDED 2.000 2.000 0.000 LINE 0.000
65 | D76 ROUNDED 4.724 4.724 0.000 LINE 0.000
66 | D77 ROUNDED 5.905 5.905 0.000 LINE 0.000
67 | D78 RECTANGULAR 699.000 125.000 0.000 FLASH 0.000
68 | D79 RECTANGULAR 85.000 75.000 0.000 FLASH 0.000
69 | D80 RECTANGULAR 20.000 20.000 0.000 FLASH 0.000
70 | D81 RECTANGULAR 70.000 10.000 0.000 FLASH 0.000
71 | D82 RECTANGULAR 25.622 27.591 0.000 FLASH 90.000
72 | D83 RECTANGULAR 25.622 21.685 0.000 FLASH 90.000
73 | D84 RECTANGULAR 39.496 39.496 0.000 FLASH 270.000
74 | D85 RECTANGULAR 43.433 59.181 0.000 FLASH 0.000
75 | D86 RECTANGULAR 43.433 59.181 0.000 FLASH 90.000
76 | D87 RECTANGULAR 39.496 39.496 0.000 FLASH 0.000
77 | D88 RECTANGULAR 41.465 31.622 0.000 FLASH 180.000
78 | D89 RECTANGULAR 41.465 31.622 0.000 FLASH 90.000
79 | D90 RECTANGULAR 25.622 27.591 0.000 FLASH 180.000
80 | D91 RECTANGULAR 25.622 21.685 0.000 FLASH 180.000
81 | D92 ROUNDED 19.811 78.866 0.000 FLASH 90.000
82 | D93 ROUNDED 19.811 78.866 0.000 FLASH 180.000
83 | D94 ROUNDED 25.716 90.677 0.000 FLASH 270.000
84 | D95 RECTANGULAR 137.921 102.488 0.000 FLASH 90.000
85 | D96 RECTANGULAR 47.370 102.488 0.000 FLASH 90.000
86 | D97 ROUNDED 86.740 28.079 0.000 FLASH 90.000
87 | D98 RECTANGULAR 86.740 28.079 0.000 FLASH 90.000
88 | D99 RECTANGULAR 106.425 102.488 0.000 FLASH 90.000
89 | D100 RECTANGULAR 106.425 106.425 0.000 FLASH 90.000
90 | D101 ROUNDED 29.654 72.961 0.000 FLASH 90.000
91 | D102 ROUNDED 35.559 15.874 0.000 FLASH 0.000
92 | D103 ROUNDED 35.559 15.874 0.000 FLASH 90.000
93 | D104 ROUNDED 68.000 78.866 0.000 FLASH 90.000
94 | D105 ROUNDED 67.055 78.866 0.000 FLASH 90.000
95 | D106 ROUNDED 165.480 204.850 0.000 FLASH 90.000
96 | D107 ROUNDED 126.110 126.110 0.000 FLASH 0.000
97 | D108 OCTAGONAL 63.433 63.433 0.000 FLASH 90.000
98 | D109 ROUNDED 31.622 31.622 0.000 FLASH 0.000
99 | D110 ROUNDED 204.724 204.724 0.000 FLASH 0.000
100 | D111 ROUNDED 74.929 74.929 0.000 FLASH 0.000
101 | D112 ROUNDED 148.000 74.929 0.000 FLASH 90.000
102 | D114 ROUNDED 78.866 102.488 0.000 FLASH 90.000
103 | D115 RECTANGULAR 78.866 102.488 0.000 FLASH 90.000
104 | D117 RECTANGULAR 55.244 70.992 0.000 FLASH 270.000
105 | D118 ROUNDED 63.000 63.000 0.000 FLASH 0.000
106 | D119 ROUNDED 157.606 157.606 0.000 FLASH 0.000
107 | D120 ROUNDED 70.992 82.803 0.000 FLASH 180.000
108 | D121 RECTANGULAR 70.992 82.803 0.000 FLASH 180.000
109 | D122 ROUNDED 88.000 88.000 0.000 FLASH 0.000
110 | D123 RECTANGULAR 88.000 88.000 0.000 FLASH 90.000
111 | D124 ROUNDED 67.055 67.055 0.000 FLASH 0.000
112 | D125 RECTANGULAR 67.055 67.055 0.000 FLASH 180.000
113 | D126 RECTANGULAR 67.055 67.055 0.000 FLASH 270.000
114 | D127 ROUNDED 106.425 106.425 0.000 FLASH 0.000
115 | D128 OCTAGONAL 93.000 93.000 0.000 FLASH 180.000
116 | D129 ROUNDED 3.937 3.937 0.000 LINE 0.000
117 | D130 ROUNDED 11.811 11.811 0.000 LINE 0.000
118 |
--------------------------------------------------------------------------------
/Document/GERBER/Status Report.Txt:
--------------------------------------------------------------------------------
1 | Output: NC Drill Files
2 | Type : NC Drill
3 | From : Project [Free Documents]
4 | Generated File[HDLab-Plated.TXT]
5 | Generated File[HDLab-NonPlated.TXT]
6 | Generated File[HDLab.LDP]
7 | Generated File[HDLab.DRR]
8 |
9 |
10 | Files Generated : 4
11 | Documents Printed : 0
12 |
13 | Finished Output Generation At 11:45:04 PM On 8/9/2018
14 |
--------------------------------------------------------------------------------
/Document/PCB/PCB-src/HDLab.PcbDoc:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PCB/PCB-src/HDLab.PcbDoc
--------------------------------------------------------------------------------
/Document/PCB/PDF/HDLabPCB.pdf:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PCB/PDF/HDLabPCB.pdf
--------------------------------------------------------------------------------
/Document/PICTURES/7SEG.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/7SEG.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/ADC.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/ADC.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/BUTT.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/BUTT.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/BUZZ.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/BUZZ.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/Block-diagram.jpg:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/Block-diagram.jpg
--------------------------------------------------------------------------------
/Document/PICTURES/CLK.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/CLK.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/IMU.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/IMU.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/IO1.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/IO1.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/IO2.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/IO2.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/IR.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/IR.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/JTAG PORT.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/JTAG PORT.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/JUMP.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/JUMP.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/LED.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/LED.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/POWER.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/POWER.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/PS2.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/PS2.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/RES.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/RES.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/SRAM.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/SRAM.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/UART.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/UART.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/USB.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/USB.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/VGA.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/VGA.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/View_3D.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/View_3D.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/View_F.jpg:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/View_F.jpg
--------------------------------------------------------------------------------
/Document/PICTURES/View_PCB.PNG:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/View_PCB.PNG
--------------------------------------------------------------------------------
/Document/PICTURES/View_T.jpg:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/View_T.jpg
--------------------------------------------------------------------------------
/Document/PICTURES/View_TO.jpg:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/PICTURES/View_TO.jpg
--------------------------------------------------------------------------------
/Document/Schematic/pdf/HDLab-schematics.pdf:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/Schematic/pdf/HDLab-schematics.pdf
--------------------------------------------------------------------------------
/Document/Schematic/schematics-src/HDLab.SchDoc:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/Document/Schematic/schematics-src/HDLab.SchDoc
--------------------------------------------------------------------------------
/Document/UCF/UCF-HDLab.txt:
--------------------------------------------------------------------------------
1 | # UCF file for the HDLab Board
2 |
3 | # Clock and Reset pins
4 | NET CLOCK LOC = p56; # 50MHz on-board clock
5 | NET RESET LOC = p21; # active-low
6 |
7 | # USB<->UART Pins
8 | NET UART_TX LOC = p14;
9 | NET UART_RX LOC = p12;
10 |
11 | # LEDS
12 | NET LED_1 LOC = p94;
13 | NET LED_2 LOC = p95;
14 | NET LED_3 LOC = p97;
15 | NET LED_4 LOC = p98;
16 |
17 | # Buttons
18 | NET But_1 LOC = p15;
19 | NET But_2 LOC = p16;
20 | NET But_3 LOC = p17;
21 | NET But_4 LOC = p21;
22 |
23 | # 7Segment
24 | NET SEG_CA LOC = p80;
25 | NET SEG_CB LOC = p79;
26 | NET SEG_CC LOC = p83;
27 | NET SEG_CD LOC = p82;
28 | NET SEG_CE LOC = p81;
29 | NET SEG_CF LOC = p78;
30 | NET SEG_CG LOC = p84;
31 | NET SEG_CP LOC = p85;
32 | NET SEG_AN0 LOC = p66;
33 | NET SEG_AN1 LOC = p74;
34 | NET SEG_AN2 LOC = p67;
35 | NET SEG_AN3 LOC = p75;
36 |
37 | # ADC
38 | NET ADC_CS LOC = p61;
39 | NET ADC_CLK LOC = p55;
40 | NET ADC_DOUT LOC = p59;
41 |
42 | # BUZZER
43 | NET BUZZER LOC = p11;
44 |
45 | # IMU
46 | NET IMU_SCL LOC = p114;
47 | NET IMU_SDA LOC = p112;
48 | NET IMU_CLKOUT LOC = p115;
49 | NET IMU_INT LOC = p116;
50 | NET IMU_AD0 LOC = p117;
51 |
52 | # IR
53 | NET IR LOC = p62;
54 |
55 | # PS2
56 | NET PS2_CLK LOC = p24;
57 | NET PS2_DAT LOC = p23;
58 |
59 | # PS2
60 | NET PS2_CLK LOC = p24;
61 | NET PS2_DAT LOC = p23;
62 |
63 | # VGA
64 | NET V_SYNC LOC = p27;
65 | NET H_SYNC LOC = p26;
66 | NET VGA_R<0> LOC = p46;
67 | NET VGA_R<1> LOC = p47;
68 | NET VGA_R<2> LOC = p48;
69 | NET VGA_R<3> LOC = p50;
70 | NET VGA_R<4> LOC = p51;
71 | NET VGA_G<0> LOC = p35;
72 | NET VGA_G<1> LOC = p40;
73 | NET VGA_G<2> LOC = p41;
74 | NET VGA_G<3> LOC = p43;
75 | NET VGA_G<4> LOC = p44;
76 | NET VGA_G<5> LOC = p45;
77 | NET VGA_B<0> LOC = p29;
78 | NET VGA_B<1> LOC = p30;
79 | NET VGA_B<2> LOC = p32;
80 | NET VGA_B<3> LOC = p33;
81 | NET VGA_B<4> LOC = p34;
82 |
83 | # SRAM Pins
84 | NET SRAM_WE_N LOC = p1;
85 | NET SRAM_OE_N LOC = p123;
86 | NET SRAM_CE_N LOC = p8;
87 | NET SRAM_DATA<0> LOC = p7;
88 | NET SRAM_DATA<1> LOC = p6;
89 | NET SRAM_DATA<2> LOC = p5;
90 | NET SRAM_DATA<3> LOC = p2;
91 | NET SRAM_DATA<4> LOC = p131;
92 | NET SRAM_DATA<5> LOC = p127;
93 | NET SRAM_DATA<6> LOC = p126;
94 | NET SRAM_DATA<7> LOC = p124;
95 | NET SRAM_ADDR<0> LOC = p102;
96 | NET SRAM_ADDR<1> LOC = p104;
97 | NET SRAM_ADDR<2> LOC = p105;
98 | NET SRAM_ADDR<3> LOC = p10;
99 | NET SRAM_ADDR<4> LOC = p9;
100 | NET SRAM_ADDR<5> LOC = p143;
101 | NET SRAM_ADDR<6> LOC = p142;
102 | NET SRAM_ADDR<7> LOC = p141;
103 | NET SRAM_ADDR<8> LOC = p140;
104 | NET SRAM_ADDR<9> LOC = p139;
105 | NET SRAM_ADDR<10> LOC = p138;
106 | NET SRAM_ADDR<11> LOC = p137;
107 | NET SRAM_ADDR<12> LOC = p134;
108 | NET SRAM_ADDR<13> LOC = p133;
109 | NET SRAM_ADDR<14> LOC = p132;
110 | NET SRAM_ADDR<15> LOC = p121;
111 | NET SRAM_ADDR<16> LOC = p120;
112 | NET SRAM_ADDR<17> LOC = p119;
113 | NET SRAM_ADDR<18> LOC = p118;
114 |
115 | # GPIO pins
116 | NET GPIO_A01 LOC = P58;
117 | NET GPIO_A02 LOC = P57;
118 | NET GPIO_A03 LOC = P51;
119 | NET GPIO_A04 LOC = P50;
120 | NET GPIO_A05 LOC = P48;
121 | NET GPIO_A06 LOC = P47;
122 | NET GPIO_A07 LOC = P46;
123 | NET GPIO_A08 LOC = P45;
124 | NET GPIO_A09 LOC = P44;
125 | NET GPIO_A10 LOC = P43;
126 | NET GPIO_A11 LOC = P41;
127 | NET GPIO_A12 LOC = P40;
128 | NET GPIO_A13 LOC = P35;
129 | NET GPIO_A14 LOC = P34;
130 | NET GPIO_A15 LOC = P30;
131 | NET GPIO_A16 LOC = P33;
132 |
133 | # GPIO pins and Camera Interface
134 | NET GPIO_B01 LOC = P67;
135 | NET GPIO_B02 LOC = P66;
136 | NET GPIO_B03 LOC = P111;
137 | NET GPIO_B04 LOC = P85;
138 | NET GPIO_B05 LOC = P84;
139 | NET GPIO_B06 LOC = P83;
140 | NET GPIO_B07 LOC = P82;
141 | NET GPIO_B08 LOC = P81;
142 | NET GPIO_B09 LOC = P80;
143 | NET GPIO_B10 LOC = P79;
144 | NET GPIO_B11 LOC = P78;
145 | NET GPIO_B12 LOC = P75;
146 | NET GPIO_B13 LOC = P74;
147 | NET GPIO_B14 LOC = P99;
148 | NET GPIO_B15 LOC = P100;
149 | NET GPIO_B16 LOC = P101;
--------------------------------------------------------------------------------
/Example-Projects/VHDL_Module/DFF/DFF.vhd:
--------------------------------------------------------------------------------
1 | ----------------------------------------------------------------------------------
2 | -- Company:
3 | -- Engineer:
4 | --
5 | -- Create Date: 21:03:48 08/31/2014
6 | -- Design Name:
7 | -- Module Name: DFF - Behavioral
8 | -- Project Name:
9 | -- Target Devices:
10 | -- Tool versions:
11 | -- Description:
12 | --
13 | -- Dependencies:
14 | --
15 | -- Revision:
16 | -- Revision 0.01 - File Created
17 | -- Additional Comments:
18 | --
19 | ----------------------------------------------------------------------------------
20 | library IEEE;
21 | use IEEE.STD_LOGIC_1164.ALL;
22 |
23 | -- Uncomment the following library declaration if using
24 | -- arithmetic functions with Signed or Unsigned values
25 | --use IEEE.NUMERIC_STD.ALL;
26 |
27 | -- Uncomment the following library declaration if instantiating
28 | -- any Xilinx primitives in this code.
29 | --library UNISIM;
30 | --use UNISIM.VComponents.all;
31 |
32 | entity DFF is
33 | PORT (
34 | D: in std_logic_vector(7 downto 0);
35 | Q: out std_logic_vector(7 downto 0);
36 | clk: in std_logic;
37 | reset: in std_logic;
38 | rx_done_tick : in std_logic );
39 | end DFF;
40 |
41 | architecture Behavioral of DFF is
42 |
43 | begin
44 | process (clk,reset,rx_done_tick)
45 | begin
46 | if reset = '0' then
47 | Q <= (others=>'0');
48 | elsif(clk'event and clk='1') then
49 | if rx_done_tick = '1' then
50 | Q <= D;
51 | end if;
52 | end if;
53 | end process;
54 |
55 |
56 | end Behavioral;
57 |
58 |
--------------------------------------------------------------------------------
/Example-Projects/VHDL_Module/HEX_TO_SSEG/HEX TO SSEG7.vhd:
--------------------------------------------------------------------------------
1 | ----------------------------------------------------------------------------------
2 | -- Company:
3 | -- Engineer:
4 | --
5 | -- Create Date: 20:39:25 09/01/2014
6 | -- Design Name:
7 | -- Module Name: sseg - Behavioral
8 | -- Project Name:
9 | -- Target Devices:
10 | -- Tool versions:
11 | -- Description:
12 | --
13 | -- Dependencies:
14 | --
15 | -- Revision:
16 | -- Revision 0.01 - File Created
17 | -- Additional Comments:
18 | --
19 | ----------------------------------------------------------------------------------
20 | library ieee;
21 | use ieee.std_logic_1164.all;
22 | use ieee.numeric_std.all;
23 |
24 | entity sseg is
25 | PORT(
26 | clk,reset : in std_logic;
27 | dp_in : in std_logic_vector(3 downto 0);
28 | hex3,hex2,hex1,hex0 : in std_logic_vector(3 downto 0);
29 | an : out std_logic_vector (3 downto 0);
30 | seg : out std_logic_vector(0 to 7));
31 | end sseg;
32 |
33 | architecture arch of sseg is
34 | constant N:integer :=20;
35 | signal q_reg,q_next : unsigned (N-1 downto 0);
36 | signal hex : std_logic_vector(3 downto 0);
37 | signal sel : std_logic_vector(1 downto 0);
38 | signal dp : std_logic;
39 | begin
40 | process (clk,reset)
41 | begin
42 | if(reset = '0')then
43 | q_reg <= (others => '0');
44 | elsif(clk'event and clk='1')then
45 | q_reg <= q_next;
46 | end if;
47 | end process;
48 |
49 | q_next <= q_reg+1;
50 | sel <= std_logic_vector(q_reg(N-1 downto N-2));
51 |
52 | process (sel,hex0,hex1,hex2,hex3,dp_in)
53 | begin
54 | case sel is
55 | when "00" =>
56 | an <= "1110";
57 | hex <= hex0;
58 | dp <= dp_in(0);
59 | when "01" =>
60 | an <= "1101";
61 | hex <= hex1;
62 | dp <= dp_in(1);
63 | when "10" =>
64 | an <= "1011";
65 | hex <= hex2;
66 | dp <= dp_in(2);
67 | when others =>
68 | an <= "0111";
69 | hex <= hex3;
70 | dp <= dp_in(3);
71 | end case;
72 | end process;
73 |
74 | with hex select
75 |
76 | seg(0 to 6) <=
77 |
78 | "1111110" when "0000", -- 0
79 | "0110000" when "0001", -- 1
80 | "1101101" when "0010", -- 2
81 | "1111001" when "0011", -- 3
82 | "0110011" when "0100", -- 4
83 | "1011011" when "0101", -- 5
84 | "1011111" when "0110", -- 6
85 | "1110000" when "0111", -- 7
86 | "1111111" when "1000", -- 8
87 | "1111011" when "1001", -- 9
88 | "1110111" when "1010", -- a
89 | "0011111" when "1011", -- b
90 | "1001110" when "1100", -- c
91 | "0111101" when "1101", -- d
92 | "1001111" when "1110", -- e
93 | "1000111" when others; -- f
94 | seg(7) <= dp;
95 | end arch;
--------------------------------------------------------------------------------
/Example-Projects/VHDL_Module/I2C/I2C_CLK.vhd:
--------------------------------------------------------------------------------
1 | ----------------------------------------------------------------------------------
2 | -- Company:
3 | -- Engineer:
4 | --
5 | -- Create Date: 14:45:35 09/03/2014
6 | -- Design Name:
7 | -- Module Name: TIMERBR - Behavioral
8 | -- Project Name:
9 | -- Target Devices:
10 | -- Tool versions:
11 | -- Description:
12 | --
13 | -- Dependencies:
14 | --
15 | -- Revision:
16 | -- Revision 0.01 - File Created
17 | -- Additional Comments:
18 | --
19 | ----------------------------------------------------------------------------------
20 | library IEEE;
21 | use IEEE.STD_LOGIC_1164.ALL;
22 | use ieee.numeric_std.all;
23 |
24 | entity I2C_CLK is
25 | generic(
26 | N :integer := 9;
27 | M :integer := 480);
28 | PORT(
29 | clk,reset: in std_logic;
30 | max_tick: out std_logic;
31 | clk_out : out std_logic);
32 |
33 | end I2C_CLK;
34 |
35 | architecture arch of I2C_CLK is
36 | signal r_next : unsigned (N-1 downto 0);
37 | signal r_reg : unsigned (N-1 downto 0);
38 | begin
39 | process (clk,reset)
40 | begin
41 | if(reset= '0')then
42 | r_reg <= (others => '0');
43 | elsif(clk'event and clk='1')then
44 | r_reg <= r_next;
45 | end if;
46 | end process;
47 | r_next <= (others => '0') when r_reg = (M-1) else r_reg+1;
48 | max_tick <= '1' when r_reg = (M-1) else '0';
49 | clk_out <= '0' when r_reg < (M/2) else '1';
50 | end arch;
--------------------------------------------------------------------------------
/Example-Projects/VHDL_Module/I2C/I2C_MASTER.vhd:
--------------------------------------------------------------------------------
1 | ----------------------------------------------------------------------------------
2 | -- Company:
3 | -- Engineer:
4 | --
5 | -- Create Date: 15:44:52 03/24/2015
6 | -- Design Name:
7 | -- Module Name: I2C_MASTER - Behavioral
8 | -- Project Name:
9 | -- Target Devices:
10 | -- Tool versions:
11 | -- Description:
12 | --
13 | -- Dependencies:
14 | --
15 | -- Revision:
16 | -- Revision 0.01 - File Created
17 | -- Additional Comments:
18 | --
19 | ----------------------------------------------------------------------------------
20 | library IEEE;
21 | use IEEE.STD_LOGIC_1164.ALL;
22 | use IEEE.NUMERIC_STD.ALL;
23 |
24 | entity I2C_MASTER is
25 |
26 | port(
27 | clk,reset : in std_logic;
28 | scl : inout std_logic;
29 | sda : inout std_logic;
30 | address_akt : in std_logic_vector(7 downto 0);
31 | address_reg : in std_logic_vector(7 downto 0);
32 | data_reg : in std_logic_vector(7 downto 0);
33 | tik_boad : in std_logic;
34 | max_tik : in std_logic;
35 | start_send : in std_logic;
36 | akt_send : out std_logic;
37 | falt : out std_logic;
38 | busy : out std_logic;
39 | free : out std_logic);
40 |
41 | end I2C_MASTER;
42 |
43 | architecture Behavioral of I2C_MASTER is
44 | type state_type is (idle,start,add_akt,akt_1,add_reg,akt_2,da_reg,akt_3,delay,stop);
45 | signal state_reg,state_next: state_type;
46 | signal dir,sda_in,sda_out : std_logic;
47 | signal sda_out_reg , sda_out_next : std_logic;
48 | signal scl_reg , scl_next : std_logic;
49 | signal dir_reg , dir_next : std_logic;
50 | signal s_reg , s_next : unsigned (1 downto 0);
51 | signal n_reg , n_next : unsigned (2 downto 0);
52 | signal b_reg_1 , b_next_1 : std_logic_vector(7 downto 0);
53 | signal b_reg_2 , b_next_2 : std_logic_vector(7 downto 0);
54 | signal b_reg_3 , b_next_3 : std_logic_vector(7 downto 0);
55 | begin
56 |
57 | bof_sda:entity work.bof
58 | port map(bi => sda ,dir => dir , sig_out => sda_out ,sig_in => sda_in);
59 |
60 | process(clk,reset)
61 | begin
62 | if reset='0' then
63 | state_reg <= idle;
64 | dir_reg <= '1';
65 | scl_reg <= '1';
66 | sda_out_reg <= '1';
67 | s_reg <= (others => '0');
68 | n_reg <= (others => '0');
69 | b_reg_1 <= (others => '0');
70 | b_reg_2 <= (others => '0');
71 | b_reg_3 <= (others => '0');
72 | -------
73 | elsif (clk'event and clk = '1') then
74 | state_reg <= state_next;
75 | dir_reg <= dir_next ;
76 | scl_reg <= scl_next ;
77 | sda_out_reg <= sda_out_next ;
78 | s_reg <= s_next;
79 | n_reg <= n_next;
80 | b_reg_1 <= b_next_1;
81 | b_reg_2 <= b_next_2;
82 | b_reg_3 <= b_next_3;
83 | end if;
84 | end process;
85 |
86 | process (state_reg,dir_reg,scl_reg,sda_out_reg,address_akt,address_reg,data_reg,tik_boad,max_tik,start_send,s_reg,n_reg,b_reg_1,b_reg_2,b_reg_3,sda_in)
87 | begin
88 | state_next <= state_reg;
89 | dir_next <= dir_reg;
90 | scl_next <= scl_reg;
91 | sda_out_next <= sda_out_reg;
92 | akt_send <= '0';
93 | falt <= '0';
94 | busy <= '0';
95 | free <= '1';
96 | s_next <= s_reg;
97 | n_next <= n_reg;
98 | b_next_1 <= b_reg_1;
99 | b_next_2 <= b_reg_2;
100 | b_next_3 <= b_reg_3;
101 | case state_reg is
102 | -------------------------------------------------------------------
103 | when idle =>
104 | dir_next <= '1';
105 | scl_next <= '1';
106 | sda_out_next <= '1';
107 | if start_send = '0' then
108 | state_next <= start;
109 | s_next <= (others => '0');
110 | b_next_1 <= address_akt;
111 | b_next_2 <= address_reg;
112 | b_next_3 <= data_reg ;
113 | end if;
114 | ------------------------------------------------------------------
115 | when start =>
116 |
117 | busy <= '1';
118 | free <= '0';
119 | sda_out_next <= '0';
120 | dir_next <= '1';
121 | scl_next <= '1';
122 | if ( tik_boad = '1' ) then
123 | if ( s_reg = 1 ) then
124 | state_next <= add_akt;
125 | s_next <= (others => '0');
126 | n_next <= (others => '0');
127 | else
128 | s_next <= s_reg + 1;
129 | end if;
130 | end if;
131 | ------------------------------------------------------------------
132 | when add_akt =>
133 | busy <= '1';
134 | free <= '0';
135 | dir_next <= '1';
136 | scl_next <= max_tik ;
137 | sda_out_next <= b_reg_1(7);
138 | if( tik_boad = '1' ) then
139 | s_next <= (others => '0');
140 | b_next_1 <= b_reg_1(6 downto 0) & '1';
141 | if n_reg = 7 then
142 | state_next <= akt_1;
143 | n_next <= (others => '0');
144 | else
145 | n_next <= n_reg + 1;
146 | end if;
147 | end if;
148 | -------------------------------------------------------------------
149 | when akt_1 =>
150 | busy <= '1';
151 | free <= '0';
152 | dir_next <= '0';
153 | scl_next <= max_tik;
154 | if( tik_boad = '1' ) then
155 | if ( sda_in = '0' ) then
156 | state_next <= add_reg;
157 | else
158 | falt <= '1';
159 | state_next <= idle;
160 | end if;
161 | end if;
162 | --------------------------------------------------------------------
163 |
164 | when add_reg =>
165 | busy <= '1';
166 | free <= '0';
167 | dir_next <= '1';
168 | scl_next <= max_tik ;
169 | sda_out_next <= b_reg_2(7);
170 | if( tik_boad = '1' ) then
171 | s_next <= (others => '0');
172 | b_next_2 <=b_reg_2(6 downto 0) & '1';
173 | if n_reg = 7 then
174 | state_next <= akt_2;
175 | n_next <= (others => '0');
176 | else
177 | n_next <= n_reg + 1;
178 | end if;
179 | end if;
180 |
181 | -------------------------------------------------------------------
182 | when akt_2 =>
183 | busy <= '1';
184 | free <= '0';
185 | dir_next <= '0';
186 | scl_next <= max_tik;
187 | if( tik_boad = '1' ) then
188 | if ( sda_in = '0' ) then
189 | state_next <= da_reg;
190 | else
191 | falt <= '1';
192 | state_next <= idle;
193 | end if;
194 | end if;
195 |
196 | ------------------------------------------------------------------
197 | when da_reg =>
198 | busy <= '1';
199 | free <= '0';
200 | dir_next <= '1';
201 | scl_next <= max_tik ;
202 | sda_out_next <= b_reg_3(7);
203 | if( tik_boad = '1' ) then
204 | s_next <= (others => '0');
205 | b_next_3 <= b_reg_3(6 downto 0) & '1';
206 | if n_reg = 7 then
207 | state_next <= akt_3;
208 | n_next <= (others => '0');
209 | else
210 | n_next <= n_reg + 1;
211 | end if;
212 | end if;
213 |
214 | ------------------------------------------------------------------
215 | when akt_3 =>
216 | busy <= '1';
217 | free <= '0';
218 | dir_next <= '0';
219 | scl_next <= max_tik;
220 | if( tik_boad = '1' ) then
221 | if ( sda_in = '0' ) then
222 | state_next <= delay;
223 | else
224 | falt <= '1';
225 | state_next <= idle;
226 | end if;
227 | end if;
228 |
229 | ------------------------------------------------------------------
230 | when delay =>
231 | busy <= '1';
232 | free <= '0';
233 | dir_next <= '1';
234 | scl_next <= '0';
235 | sda_out_next <= '0';
236 | if( tik_boad = '1' ) then
237 | if n_reg = 7 then
238 | scl_next <= '1';
239 | state_next <= stop;
240 | n_next <= (others => '0');
241 | else
242 | n_next <= n_reg + 1;
243 | end if;
244 | end if;
245 |
246 | ------------------------------------------------------------------
247 |
248 | when stop =>
249 | busy <= '1';
250 | free <= '0';
251 | akt_send <= '1';
252 | dir_next <= '1';
253 | scl_next <= '1';
254 | sda_out_next <= '1';
255 | state_next <= idle;
256 | ------------------------------------------------------------------
257 | end case;
258 |
259 | end process;
260 | sda_out <= sda_out_reg ;
261 | scl <= scl_reg ;
262 | dir <= dir_reg ;
263 |
264 | end Behavioral;
265 |
266 |
--------------------------------------------------------------------------------
/Example-Projects/VHDL_Module/I2C/I2C_MASTER_MODULE.vhd:
--------------------------------------------------------------------------------
1 | ----------------------------------------------------------------------------------
2 | -- Company:
3 | -- Engineer:
4 | --
5 | -- Create Date: 12:29:22 03/25/2015
6 | -- Design Name:
7 | -- Module Name: I2C_MASTER_MODULE - Behavioral
8 | -- Project Name:
9 | -- Target Devices:
10 | -- Tool versions:
11 | -- Description:
12 | --
13 | -- Dependencies:
14 | --
15 | -- Revision:
16 | -- Revision 0.01 - File Created
17 | -- Additional Comments:
18 | --
19 | ----------------------------------------------------------------------------------
20 | library IEEE;
21 | use IEEE.STD_LOGIC_1164.ALL;
22 |
23 | -- Uncomment the following library declaration if using
24 | -- arithmetic functions with Signed or Unsigned values
25 | --use IEEE.NUMERIC_STD.ALL;
26 |
27 | -- Uncomment the following library declaration if instantiating
28 | -- any Xilinx primitives in this code.
29 | --library UNISIM;
30 | --use UNISIM.VComponents.all;
31 |
32 | entity I2C_MASTER_MODULE is
33 | port(
34 | clk,reset : in std_logic;
35 | reset_av : out std_logic;
36 | scl : inout std_logic;
37 | sda : inout std_logic;
38 | falt : out std_logic;
39 | busy : out std_logic;
40 | free : out std_logic;
41 | i2c_end : out std_logic);
42 | end I2C_MASTER_MODULE;
43 |
44 | architecture Behavioral of I2C_MASTER_MODULE is
45 |
46 | signal tik_boad : std_logic;
47 | signal max_tick : std_logic;
48 | signal akt_send : std_logic;
49 | signal douta : std_logic_vector(23 downto 0);
50 | signal addra : std_logic_vector(2 downto 0);
51 |
52 | begin
53 |
54 | ROM_MODULE : entity work.ROM_I2C
55 | port map (clka => clk , addra => addra(1 downto 0) , douta => douta);
56 |
57 | I2C_MASTER_CORE : entity work.I2C_MASTER
58 | port map (
59 | clk => clk,reset => reset , max_tik => tik_boad ,
60 | scl => scl ,sda => sda ,address_akt => douta(23 downto 16) ,address_reg => douta(15 downto 8) ,data_reg => douta(7 downto 0) ,tik_boad => max_tick , start_send => addra(2), akt_send => akt_send , falt => falt , busy => busy , free => free);
61 |
62 | I2C_clk_core: entity work.I2C_CLK
63 | port map (
64 | clk => clk,reset => reset ,max_tick => max_tick,clk_out => tik_boad );
65 |
66 | timer_core : entity work.timer
67 | port map(
68 | clk => akt_send,reset => reset ,Q => addra);
69 |
70 | reset_av <= reset;
71 | i2c_end <= addra(2);
72 |
73 | end Behavioral;
74 |
75 |
--------------------------------------------------------------------------------
/Example-Projects/VHDL_Module/I2C/bof.vhd:
--------------------------------------------------------------------------------
1 | ----------------------------------------------------------------------------------
2 | -- Company:
3 | -- Engineer:
4 | --
5 | -- Create Date: 21:29:06 03/24/2015
6 | -- Design Name:
7 | -- Module Name: bof - Behavioral
8 | -- Project Name:
9 | -- Target Devices:
10 | -- Tool versions:
11 | -- Description:
12 | --
13 | -- Dependencies:
14 | --
15 | -- Revision:
16 | -- Revision 0.01 - File Created
17 | -- Additional Comments:
18 | --
19 | ----------------------------------------------------------------------------------
20 | library IEEE;
21 | use IEEE.STD_LOGIC_1164.ALL;
22 | -- Uncomment the following library declaration if using
23 | -- arithmetic functions with Signed or Unsigned values
24 | --use IEEE.NUMERIC_STD.ALL;
25 |
26 | -- Uncomment the following library declaration if instantiating
27 | -- any Xilinx primitives in this code.
28 | --library UNISIM;
29 | --use UNISIM.VComponents.all;
30 |
31 | entity bof is
32 | port(
33 | bi : inout std_logic;
34 | dir : in std_logic;
35 | sig_out : in std_logic;
36 | sig_in : out std_logic);
37 |
38 | end bof;
39 |
40 | architecture Behavioral of bof is
41 | begin
42 | bi <= sig_out when dir = '1' else 'Z';
43 | sig_in <= bi;
44 | end Behavioral;
45 |
46 |
--------------------------------------------------------------------------------
/Example-Projects/VHDL_Module/I2C/timer.vhd:
--------------------------------------------------------------------------------
1 | library ieee;
2 | use ieee.std_logic_1164.all;
3 | use ieee.numeric_std.all;
4 |
5 | entity timer is
6 | generic (N:integer :=3);
7 | PORT(
8 | clk,reset: in std_logic;
9 | Q : out std_logic_vector(N-1 downto 0)
10 | );
11 | end timer;
12 |
13 | architecture arch of timer is
14 | signal r_reg : unsigned (N-1 downto 0);
15 | signal n_reg : unsigned (N-1 downto 0);
16 | begin
17 | process(clk,reset)
18 | begin
19 | if (reset='0')then
20 | r_reg <=(others =>'0');
21 | elsif (clk'event and clk='1')then
22 | r_reg <= n_reg;
23 | end if;
24 | end process;
25 | n_reg <= r_reg+1;
26 | Q <= std_logic_vector(r_reg);
27 | end arch;
--------------------------------------------------------------------------------
/Example-Projects/VHDL_Module/TIMER/TIMER.vhd:
--------------------------------------------------------------------------------
1 | library ieee;
2 | use ieee.std_logic_1164.all;
3 | use ieee.numeric_std.all;
4 |
5 | entity timer is
6 | generic (N:integer :=32);
7 | PORT(
8 | clk,reset: in std_logic;
9 | Q : out std_logic_vector(N-1 downto 0)
10 | );
11 | end timer;
12 |
13 | architecture arch of timer is
14 | signal r_reg : unsigned (N-1 downto 0);
15 | signal n_reg : unsigned (N-1 downto 0);
16 | begin
17 | process(clk,reset)
18 | begin
19 | if (reset='0')then
20 | r_reg <=(others =>'0');
21 | elsif (clk'event and clk='1')then
22 | r_reg <= n_reg;
23 | end if;
24 | end process;
25 | n_reg <= r_reg+1;
26 | Q <= std_logic_vector(r_reg);
27 | end arch;
--------------------------------------------------------------------------------
/Example-Projects/VHDL_Module/UART/UART.vhd:
--------------------------------------------------------------------------------
1 | ----------------------------------------------------------------------------------
2 | -- Creation Date: 21:12:48 05/06/2010
3 | -- Module Name: RS232/UART Interface - Behavioral
4 | -- Used TAB of 4 Spaces
5 | ----------------------------------------------------------------------------------
6 | library IEEE;
7 | use IEEE.STD_LOGIC_1164.ALL;
8 | use IEEE.STD_LOGIC_ARITH.ALL;
9 | use IEEE.STD_LOGIC_UNSIGNED.ALL;
10 |
11 | entity uart is
12 | generic (
13 | CLK_FREQ : integer := 40; -- Main frequency (MHz)
14 | SER_FREQ : integer := 110 -- Baud rate (bps)
15 | );
16 | port (
17 | -- Control
18 | clk : in std_logic; -- Main clock
19 | rst : in std_logic; -- Main reset
20 | -- External Interface
21 | rx : in std_logic; -- RS232 received serial data
22 | tx : out std_logic; -- RS232 transmitted serial data
23 | -- RS232/UART Configuration
24 | par_en : in std_logic; -- Parity bit enable
25 | -- uPC Interface
26 | tx_req : in std_logic; -- Request SEND of data
27 | tx_end : out std_logic; -- Data SENDED
28 | tx_data : in std_logic_vector(7 downto 0); -- Data to transmit
29 | rx_ready : out std_logic; -- Received data ready to uPC read
30 | rx_data : out std_logic_vector(7 downto 0) -- Received data
31 | );
32 | end uart;
33 |
34 | architecture Behavioral of uart is
35 |
36 | -- Constants
37 | constant UART_IDLE : std_logic := '1';
38 | constant UART_START : std_logic := '0';
39 | constant PARITY_EN : std_logic := '1';
40 | constant RST_LVL : std_logic := '0';
41 |
42 | -- Types
43 | type state is (idle,data,parity,stop1,stop2); -- Stop1 and Stop2 are inter frame gap signals
44 |
45 | -- RX Signals
46 | signal rx_fsm : state; -- Control of reception
47 | signal rx_clk_en : std_logic; -- Received clock enable
48 | signal rx_rcv_init : std_logic; -- Start of reception
49 | signal rx_par_bit : std_logic; -- Calculated Parity bit
50 | signal rx_data_deb : std_logic; -- Debounce RX data
51 | signal rx_data_tmp : std_logic_vector(7 downto 0); -- Serial to parallel converter
52 | signal rx_data_cnt : std_logic_vector(2 downto 0); -- Count received bits
53 |
54 | -- TX Signals
55 | signal tx_fsm : state; -- Control of transmission
56 | signal tx_clk_en : std_logic; -- Transmited clock enable
57 | signal tx_par_bit : std_logic; -- Calculated Parity bit
58 | signal tx_data_tmp : std_logic_vector(7 downto 0); -- Parallel to serial converter
59 | signal tx_data_cnt : std_logic_vector(2 downto 0); -- Count transmited bits
60 |
61 | begin
62 |
63 | tx_clk_gen:process(clk)
64 | variable counter : integer range 0 to conv_integer((CLK_FREQ*1_000_000)/SER_FREQ-1);
65 | begin
66 | if clk'event and clk = '1' then
67 | -- Normal Operation
68 | if counter = (CLK_FREQ*1_000_000)/SER_FREQ-1 then
69 | tx_clk_en <= '1';
70 | counter := 0;
71 | else
72 | tx_clk_en <= '0';
73 | counter := counter + 1;
74 | end if;
75 | -- Reset condition
76 | if rst = RST_LVL then
77 | tx_clk_en <= '0';
78 | counter := 0;
79 | end if;
80 | end if;
81 | end process;
82 |
83 | tx_proc:process(clk)
84 | variable data_cnt : std_logic_vector(2 downto 0);
85 | begin
86 | if clk'event and clk = '1' then
87 | if tx_clk_en = '1' then
88 | -- Default values
89 | tx_end <= '0';
90 | tx <= UART_IDLE;
91 | -- FSM description
92 | case tx_fsm is
93 | -- Wait to transfer data
94 | when idle =>
95 | -- Send Init Bit
96 | if tx_req = '1' then
97 | tx <= UART_START;
98 | tx_data_tmp <= tx_data;
99 | tx_fsm <= data;
100 | tx_data_cnt <= (others=>'1');
101 | tx_par_bit <= '0';
102 | end if;
103 | -- Data receive
104 | when data =>
105 | tx <= tx_data_tmp(0);
106 | tx_par_bit <= tx_par_bit xor tx_data_tmp(0);
107 | if tx_data_cnt = 0 then
108 | if par_en = PARITY_EN then
109 | tx_fsm <= parity;
110 | else
111 | tx_fsm <= stop1;
112 | end if;
113 | tx_data_cnt <= (others=>'1');
114 | else
115 | tx_data_tmp <= '0' & tx_data_tmp(7 downto 1);
116 | tx_data_cnt <= tx_data_cnt - 1;
117 | end if;
118 | when parity =>
119 | tx <= tx_par_bit;
120 | tx_fsm <= stop1;
121 | -- End of communication
122 | when stop1 =>
123 | -- Send Stop Bit
124 | tx <= UART_IDLE;
125 | tx_fsm <= stop2;
126 | when stop2 =>
127 | -- Send Stop Bit
128 | tx_end <= '1';
129 | tx <= UART_IDLE;
130 | tx_fsm <= idle;
131 | -- Invalid States
132 | when others => null;
133 | end case;
134 | -- Reset condition
135 | if rst = RST_LVL then
136 | tx_fsm <= idle;
137 | tx_par_bit <= '0';
138 | tx_data_tmp <= (others=>'0');
139 | tx_data_cnt <= (others=>'0');
140 | end if;
141 | end if;
142 | end if;
143 | end process;
144 |
145 | rx_debounceer:process(clk)
146 | variable deb_buf : std_logic_vector(3 downto 0);
147 | begin
148 | if clk'event and clk = '1' then
149 | -- Debounce logic
150 | if deb_buf = "0000" then
151 | rx_data_deb <= '0';
152 | elsif deb_buf = "1111" then
153 | rx_data_deb <= '1';
154 | end if;
155 | -- Data storage to debounce
156 | deb_buf := deb_buf(2 downto 0) & rx;
157 | end if;
158 | end process;
159 |
160 | rx_start_detect:process(clk)
161 | variable rx_data_old : std_logic;
162 | begin
163 | if clk'event and clk = '1' then
164 | -- Falling edge detection
165 | if rx_data_old = '1' and rx_data_deb = '0' then
166 | rx_rcv_init <= '1';
167 | else
168 | rx_rcv_init <= '0';
169 | end if;
170 | -- Default assignments
171 | rx_data_old := rx_data_deb;
172 | -- Reset condition
173 | if rst = RST_LVL then
174 | rx_data_old := '0';
175 | rx_rcv_init <= '0';
176 | end if;
177 | end if;
178 | end process;
179 |
180 |
181 | rx_clk_gen:process(clk)
182 | variable counter : integer range 0 to conv_integer((CLK_FREQ*1_000_000)/SER_FREQ-1);
183 | begin
184 | if clk'event and clk = '1' then
185 | -- Normal Operation
186 | if counter = (CLK_FREQ*1_000_000)/SER_FREQ-1 or rx_rcv_init = '1' then
187 | rx_clk_en <= '1';
188 | counter := 0;
189 | else
190 | rx_clk_en <= '0';
191 | counter := counter + 1;
192 | end if;
193 | -- Reset condition
194 | if rst = RST_LVL then
195 | rx_clk_en <= '0';
196 | counter := 0;
197 | end if;
198 | end if;
199 | end process;
200 |
201 | rx_proc:process(clk)
202 | begin
203 | if clk'event and clk = '1' then
204 | -- Default values
205 | rx_ready <= '0';
206 | -- Enable on UART rate
207 | if rx_clk_en = '1' then
208 | -- FSM description
209 | case rx_fsm is
210 | -- Wait to transfer data
211 | when idle =>
212 | if rx_data_deb = UART_START then
213 | rx_fsm <= data;
214 | end if;
215 | rx_par_bit <= '0';
216 | rx_data_cnt <= (others=>'0');
217 | -- Data receive
218 | when data =>
219 | -- Check data to generate parity
220 | if par_en = PARITY_EN then
221 | rx_par_bit <= rx_par_bit xor rx;
222 | end if;
223 |
224 | if rx_data_cnt = 7 then
225 | -- Data path
226 | rx_data(7) <= rx;
227 | for i in 0 to 6 loop
228 | rx_data(i) <= rx_data_tmp(6-i);
229 | end loop;
230 |
231 | -- With parity verification
232 | if par_en = PARITY_EN then
233 | rx_fsm <= parity;
234 | -- Without parity verification
235 | else
236 | rx_ready <= '1';
237 | rx_fsm <= idle;
238 | end if;
239 | else
240 | rx_data_tmp <= rx_data_tmp(6 downto 0) & rx;
241 | rx_data_cnt <= rx_data_cnt + 1;
242 | end if;
243 | when parity =>
244 | -- Check received parity
245 | rx_fsm <= idle;
246 | if rx_par_bit = rx then
247 | rx_ready <= '1';
248 | end if;
249 | when others => null;
250 | end case;
251 | -- Reset condition
252 | if rst = RST_LVL then
253 | rx_fsm <= idle;
254 | rx_ready <= '0';
255 | rx_data <= (others=>'0');
256 | rx_data_tmp <= (others=>'0');
257 | rx_data_cnt <= (others=>'0');
258 | end if;
259 | end if;
260 | end if;
261 | end process;
262 |
263 | end Behavioral;
264 |
265 |
--------------------------------------------------------------------------------
/LICENSE:
--------------------------------------------------------------------------------
1 | MIT License
2 |
3 | Copyright (c) 2018 Ali Alaei
4 |
5 | Permission is hereby granted, free of charge, to any person obtaining a copy
6 | of this software and associated documentation files (the "Software"), to deal
7 | in the Software without restriction, including without limitation the rights
8 | to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9 | copies of the Software, and to permit persons to whom the Software is
10 | furnished to do so, subject to the following conditions:
11 |
12 | The above copyright notice and this permission notice shall be included in all
13 | copies or substantial portions of the Software.
14 |
15 | THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 | IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 | FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18 | AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 | LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20 | OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21 | SOFTWARE.
22 |
--------------------------------------------------------------------------------
/PCB/HDLab-schematics.pdf:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/PCB/HDLab-schematics.pdf
--------------------------------------------------------------------------------
/PCB/HDLab-v1.PcbDoc:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/PCB/HDLab-v1.PcbDoc
--------------------------------------------------------------------------------
/PCB/HDLab-v1.SchDoc:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/PCB/HDLab-v1.SchDoc
--------------------------------------------------------------------------------
/PCB/HDLabPCB.pdf:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/PCB/HDLabPCB.pdf
--------------------------------------------------------------------------------
/PCB/PCB/PCB-src/HDLab.PcbDoc:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/PCB/PCB/PCB-src/HDLab.PcbDoc
--------------------------------------------------------------------------------
/PCB/PCB/PDF/HDLabPCB.pdf:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/PCB/PCB/PDF/HDLabPCB.pdf
--------------------------------------------------------------------------------
/PCB/Schematic/pdf/HDLab-schematics.pdf:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/PCB/Schematic/pdf/HDLab-schematics.pdf
--------------------------------------------------------------------------------
/PCB/Schematic/schematics-src/HDLab.SchDoc:
--------------------------------------------------------------------------------
https://raw.githubusercontent.com/alialaei1/HDLab-FPGA-Development-Board/8222ca348099905bf8c129008aa4b7ec4e8b8d81/PCB/Schematic/schematics-src/HDLab.SchDoc
--------------------------------------------------------------------------------
/README.md:
--------------------------------------------------------------------------------
1 | # HDLab – FPGA Development Board
2 | HDLab is an FPGA development board that provides a rich set of features and capabilities for experimenting with digital system design using FPGAs. Designed with learners and experimenters in mind, HDLab is an ideal platform for exploring the potential of FPGAs and gaining hands-on experience with digital system design.
3 |
4 | Featuring a powerful FPGA chip, HDLab provides ample resources for implementing complex digital circuits and systems. The board also includes a range of peripherals and interfaces, including LEDs, switches, buttons, UART, VGA, and camera, to enable a broad range of experiments and projects.
5 |
6 | HDLab comes with comprehensive documentation, tutorials, and example projects to help you get started quickly and easily. Whether you are a student, hobbyist, or professional, HDLab is a versatile and powerful tool for learning and experimentation in digital system design.
7 |
8 | ### This project is used in several educational centers...
9 |
10 |
11 |
12 |
13 |
14 | 
15 | 
16 |
17 |
18 | ## Features
19 |
20 | - FPGA:
21 | - Xilinx FPGA Spartan-6 [[XC6SLX9-2TQG144C](https://www.xilinx.com/support/documentation/data_sheets/ds160.pdf)]
22 |
23 | - Memory:
24 | - 512-K x8 SRAM(4 M-bit) [[IS61WV5128BLL](http://www.issi.com/WW/pdf/61-64WV5128Axx-Bxx.pdf)]
25 | - 128M-bit Serial Flash Memory [[W25Q128FVSG](https://www.pjrc.com/teensy/W25Q128FV.pdf)]
26 | - 32M-bit Serial Config Flash [[W25Q32FVS1G](https://www.elinux.org/images/f/f5/Winbond-w25q32.pdf)]
27 |
28 | - Control & I/O:
29 | - 1x Camera Interface / Camera Module [[CMOS-OV7670](https://www.voti.nl/docs/OV7670.pdf)]
30 | - 1x IMU / 9 degrees of freedom IMU [[MPU-9150](https://www.invensense.com/wp-content/uploads/2015/02/MPU-9150-Datasheet.pdf)]
31 | - 1x 4 digit seven segment displays
32 | - 4x General Purpose LEDs
33 | - 4x General Purpose Button (1x Reset Button)
34 | - 1x IR Interface
35 | - 1x Buzzer
36 | - 1x Crystal oscillator 50-Mhz
37 | - 1x SMA Connector for ADC
38 | - 32x GPIO 16)x GPIO for Camera Module)
39 |
40 | - Interface:
41 | - 1 x USB Type-B / USB to Serial Converter [[PL-2303](http://www.prolific.com.tw/UserFiles/files/ds_pl2303HXD_v1_4_4.pdf)]
42 | - 1 x VGA / 16-bit true color of RGB565 mode
43 | - 1 x PS2 / Standard PS2 Interface
44 | - 1 x JTAG 10PIN / JTAG Download Debug Interface
45 | - RS232
46 |
47 | - Convertor:
48 | - 1CH ADC 1M/8bit [[TLC549C](http://www.ti.com/lit/ds/symlink/tlc549.pdf)]
49 |
50 | - Other:
51 | - 1 x ON/OFF switch
52 | - 1 x LED to Show Power Connection
53 | - Operating Voltage 5-9V
54 | - Dimensions : 8cm x 14cm
55 |
56 | ## Applications
57 |
58 | * Learning Digital Electronics
59 | * Educational tool for schools and universities
60 | * Robotics
61 | * Internet of Things (IoT)
62 | * Signal Processing
63 | * Embedded systems
64 | * Image Processing
65 | * Product Prototyping
66 |
67 | ## What other tools do you need?
68 |
69 | 1. A text editor (for example [Notepad++](https://notepad-plus-plus.org/))
70 | 2. Xilinx ISE Software ([Download](https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/design-tools.html))
71 | 3. USB JTAG Programmer for Xilinx FPGA
72 |
73 | ## License
74 | [MIT License](LICENSE)
75 |
76 | Free Hardware and Software!
77 |
78 | ## More Information:
79 |
80 | ### What is FPGA?
81 |
82 | Software is the basis of all applications. Whether for entertainment, gaming, communications, or medicine, many of the products people use today began as a software model or prototype. Based on the performance and programmability constraints of the system, the software engineer is tasked with determining the best implementation platform to get a project to market. To accomplish this task, the software engineer is aided by both programming techniques and a variety of hardware processing platforms. On the programming side, previous decades yielded advances in object-oriented programming for code reuse and parallel computing paradigms for boosting algorithm performance. The advancements in programming languages, frameworks, and tools allowed the software engineer to quickly prototype and test different approaches to solve a particular problem. This need to quickly prototype a solution leads to two interesting questions. The first question of how to analyze and quantify one algorithm against another is extensively discussed in other works. The second question of where to execute the algorithm is addressed in this guide in relation to field programmable gate arrays (FPGAs). Regarding where to run an algorithm, there is an increasing focus on parallelization and concurrency. Although the interest in the parallel and concurrent execution of software programs is not new, the renewed and increased interest is aided by certain trends in processor and application-specific integrated circuit (ASIC) design. In the past, the software engineer faced two choices for getting more performance out of a software algorithm: a custom-integrated circuit or an FPGA. The first and most expensive option is to turn the algorithm over to a hardware engineer for a custom circuit implementation. The cost of this option is based on:
83 |
84 | * Cost to fabricate the circuit
85 | * Time to translate the algorithm into hardware
86 |
87 | Despite advancements in fabrication process node technology that have yielded significant improvements in power consumption, computational throughput, and logic density, the cost to fabricate a custom-integrated circuit or ASIC for an application is still high. At each processing node, the cost of fabrication continues to increase to the point where this approach is only economically viable for applications that ship in the range of millions of units. The second option is to use an FPGA, which addresses the cost issues inherent in ASIC fabrication. FPGAs allow the designer to create a custom circuit implementation of an algorithm using an off-the-shelf component composed of basic programmable logic elements. This platform offers the power consumption savings and performance benefits of smaller fabrication nodes without incurring the cost and complexity of an ASIC development effort. Similar to an ASIC, an algorithm implemented in an FPGA benefits from the inherent parallel nature of a custom circuit. [Reference & Learn More](https://www.xilinx.com/support/documentation/sw_manuals/ug998-vivado-intro-fpga-design-hls.pdf)
88 |
89 |
90 | ### FPGA Programming Model
91 |
92 | The programming model of a hardware platform is one of the driving factors behind itsadoption. Software algorithms are typically captured in C/C++ or some other high-levellanguage, which abstracts the details of the computing platform. These languages allow forquick iteration, incremental improvements, and code portability, which are critical to thesoftware engineer. For the past few decades, the fast execution of algorithms captured inthese languages have fueled the development of processors and software compilers.Initially, improving the runtime of software was based on two central concepts: increasingprocessor clock frequency and using specialized processors. For many years, it was commonpractice to wait a year for the next generation processor as a way to speed up execution. Atevery new higher clock frequency, the software program ran faster. Although this wasacceptable in some cases, for a large set of applications, incremental speedup throughprocessor clock frequency is not enough to deliver a viable product to market.For this type of application, the specialized processor was created. Although there are many kinds of specialized processors, such as the digital signal processor (DSP) and graphics processing unit (GPU), all of these processors are capable of executing an algorithm written in a high-level language, such as C, and have function-specific accelerators to improve the execution of their target software applications. With the recent paradigm shift in the design of standard and specialized processors, both types of processors stopped relying on clock frequency increases for program speedup and added more processing cores per chip. Multicore processors put program parallelization at the forefront of techniques used to boost software performance. The software engineer must now structure algorithms in a way that leads to efficient parallelization for performance. The techniques required in algorithm design use the same base elements of FPGA design. The main difference between an FPGA and a processor is the programming model.Historically, the programming model of an FPGA was centered on register-transfer level (RTL) descriptions instead of C/C++. Although this model of design capture is completely compatible with ASIC design, it is analogous to assembly language programming in software engineering. [Reference & Learn More](https://www.xilinx.com/support/documentation/sw_manuals/ug998-vivado-intro-fpga-design-hls.pdf)
93 |
94 | ### What is VHDL?
95 |
96 | VHDL is a hardware description language. It describes the behavior of an electronic circuit or system, from which the physical circuit or system can then be attained (implemented).VHDL stands for VHSIC Hardware Description Language. VHSIC is itself an abbreviation for Very High Speed Integrated Circuits, an initiative funded by the United States Department of Defense in the 1980s that led to the creation of VHDL. Its first version was VHDL 87, later upgraded to the so-called VHDL 93. VHDL was the original and first hardware description language to be standardized by the Institute of Electrical and Electronics Engineers, through the IEEE 1076 standard. An additional standard, the IEEE 1164, was later added to introduce a multi-valued logic system [1].
97 |
98 | VHDL is intended for circuit synthesis as well as circuit simulation. However, though VHDL is fully simulatable, not all constructs are synthesizable [1].
99 |
100 | A fundamental motivation to use VHDL (or its competitor, Verilog) is that VHDL is a standard, technology/vendor independent language, and is therefore portable and reusable. The two main immediate applications of VHDL are in the field of Programmable Logic Devices (including CPLDs—Complex Programmable Logic Devices and FPGAs—Field Programmable Gate Arrays) and in the field of ASICs (Application Specific Integrated Circuits). Once the VHDL code has been written, it can be used either to implement the circuit in a programmable device (from Altera, Xilinx, Atmel, etc.) or can be submitted to a foundry for fabrication of an ASIC chip. Currently, many complex commercial chips (microcontrollers, for example) are designed using such an approach [1]. [Reference & Learn More]( https://mitpress.mit.edu/books/circuit-design-and-simulation-vhdl-second-edition)
101 |
102 |
103 | ### Resources for learn FPGA and HDL language
104 |
105 | 1. Volnei A. Pedroni, Circuit Design and Simulation with VHDL, MIT Press, 2010 - [link]( https://mitpress.mit.edu/books/circuit-design-and-simulation-vhdl-second-edition)
106 | 2. Pong P. Chu, FPGA PROTOTYPING BY VHDL EXAMPLES, John Wiley & Sons, 2008 - [link]( https://www.wiley.com/en-us/FPGA+Prototyping+by+VHDL+Examples%3A+Xilinx+Spartan+3+Version-p-9781118210604)
107 | 3. Douglas J. Smith, HDL Chip Design, Doone Pubns, 1998 - [link]( https://www.amazon.com/Hdl-Chip-Design-Synthesizing-Simulating/dp/0965193438)
108 |
--------------------------------------------------------------------------------