├── data ├── important.txt ├── img │ ├── BERT-ARCH.png │ └── BERT-on-Silicon.png ├── figs │ └── publication_year.png ├── footer.txt ├── header.txt ├── basic.txt └── papers.csv ├── requirements.txt ├── .vscode └── settings.json ├── LICENSE ├── scripts ├── formatify.py └── generator.py ├── .gitignore └── nb └── papers.ipynb /data/important.txt: -------------------------------------------------------------------------------- 1 | 2 | # Hardware Research 3 | -------------------------------------------------------------------------------- /requirements.txt: -------------------------------------------------------------------------------- 1 | pyyaml 2 | bib2yaml 3 | ipython 4 | numpy 5 | pandas 6 | matplotlib 7 | seaborn 8 | -------------------------------------------------------------------------------- /data/img/BERT-ARCH.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/aliemo/transfomers-silicon-research/HEAD/data/img/BERT-ARCH.png -------------------------------------------------------------------------------- /data/img/BERT-on-Silicon.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/aliemo/transfomers-silicon-research/HEAD/data/img/BERT-on-Silicon.png -------------------------------------------------------------------------------- /data/figs/publication_year.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/aliemo/transfomers-silicon-research/HEAD/data/figs/publication_year.png -------------------------------------------------------------------------------- /data/footer.txt: -------------------------------------------------------------------------------- 1 | ## Analysis 2 | 3 |

4 | 5 |

6 | -------------------------------------------------------------------------------- /.vscode/settings.json: -------------------------------------------------------------------------------- 1 | { 2 | "files.insertFinalNewline": true, 3 | "files.trimFinalNewlines": true, 4 | "files.trimTrailingWhitespace": true 5 | } 6 | -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- 1 | MIT License 2 | 3 | Copyright (c) 2023 Ali Mohammadpour 4 | 5 | Permission is hereby granted, free of charge, to any person obtaining a copy 6 | of this software and associated documentation files (the "Software"), to deal 7 | in the Software without restriction, including without limitation the rights 8 | to use, copy, modify, merge, publish, distribute, sublicense, and/or sell 9 | copies of the Software, and to permit persons to whom the Software is 10 | furnished to do so, subject to the following conditions: 11 | 12 | The above copyright notice and this permission notice shall be included in all 13 | copies or substantial portions of the Software. 14 | 15 | THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 16 | IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 17 | FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 18 | AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 19 | LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, 20 | OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE 21 | SOFTWARE. 22 | -------------------------------------------------------------------------------- /data/header.txt: -------------------------------------------------------------------------------- 1 | # Transformer Models Silicon Research 2 | 3 | > **Research and Materials on Hardware implementation of Transformer Models** 4 | 5 | 11 | 12 | ## How to Contribute 13 | 14 | **You can add new papers via pull requests, Please check `data/papers.yaml` and if your paper is not in list, add entity at the last item and create pull request.** 15 | 16 | ## Transformer and BERT Model 17 | 18 | * BERT is a method of **pre-training language representations**, meaning that we **train a general-purpose *language understanding model*** on a large text corpus (like Wikipedia) and then use that model for downstream NLP tasks. 19 | 20 | * BERT was created and **published in 2018 by Jacob Devlin and his colleagues from Google**. BERT is conceptually simple and empirically powerful. It obtains new state-of-the-art results on eleven natural language processing tasks. 21 | 22 |

23 | 24 |

25 | 26 | * **BERT is a Transformer-based model.** 27 | * The architecture of BERT is similar to the original Transformer model, except that BERT has two separate Transformer models: one for the left-to-right direction (the “encoder”) and one for the right-to-left direction (the “encoder”). 28 | * The output of each model is the hidden state output by the final Transformer layer. The two models are pre-trained jointly on a large corpus of unlabeled text. The pre-training task is a simple and straightforward masked language modeling objective. 29 | * The pre-trained BERT model can then be fine-tuned with just one additional output layer to create state-of-the-art models for a wide range of tasks, such as question answering and language inference, without substantial task-specific architecture modifications. 30 | 31 | --- 32 | -------------------------------------------------------------------------------- /scripts/formatify.py: -------------------------------------------------------------------------------- 1 | import yaml 2 | import argparse 3 | 4 | def read_yaml(inpf): 5 | data = {} 6 | with open(inpf) as f: 7 | content = yaml.safe_load(f) 8 | 9 | 10 | for k, p in content.items(): 11 | data[k]=p 12 | 13 | return data 14 | 15 | def write_yaml(src:dict, outdir): 16 | title = '' 17 | year = '' 18 | doi = '' 19 | url = '' 20 | pdf = '' 21 | m_model = '' 22 | method = '' 23 | platform = '' 24 | publisher = '' 25 | pub = '' 26 | pubtype = '' 27 | pubshort = '' 28 | ignore = '' 29 | silicon = '' 30 | with open(outdir, 'w') as sf: 31 | for inx, (key, value) in enumerate(src.items()): 32 | 33 | title = value['title'] 34 | year = value['year'] 35 | doi = value['doi'] 36 | url = value['url'] 37 | pdf = value['pdf'] 38 | model = value['model'] 39 | method = value['method'] 40 | platform = value['platform'] 41 | publisher = value['publisher'] 42 | pubname = value['pubname'] 43 | pubtype = value['type'] 44 | pubkey = value['pubkey'] 45 | ignore = value['ignore'] 46 | silicon = value['silicon'] 47 | print(inx, key, inx+1 == key) 48 | 49 | sf.write(f'{inx+1}:') 50 | sf.write('\n') 51 | sf.write(f' title: "{title}"') 52 | sf.write('\n') 53 | sf.write(f' year: {year}') 54 | sf.write('\n') 55 | sf.write(f' type: {pubtype}') 56 | sf.write('\n') 57 | sf.write(f' doi: {doi}') 58 | sf.write('\n') 59 | sf.write(f' url: {url}') 60 | sf.write('\n') 61 | sf.write(f' pdf: {pdf}') 62 | sf.write('\n') 63 | sf.write(f' ignore: {ignore}') 64 | sf.write('\n') 65 | sf.write(f' silicon: {silicon}') 66 | sf.write('\n') 67 | sf.write(f' platform: {platform}') 68 | sf.write('\n') 69 | sf.write(f' model: {model}') 70 | sf.write('\n') 71 | sf.write(f' method: {method}') 72 | sf.write('\n') 73 | sf.write(f' publisher: {publisher}') 74 | sf.write('\n') 75 | sf.write(f' pubkey: "{pubshort}"') 76 | sf.write('\n') 77 | sf.write(f' pubname: "{pubname}"') 78 | sf.write('\n') 79 | sf.write(f' reserved: DEADBEEF') 80 | sf.write('\n') 81 | sf.write('\n') 82 | 83 | 84 | def main(): 85 | parser = argparse.ArgumentParser(description='Reformat Yaml File') 86 | parser.add_argument('-i', '--input', type=str, default='papers.yaml', help='input yaml file') 87 | parser.add_argument('-o', '--output', type=str, default='stdout', help='output README file') 88 | args = parser.parse_args() 89 | 90 | inpf = args.input 91 | outf = args.output 92 | 93 | data = read_yaml(inpf) 94 | 95 | 96 | write_yaml(data, outf) 97 | print(len(data)) 98 | 99 | if __name__ == '__main__': 100 | main() 101 | -------------------------------------------------------------------------------- /data/basic.txt: -------------------------------------------------------------------------------- 1 | ### Reference Papers 2 | 3 | **1. Attention Is All You Need** 4 | 5 | ![](https://img.shields.io/badge/arXiv-2017-skyblue?colorstyle=plastic) [![DOI-Link](https://img.shields.io/badge/DOI-https://doi.org/10.48550/arXiv.1706.03762-sandybrown?style=flat-square?&style=plastic)](https://arxiv.org/abs/1706.03762) [![PDF-Download](https://img.shields.io/badge/PDF-Download-darkgreen?logoColor=red&&style=flat-square&logo=adobe)](https://arxiv.org/pdf/1706.03762.pdf) 6 | 7 | [![Code-Link](https://img.shields.io/badge/Code-PyTorch-red?style=plastic)](https://github.com/jadore801120/attention-is-all-you-need-pytorch) [![Code-Link](https://img.shields.io/badge/Code-TensorFlow-orange?style=plastic)](https://github.com/lsdefine/attention-is-all-you-need-keras) 8 | 9 |
10 | 11 | The dominant sequence transduction models are based on complex recurrent or convolutional neural networks that include an encoder and a decoder. The best performing models also connect the encoder and decoder through an attention mechanism. We propose a new simple network architecture, the Transformer, based solely on attention mechanisms, dispensing with recurrence and convolutions entirely. Experiments on two machine translation tasks show these models to be superior in quality while being more parallelizable and requiring significantly less time to train. Our model achieves 28.4 BLEU on the WMT 2014 Englishto-German translation task, improving over the existing best results, including ensembles, by over 2 BLEU. On the WMT 2014 English-to-French translation task, our model establishes a new single-model state-of-the-art BLEU score of 41.8 after training for 3.5 days on eight GPUs, a small fraction of the training costs of the best models from the literature. We show that the Transformer generalizes well to other tasks by applying it successfully to English constituency parsing both with large and limited training data. 12 |
13 | 14 | 15 | 16 | **2. BERT: Pre-training of Deep Bidirectional Transformers for Language Understanding** 17 | 18 | ![](https://img.shields.io/badge/arXiv-2018-skyblue?colorstyle=plastic) [![DOI-Link](https://img.shields.io/badge/DOI-https://doi.org/10.48550/arXiv.1810.04805-sandybrown?style=flat-square?&style=plastic)](https://arxiv.org/abs/1810.04805) [![PDF-Download](https://img.shields.io/badge/PDF-Download-darkgreen?logoColor=red&&style=flat-square&logo=adobe)](https://arxiv.org/pdf/1810.04805.pdf) [![Code-Link](https://img.shields.io/badge/Code-TensorFlow-orange?style=plastic)](https://github.com/google-research/bert) [![Code-Link](https://img.shields.io/badge/Code-PyTorch-red?style=plastic)](https://github.com/codertimo/BERT-pytorch) 19 | 20 |
21 | 22 | We introduce a new language representation model called BERT, which stands for 23 | Bidirectional Encoder Representations from Transformers. Unlike recent language representation models (Peters et al., 2018a; Radford et al., 2018), BERT is designed to pretrain deep bidirectional representations from unlabeled text by jointly conditioning on both left and right context in all layers. As a result, the pre-trained BERT model can be finetuned with just one additional output layer to create state-of-the-art models for a wide range of tasks, such as question answering and language inference, without substantial taskspecific architecture modifications. 24 |
25 | BERT is conceptually simple and empirically powerful. It obtains new state-of-the-art results on eleven natural language processing tasks, including pushing the GLUE score to 80.5% (7.7% point absolute improvement), MultiNLI accuracy to 86.7% (4.6% absolute 26 | improvement), SQuAD v1.1 question answering Test F1 to 93.2 (1.5 point absolute improvement) and SQuAD v2.0 Test F1 to 83.1 (5.1 point absolute improvement). 27 |
28 | 29 | --- 30 | -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- 1 | # Byte-compiled / optimized / DLL files 2 | __pycache__/ 3 | *.py[cod] 4 | *$py.class 5 | 6 | # C extensions 7 | *.so 8 | 9 | # Distribution / packaging 10 | .Python 11 | build/ 12 | develop-eggs/ 13 | dist/ 14 | downloads/ 15 | eggs/ 16 | .eggs/ 17 | lib/ 18 | lib64/ 19 | parts/ 20 | sdist/ 21 | var/ 22 | wheels/ 23 | share/python-wheels/ 24 | *.egg-info/ 25 | .installed.cfg 26 | *.egg 27 | MANIFEST 28 | 29 | # PyInstaller 30 | # Usually these files are written by a python script from a template 31 | # before PyInstaller builds the exe, so as to inject date/other infos into it. 32 | *.manifest 33 | *.spec 34 | 35 | # Installer logs 36 | pip-log.txt 37 | pip-delete-this-directory.txt 38 | 39 | # Unit test / coverage reports 40 | htmlcov/ 41 | .tox/ 42 | .nox/ 43 | .coverage 44 | .coverage.* 45 | .cache 46 | nosetests.xml 47 | coverage.xml 48 | *.cover 49 | *.py,cover 50 | .hypothesis/ 51 | .pytest_cache/ 52 | cover/ 53 | 54 | # Translations 55 | *.mo 56 | *.pot 57 | 58 | # Django stuff: 59 | *.log 60 | local_settings.py 61 | db.sqlite3 62 | db.sqlite3-journal 63 | 64 | # Flask stuff: 65 | instance/ 66 | .webassets-cache 67 | 68 | # Scrapy stuff: 69 | .scrapy 70 | 71 | # Sphinx documentation 72 | docs/_build/ 73 | 74 | # PyBuilder 75 | .pybuilder/ 76 | target/ 77 | 78 | # Jupyter Notebook 79 | .ipynb_checkpoints 80 | 81 | # IPython 82 | profile_default/ 83 | ipython_config.py 84 | 85 | # pyenv 86 | # For a library or package, you might want to ignore these files since the code is 87 | # intended to run in multiple environments; otherwise, check them in: 88 | # .python-version 89 | 90 | # pipenv 91 | # According to pypa/pipenv#598, it is recommended to include Pipfile.lock in version control. 92 | # However, in case of collaboration, if having platform-specific dependencies or dependencies 93 | # having no cross-platform support, pipenv may install dependencies that don't work, or not 94 | # install all needed dependencies. 95 | #Pipfile.lock 96 | 97 | # poetry 98 | # Similar to Pipfile.lock, it is generally recommended to include poetry.lock in version control. 99 | # This is especially recommended for binary packages to ensure reproducibility, and is more 100 | # commonly ignored for libraries. 101 | # https://python-poetry.org/docs/basic-usage/#commit-your-poetrylock-file-to-version-control 102 | #poetry.lock 103 | 104 | # pdm 105 | # Similar to Pipfile.lock, it is generally recommended to include pdm.lock in version control. 106 | #pdm.lock 107 | # pdm stores project-wide configurations in .pdm.toml, but it is recommended to not include it 108 | # in version control. 109 | # https://pdm.fming.dev/#use-with-ide 110 | .pdm.toml 111 | 112 | # PEP 582; used by e.g. github.com/David-OConnor/pyflow and github.com/pdm-project/pdm 113 | __pypackages__/ 114 | 115 | # Celery stuff 116 | celerybeat-schedule 117 | celerybeat.pid 118 | 119 | # SageMath parsed files 120 | *.sage.py 121 | 122 | # Environments 123 | .env 124 | .venv 125 | env/ 126 | venv/ 127 | ENV/ 128 | env.bak/ 129 | venv.bak/ 130 | 131 | # Spyder project settings 132 | .spyderproject 133 | .spyproject 134 | 135 | # Rope project settings 136 | .ropeproject 137 | 138 | # mkdocs documentation 139 | /site 140 | 141 | # mypy 142 | .mypy_cache/ 143 | .dmypy.json 144 | dmypy.json 145 | 146 | # Pyre type checker 147 | .pyre/ 148 | 149 | # pytype static type analyzer 150 | .pytype/ 151 | 152 | # Cython debug symbols 153 | cython_debug/ 154 | 155 | # PyCharm 156 | # JetBrains specific template is maintained in a separate JetBrains.gitignore that can 157 | # be found at https://github.com/github/gitignore/blob/main/Global/JetBrains.gitignore 158 | # and can be added to the global gitignore or merged into this file. For a more nuclear 159 | # option (not recommended) you can uncomment the following to ignore the entire idea folder. 160 | #.idea/ 161 | 162 | .venv 163 | .wip 164 | out/* 165 | -------------------------------------------------------------------------------- /scripts/generator.py: -------------------------------------------------------------------------------- 1 | #!/usr/bin/env python 2 | 3 | import argparse 4 | import yaml 5 | 6 | import pandas as pd 7 | import matplotlib.pyplot as plt 8 | import seaborn as sns 9 | 10 | 11 | def write_csv(data, outf, header=None): 12 | 13 | if header is None: 14 | header = ['year','publisher', 'type','platform','model','method','title', 'doi','url','pdf', 15 | 'ignore','silicon','pubkey','pubname','reserved'] 16 | 17 | d = {} 18 | 19 | for h in header: 20 | d[h] = [x[h] for _,x in data.items()] 21 | 22 | 23 | df = pd.DataFrame.from_dict(d) 24 | 25 | df.to_csv(outf, index=False) 26 | 27 | def create_plot(csvfile, path): 28 | # sns.set_palette('Blues') 29 | df = pd.read_csv(csvfile) 30 | f, ax = plt.subplots(figsize=(4, 6)) 31 | sns.countplot(x=df['year']) 32 | ax.set_title('Publications') 33 | ax.set_xlabel('Year') 34 | ax.set_anchor('S') 35 | plt.xticks(rotation=45) 36 | plt.savefig(path, dpi=600) 37 | plt.close() 38 | 39 | 40 | def write_md(data, outf, signle=True, with_header=True, with_footer=True): 41 | 42 | if with_header: 43 | header = '' 44 | with open('data/header.txt') as f: 45 | lines = f.readlines() 46 | header += ''.join(lines) 47 | 48 | with open('data/basic.txt') as f: 49 | lines = f.readlines() 50 | header += ''.join(lines) 51 | 52 | with open('data/important.txt') as f: 53 | lines = f.readlines() 54 | header += ''.join(lines) 55 | 56 | if signle: 57 | if outf == 'stdout': 58 | print(header) 59 | print() 60 | for k, paper in data.items(): 61 | print(f'**{paper["title"]}**') 62 | print() 63 | 64 | print(f'![](https://img.shields.io/badge/{paper["publisher"]}-{paper["year"]}-skyblue?colorstyle=flat-square)') 65 | print(f'[![DOI-Link](https://img.shields.io/badge/DOI-{paper["doi"]}-sandybrown?style=flat-square)]({paper["url"]})') 66 | 67 | if paper['pdf']: 68 | print(f'[![PDF-Link](https://img.shields.io/badge/PDF-Download-darkgreen?logoColor=red&&style=flat-square&logo=adobe)]({paper["pdf"]})') 69 | 70 | print() 71 | else: 72 | with open(outf, 'w') as f: 73 | f.write(header) 74 | f.write('\n') 75 | for k, paper in data.items(): 76 | f.write(f'**{paper["title"]}**') 77 | f.write('\n') 78 | f.write('\n') 79 | f.write(f'![](https://img.shields.io/badge/{paper["publisher"]}-{paper["year"]}-skyblue?colorstyle=flat-square)') 80 | f.write('\n') 81 | f.write(f'[![DOI-Link](https://img.shields.io/badge/DOI-{paper["doi"]}-sandybrown?style=flat-square)]({paper["url"]})') 82 | f.write('\n') 83 | if paper['pdf']: 84 | f.write(f'[![PDF-Link](https://img.shields.io/badge/PDF-Download-darkgreen?logoColor=red&&style=flat-square&logo=adobe)]({paper["pdf"]})') 85 | f.write('\n') 86 | f.write('\n') 87 | 88 | if with_footer: 89 | footer = '' 90 | with open('data/footer.txt') as ff: 91 | lines = ff.readlines() 92 | footer += ''.join(lines) 93 | f.write(footer) 94 | 95 | 96 | else: 97 | if outf == 'stdout': 98 | print(header) 99 | print() 100 | for year, papers in data.items(): 101 | print(f'### {year}') 102 | print() 103 | 104 | for k, paper in papers.items(): 105 | print(f'**{paper["title"]}**') 106 | print() 107 | 108 | print(f'![](https://img.shields.io/badge/{paper["publisher"]}-{paper["year"]}-skyblue?colorstyle=flat-square)') 109 | print(f'[![DOI-Link](https://img.shields.io/badge/DOI-{paper["doi"]}-sandybrown?style=flat-square)]({paper["url"]})') 110 | if paper['pdf']: 111 | print(f'[![PDF-Link](https://img.shields.io/badge/PDF-Download-darkgreen?logoColor=red&&style=flat-square&logo=adobe)]({paper["pdf"]})') 112 | print() 113 | print() 114 | 115 | print("---") 116 | print() 117 | else: 118 | with open(outf, 'w') as f: 119 | f.write(header) 120 | f.write('\n') 121 | for year, papers in data.items(): 122 | f.write(f'### {year}') 123 | f.write('\n') 124 | 125 | for k, paper in papers.items(): 126 | f.write(f'**{paper["title"]}**') 127 | f.write('\n') 128 | f.write('\n') 129 | f.write(f'![](https://img.shields.io/badge/{paper["publisher"]}-{paper["year"]}-skyblue?colorstyle=flat-square)') 130 | f.write('\n') 131 | f.write(f'[![DOI-Link](https://img.shields.io/badge/DOI-{paper["doi"]}-sandybrown?style=flat-square)]({paper["url"]})') 132 | f.write('\n') 133 | if paper['pdf']: 134 | f.write(f'[![PDF-Link](https://img.shields.io/badge/PDF-Download-darkgreen?logoColor=red&&style=flat-square&logo=adobe)]({paper["pdf"]})') 135 | f.write('\n') 136 | f.write('\n') 137 | 138 | f.write('---') 139 | f.write('\n') 140 | 141 | if with_footer: 142 | footer = '' 143 | with open('data/footer.txt') as ff: 144 | lines = ff.readlines() 145 | footer += ''.join(lines) 146 | f.write(footer) 147 | 148 | 149 | 150 | def read_yaml(inpf, ignore=False, silicon=False): 151 | data = {} 152 | with open(inpf) as f: 153 | content = yaml.safe_load(f) 154 | 155 | 156 | for k, p in content.items(): 157 | if ignore: 158 | if p['ignore'] == False: 159 | if silicon: 160 | if p['silicon']: 161 | data[k]=p 162 | else: 163 | data[k]=p 164 | 165 | else: 166 | if silicon: 167 | if p['silicon']: 168 | data[k]=p 169 | else: 170 | data[k]=p 171 | 172 | return data 173 | 174 | def sort_by_year(xdata, signle=True): 175 | 176 | if signle: 177 | return {k: v for k, v in sorted(xdata.items(), key=lambda x: x[1]['year'])} 178 | 179 | 180 | years = list(set([p['year'] for _, p in xdata.items()])) 181 | 182 | data = {k:{} for k in years} 183 | for year in years: 184 | d = {k: p for k, p in xdata.items() if p['year'] == year} 185 | data[year] = d 186 | 187 | return data 188 | 189 | def main(): 190 | 191 | parser = argparse.ArgumentParser(description='Generate README.md') 192 | parser.add_argument('-i', '--input', type=str, default='papers.yaml', help='input yaml file') 193 | parser.add_argument('-o', '--output', type=str, default='stdout', help='output README file') 194 | parser.add_argument('-c', '--csv', type=str, default='data/papers.csv', help='csv output file') 195 | parser.add_argument('-p', '--plt', type=str, default='__noplt__', help='figure file') 196 | args = parser.parse_args() 197 | 198 | inpf = args.input 199 | outf = args.output 200 | csvf = args.csv 201 | pltf = args.plt 202 | 203 | data = read_yaml(inpf, ignore=True, silicon=True) 204 | csv_data = read_yaml(inpf) 205 | data = read_yaml(inpf) 206 | data_y = sort_by_year(data, signle=False) 207 | if csvf != '__nocsv__': 208 | write_csv(csv_data, csvf) 209 | if csvf != '__noplt__': 210 | create_plot(csvf, pltf) 211 | write_md(data_y, outf, signle=False) 212 | 213 | 214 | if __name__ == '__main__': 215 | main() 216 | -------------------------------------------------------------------------------- /nb/papers.ipynb: -------------------------------------------------------------------------------- 1 | { 2 | "cells": [ 3 | { 4 | "cell_type": "code", 5 | "execution_count": 9, 6 | "id": "207ff212-a0d1-40a3-87ae-3a76410d83f8", 7 | "metadata": { 8 | "tags": [] 9 | }, 10 | "outputs": [], 11 | "source": [ 12 | "import yaml\n", 13 | "import csv\n", 14 | "import numpy as np\n", 15 | "import matplotlib as mpl\n", 16 | "import matplotlib.pyplot as plt\n", 17 | "import seaborn as sns\n", 18 | "import pandas as pd\n", 19 | "from pprint import pprint as px\n", 20 | "%matplotlib inline" 21 | ] 22 | }, 23 | { 24 | "cell_type": "code", 25 | "execution_count": 10, 26 | "id": "39b2d63a-7654-4169-bf46-c9dd035744b4", 27 | "metadata": { 28 | "tags": [] 29 | }, 30 | "outputs": [], 31 | "source": [ 32 | "def ppcmp():\n", 33 | " cmp = [x for x,_ in list(mpl.colormaps.items())]\n", 34 | " for cms in [cmp[x:x+5] for x in range(0, len(cmp), 5)]:\n", 35 | " cm = [f'{x:20}' for x in cms]\n", 36 | " print(''.join(cm))\n", 37 | "\n", 38 | "def dnscmp():\n", 39 | " print(sns)" 40 | ] 41 | }, 42 | { 43 | "cell_type": "code", 44 | "execution_count": 11, 45 | "id": "fb5842f8-6306-41e8-aae5-6f95d7f79976", 46 | "metadata": { 47 | "tags": [] 48 | }, 49 | "outputs": [], 50 | "source": [ 51 | "df = pd.read_csv('../data/papers.csv')" 52 | ] 53 | }, 54 | { 55 | "cell_type": "code", 56 | "execution_count": 12, 57 | "id": "72c95154-1c29-4a53-bab6-e5eb2ae35569", 58 | "metadata": { 59 | "tags": [] 60 | }, 61 | "outputs": [ 62 | { 63 | "data": { 64 | "text/html": [ 65 | "
\n", 66 | "\n", 79 | "\n", 80 | " \n", 81 | " \n", 82 | " \n", 83 | " \n", 84 | " \n", 85 | " \n", 86 | " \n", 87 | " \n", 88 | " \n", 89 | " \n", 90 | " \n", 91 | " \n", 92 | " \n", 93 | " \n", 94 | " \n", 95 | " \n", 96 | " \n", 97 | " \n", 98 | " \n", 99 | " \n", 100 | " \n", 101 | " \n", 102 | " \n", 103 | " \n", 104 | " \n", 105 | " \n", 106 | " \n", 107 | " \n", 108 | " \n", 109 | " \n", 110 | " \n", 111 | " \n", 112 | " \n", 113 | " \n", 114 | " \n", 115 | " \n", 116 | " \n", 117 | " \n", 118 | " \n", 119 | " \n", 120 | " \n", 121 | " \n", 122 | " \n", 123 | " \n", 124 | " \n", 125 | " \n", 126 | " \n", 127 | " \n", 128 | " \n", 129 | " \n", 130 | " \n", 131 | " \n", 132 | " \n", 133 | " \n", 134 | " \n", 135 | " \n", 136 | " \n", 137 | " \n", 138 | " \n", 139 | " \n", 140 | " \n", 141 | " \n", 142 | " \n", 143 | " \n", 144 | " \n", 145 | " \n", 146 | " \n", 147 | " \n", 148 | " \n", 149 | " \n", 150 | " \n", 151 | " \n", 152 | " \n", 153 | " \n", 154 | " \n", 155 | " \n", 156 | " \n", 157 | " \n", 158 | " \n", 159 | " \n", 160 | " \n", 161 | " \n", 162 | " \n", 163 | " \n", 164 | " \n", 165 | " \n", 166 | " \n", 167 | " \n", 168 | " \n", 169 | " \n", 170 | " \n", 171 | " \n", 172 | " \n", 173 | " \n", 174 | " \n", 175 | " \n", 176 | " \n", 177 | " \n", 178 | " \n", 179 | " \n", 180 | " \n", 181 | " \n", 182 | " \n", 183 | " \n", 184 | " \n", 185 | " \n", 186 | " \n", 187 | " \n", 188 | " \n", 189 | " \n", 190 | " \n", 191 | " \n", 192 | "
yearpublishertypeplatformmodelmethodtitledoiurlpdfignoresiliconpubkeypubnamereserved
02022IEEEarticle__no_data__['BERT']__no_data__A 28nm 27.5TOPS/W Approximate-Computing-Based ...https://doi.org/10.1109/ISSCC42614.2022.9731686https://doi.org/10.1109/ISSCC42614.2022.9731686FalseFalseTrueNaNIEEE International Solid- State Circuits Confe...DEADBEEF
12022IEEEarticle__no_data__['BERT']__no_data__A 40nm 5.6TOPS/W 239GOPS/mm2 Self-Attention Pr...https://doi.org/10.1109/ESSCIRC55480.2022.9911343https://doi.org/10.1109/ESSCIRC55480.2022.9911343FalseFalseTrueNaNESSCIRC 2022- IEEE 48th European Solid State C...DEADBEEF
22022IEEEarticle__no_data__['BERT']__no_data__A Dual-Mode Similarity Search Accelerator base...https://doi.org/10.1109/FCCM53951.2022.9786159https://doi.org/10.1109/FCCM53951.2022.9786159FalseFalseTrueNaNInternational Symposium on Field-Programmable ...DEADBEEF
32022ACMarticle__no_data__['BERT']__no_data__A Fast and Flexible FPGA-based Accelerator for...https://doi.org/10.1145/3564606https://doi.org/10.1145/3564606FalseFalseTrueNaNACM Transactions on Architecture and Code Opti...DEADBEEF
42022arXivarticle__no_data__['BERT']__no_data__A Fast Post-Training Pruning Framework for Tra...https://doi.org/10.48550/arXiv.2204.09656https://doi.org/10.48550/arXiv.2204.09656FalsecheckTrueNaNComputer Science > Computation and LanguageDEADBEEF
\n", 193 | "
" 194 | ], 195 | "text/plain": [ 196 | " year publisher type platform model method \\\n", 197 | "0 2022 IEEE article __no_data__ ['BERT'] __no_data__ \n", 198 | "1 2022 IEEE article __no_data__ ['BERT'] __no_data__ \n", 199 | "2 2022 IEEE article __no_data__ ['BERT'] __no_data__ \n", 200 | "3 2022 ACM article __no_data__ ['BERT'] __no_data__ \n", 201 | "4 2022 arXiv article __no_data__ ['BERT'] __no_data__ \n", 202 | "\n", 203 | " title \\\n", 204 | "0 A 28nm 27.5TOPS/W Approximate-Computing-Based ... \n", 205 | "1 A 40nm 5.6TOPS/W 239GOPS/mm2 Self-Attention Pr... \n", 206 | "2 A Dual-Mode Similarity Search Accelerator base... \n", 207 | "3 A Fast and Flexible FPGA-based Accelerator for... \n", 208 | "4 A Fast Post-Training Pruning Framework for Tra... \n", 209 | "\n", 210 | " doi \\\n", 211 | "0 https://doi.org/10.1109/ISSCC42614.2022.9731686 \n", 212 | "1 https://doi.org/10.1109/ESSCIRC55480.2022.9911343 \n", 213 | "2 https://doi.org/10.1109/FCCM53951.2022.9786159 \n", 214 | "3 https://doi.org/10.1145/3564606 \n", 215 | "4 https://doi.org/10.48550/arXiv.2204.09656 \n", 216 | "\n", 217 | " url pdf ignore silicon \\\n", 218 | "0 https://doi.org/10.1109/ISSCC42614.2022.9731686 False False True \n", 219 | "1 https://doi.org/10.1109/ESSCIRC55480.2022.9911343 False False True \n", 220 | "2 https://doi.org/10.1109/FCCM53951.2022.9786159 False False True \n", 221 | "3 https://doi.org/10.1145/3564606 False False True \n", 222 | "4 https://doi.org/10.48550/arXiv.2204.09656 False check True \n", 223 | "\n", 224 | " pubkey pubname reserved \n", 225 | "0 NaN IEEE International Solid- State Circuits Confe... DEADBEEF \n", 226 | "1 NaN ESSCIRC 2022- IEEE 48th European Solid State C... DEADBEEF \n", 227 | "2 NaN International Symposium on Field-Programmable ... DEADBEEF \n", 228 | "3 NaN ACM Transactions on Architecture and Code Opti... DEADBEEF \n", 229 | "4 NaN Computer Science > Computation and Language DEADBEEF " 230 | ] 231 | }, 232 | "execution_count": 12, 233 | "metadata": {}, 234 | "output_type": "execute_result" 235 | } 236 | ], 237 | "source": [ 238 | "df.head()" 239 | ] 240 | }, 241 | { 242 | "cell_type": "code", 243 | "execution_count": 13, 244 | "id": "7c673778-2ca1-49bd-bbc4-931ed034bed1", 245 | "metadata": { 246 | "tags": [] 247 | }, 248 | "outputs": [ 249 | { 250 | "name": "stdout", 251 | "output_type": "stream", 252 | "text": [ 253 | "magma inferno plasma viridis cividis \n", 254 | "twilight twilight_shifted turbo Blues BrBG \n", 255 | "BuGn BuPu CMRmap GnBu Greens \n", 256 | "Greys OrRd Oranges PRGn PiYG \n", 257 | "PuBu PuBuGn PuOr PuRd Purples \n", 258 | "RdBu RdGy RdPu RdYlBu RdYlGn \n", 259 | "Reds Spectral Wistia YlGn YlGnBu \n", 260 | "YlOrBr YlOrRd afmhot autumn binary \n", 261 | "bone brg bwr cool coolwarm \n", 262 | "copper cubehelix flag gist_earth gist_gray \n", 263 | "gist_heat gist_ncar gist_rainbow gist_stern gist_yarg \n", 264 | "gnuplot gnuplot2 gray hot hsv \n", 265 | "jet nipy_spectral ocean pink prism \n", 266 | "rainbow seismic spring summer terrain \n", 267 | "winter Accent Dark2 Paired Pastel1 \n", 268 | "Pastel2 Set1 Set2 Set3 tab10 \n", 269 | "tab20 tab20b tab20c magma_r inferno_r \n", 270 | "plasma_r viridis_r cividis_r twilight_r twilight_shifted_r \n", 271 | "turbo_r Blues_r BrBG_r BuGn_r BuPu_r \n", 272 | "CMRmap_r GnBu_r Greens_r Greys_r OrRd_r \n", 273 | "Oranges_r PRGn_r PiYG_r PuBu_r PuBuGn_r \n", 274 | "PuOr_r PuRd_r Purples_r RdBu_r RdGy_r \n", 275 | "RdPu_r RdYlBu_r RdYlGn_r Reds_r Spectral_r \n", 276 | "Wistia_r YlGn_r YlGnBu_r YlOrBr_r YlOrRd_r \n", 277 | "afmhot_r autumn_r binary_r bone_r brg_r \n", 278 | "bwr_r cool_r coolwarm_r copper_r cubehelix_r \n", 279 | "flag_r gist_earth_r gist_gray_r gist_heat_r gist_ncar_r \n", 280 | "gist_rainbow_r gist_stern_r gist_yarg_r gnuplot_r gnuplot2_r \n", 281 | "gray_r hot_r hsv_r jet_r nipy_spectral_r \n", 282 | "ocean_r pink_r prism_r rainbow_r seismic_r \n", 283 | "spring_r summer_r terrain_r winter_r Accent_r \n", 284 | "Dark2_r Paired_r Pastel1_r Pastel2_r Set1_r \n", 285 | "Set2_r Set3_r tab10_r tab20_r tab20b_r \n", 286 | "tab20c_r rocket rocket_r mako mako_r \n", 287 | "icefire icefire_r vlag vlag_r flare \n", 288 | "flare_r crest crest_r \n" 289 | ] 290 | } 291 | ], 292 | "source": [ 293 | "ppcmp()" 294 | ] 295 | }, 296 | { 297 | "cell_type": "code", 298 | "execution_count": 14, 299 | "id": "c3c2baa1-ef9a-4bb1-abd7-c5b7994f0939", 300 | "metadata": { 301 | "tags": [] 302 | }, 303 | "outputs": [], 304 | "source": [ 305 | "sns.set_palette('Blues')\n", 306 | "# sns.set_theme(style='whitegrid')" 307 | ] 308 | }, 309 | { 310 | "cell_type": "code", 311 | "execution_count": 15, 312 | "id": "96f009c7-671a-45e7-9c41-5b920bf55b17", 313 | "metadata": { 314 | "tags": [] 315 | }, 316 | "outputs": [], 317 | "source": [ 318 | "# mpl.style.available" 319 | ] 320 | }, 321 | { 322 | "cell_type": "code", 323 | "execution_count": null, 324 | "id": "9e66df86-fe97-4987-bb98-736d55d0459e", 325 | "metadata": {}, 326 | "outputs": [], 327 | "source": [] 328 | }, 329 | { 330 | "cell_type": "code", 331 | "execution_count": 16, 332 | "id": "2e4324f6-e63c-4741-8247-11c64ce4ee63", 333 | "metadata": { 334 | "tags": [] 335 | }, 336 | "outputs": [ 337 | { 338 | "data": { 339 | "image/png": "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", 340 | "text/plain": [ 341 | "
" 342 | ] 343 | }, 344 | "metadata": {}, 345 | "output_type": "display_data" 346 | } 347 | ], 348 | "source": [ 349 | "f, ax = plt.subplots(figsize=(4, 6))\n", 350 | "sns.countplot(x=df['year'])\n", 351 | "ax.set_title('Publications')\n", 352 | "ax.set_xlabel('Year')\n", 353 | "ax.set_anchor('S')\n", 354 | "plt.xticks(rotation=45)\n", 355 | "plt.savefig('../data/figs/publication_year.png', dpi=600)\n" 356 | ] 357 | }, 358 | { 359 | "cell_type": "code", 360 | "execution_count": null, 361 | "id": "6d651418-d34f-49fa-a21a-5cdd33f71410", 362 | "metadata": {}, 363 | "outputs": [], 364 | "source": [] 365 | } 366 | ], 367 | "metadata": { 368 | "kernelspec": { 369 | "display_name": "Python 3 (ipykernel)", 370 | "language": "python", 371 | "name": "python3" 372 | }, 373 | "language_info": { 374 | "codemirror_mode": { 375 | "name": "ipython", 376 | "version": 3 377 | }, 378 | "file_extension": ".py", 379 | "mimetype": "text/x-python", 380 | "name": "python", 381 | "nbconvert_exporter": "python", 382 | "pygments_lexer": "ipython3", 383 | "version": "3.11.5" 384 | } 385 | }, 386 | "nbformat": 4, 387 | "nbformat_minor": 5 388 | } 389 | -------------------------------------------------------------------------------- /data/papers.csv: -------------------------------------------------------------------------------- 1 | year,publisher,type,platform,model,method,title,doi,url,pdf,ignore,silicon,pubkey,pubname,reserved 2 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,A 28nm 27.5TOPS/W Approximate-Computing-Based Transformer Processor with Asymptotic Sparsity Speculating and Out-of-Order Computing,https://doi.org/10.1109/ISSCC42614.2022.9731686,https://doi.org/10.1109/ISSCC42614.2022.9731686,False,False,True,,IEEE International Solid- State Circuits Conference (ISSCC),DEADBEEF 3 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,A 40nm 5.6TOPS/W 239GOPS/mm2 Self-Attention Processor with Sign Random Projection-based Approximation,https://doi.org/10.1109/ESSCIRC55480.2022.9911343,https://doi.org/10.1109/ESSCIRC55480.2022.9911343,False,False,True,,ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC),DEADBEEF 4 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,A Dual-Mode Similarity Search Accelerator based on Embedding Compression for Online Cross-Modal Image-Text Retrieval,https://doi.org/10.1109/FCCM53951.2022.9786159,https://doi.org/10.1109/FCCM53951.2022.9786159,False,False,True,,International Symposium on Field-Programmable Custom Computing Machines (FCCM),DEADBEEF 5 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,A Fast and Flexible FPGA-based Accelerator for Natural Language Processing Neural Networks,https://doi.org/10.1145/3564606,https://doi.org/10.1145/3564606,False,False,True,,ACM Transactions on Architecture and Code Optimization,DEADBEEF 6 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,A Fast Post-Training Pruning Framework for Transformers,https://doi.org/10.48550/arXiv.2204.09656,https://doi.org/10.48550/arXiv.2204.09656,False,check,True,,Computer Science > Computation and Language,DEADBEEF 7 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,A Framework for Accelerating Transformer-Based Language Model on ReRAM-Based Architecture,https://doi.org/10.1109/TCAD.2021.3121264,https://doi.org/10.1109/TCAD.2021.3121264,False,False,True,,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,DEADBEEF 8 | 2021,IEEE/ACM,article,__no_data__,['BERT'],__no_data__,A Framework for Area-efficient Multi-task BERT Execution on ReRAM-based Accelerators,https://doi.org/10.1109/ICCAD51958.2021.9643471,https://doi.org/10.1109/ICCAD51958.2021.9643471,False,False,True,,IEEE/ACM International Conference On Computer Aided Design (ICCAD),DEADBEEF 9 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,A Full-Stack Search Technique for Domain Optimized Deep Learning Accelerators,https://doi.org/10.1145/3503222.3507767,https://doi.org/10.1145/3503222.3507767,False,False,True,,Computer Science > Machine Learning,DEADBEEF 10 | 2022,ACM/IEEE,article,__no_data__,['BERT'],__no_data__,A length adaptive algorithm-hardware co-design of transformer on FPGA through sparse attention and dynamic pipelining,https://doi.org/10.1145/3489517.3530585,https://doi.org/10.1145/3489517.3530585,False,False,True,,ACM/IEEE Design Automation Conference,DEADBEEF 11 | 2022,MDPI,article,__no_data__,['BERT'],__no_data__,A Lite Romanian BERT: ALR-BERT,https://doi.org/10.3390/computers11040057,https://doi.org/10.3390/computers11040057,False,check,False,,Computers,DEADBEEF 12 | 2020,IEEE,article,__no_data__,['BERT'],__no_data__,A Low-Cost Reconfigurable Nonlinear Core for Embedded DNN Applications,https://doi.org/10.1109/ICFPT51103.2020.00014,https://doi.org/10.1109/ICFPT51103.2020.00014,False,False,True,,International Conference on Field-Programmable Technology (ICFPT),DEADBEEF 13 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,A Microcontroller is All You Need: Enabling Transformer Execution on Low-Power IoT Endnodes,https://doi.org/10.1109/COINS51742.2021.9524173,https://doi.org/10.1109/COINS51742.2021.9524173,False,False,True,,IEEE International Conference on Omni-Layer Intelligent Systems (COINS),DEADBEEF 14 | 2020,ACM/IEEE,article,__no_data__,['BERT'],__no_data__,A Multi-Neural Network Acceleration Architecture,https://doi.org/10.1109/ISCA45697.2020.00081,https://doi.org/10.1109/ISCA45697.2020.00081,False,check,True,,Annual International Symposium on Computer Architecture (ISCA),DEADBEEF 15 | 2019,IEEE,article,__no_data__,['BERT'],__no_data__,A Power Efficient Neural Network Implementation on Heterogeneous FPGA and GPU Devices,https://doi.org/10.1109/IRI.2019.00040,https://doi.org/10.1109/IRI.2019.00040,False,check,True,,International Conference on Information Reuse and Integration for Data Science (IRI),DEADBEEF 16 | 2020,MIt%20Press,article,__no_data__,['BERT'],__no_data__,A Primer in BERTology: What We Know About How BERT Works,https://doi.org/10.1162/tacl_a_00349,https://doi.org/10.1162/tacl_a_00349,False,check,check,,Transactions of the Association for Computational Linguistics,DEADBEEF 17 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,A Quantitative Survey of Communication Optimizations in Distributed Deep Learning,https://doi.org/10.1109/MNET.011.2000530,https://doi.org/10.1109/MNET.011.2000530,False,check,check,,IEEE Network,DEADBEEF 18 | 2020,IEEE,article,__no_data__,['BERT'],__no_data__,A Reconfigurable DNN Training Accelerator on FPGA,https://doi.org/10.1109/SiPS50750.2020.9195234,https://doi.org/10.1109/SiPS50750.2020.9195234,False,check,check,,IEEE Workshop on Signal Processing Systems (SiPS),DEADBEEF 19 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,A Resource-Saving Energy-Efficient Reconfigurable Hardware Accelerator for BERT-based Deep Neural Network Language Models using FFT Multiplication,https://doi.org/10.1109/ISCAS48785.2022.9937531,https://doi.org/10.1109/ISCAS48785.2022.9937531,False,False,True,,International Symposium on Circuits and Systems (ISCAS),DEADBEEF 20 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,A Self-Attention Network for Deep JSCCM: The Design and FPGA Implementation,https://doi.org/10.1109/GLOBECOM48099.2022.10001518,https://doi.org/10.1109/GLOBECOM48099.2022.10001518,False,False,True,,IEEE Global Communications Conference,DEADBEEF 21 | 2019,arXiv,article,__no_data__,['BERT'],__no_data__,A Simple and Effective Approach to Automatic Post-Editing with Transfer Learning,https://doi.org/10.48550/arXiv.1906.06253,https://doi.org/10.48550/arXiv.1906.06253,False,check,check,,Computer Science > Computation and Language,DEADBEEF 22 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,A Study on Token Pruning for ColBERT,https://doi.org/10.48550/arXiv.2112.06540,https://doi.org/10.48550/arXiv.2112.06540,False,check,check,,Computer Science > Information Retrieval,DEADBEEF 23 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,A White Paper on Neural Network Quantization,https://doi.org/10.48550/arXiv.2106.08295,https://doi.org/10.48550/arXiv.2106.08295,False,check,check,,Computer Science > Machine Learning,DEADBEEF 24 | 2020,IEEE,article,__no_data__,['BERT'],__no_data__,A^3: Accelerating Attention Mechanisms in Neural Networks with Approximation,https://doi.org/10.1109/HPCA47549.2020.00035,https://doi.org/10.1109/HPCA47549.2020.00035,False,False,True,,International Symposium on High Performance Computer Architecture (HPCA),DEADBEEF 25 | 2020,IEEE,article,__no_data__,['BERT'],__no_data__,Emerging Neural Workloads and Their Impact on Hardware,https://doi.org/10.23919/DATE48585.2020.9116435,https://doi.org/10.23919/DATE48585.2020.9116435,False,check,check,,"Design, Automation & Test in Europe Conference & Exhibition (DATE)",DEADBEEF 26 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,Accelerated Device Placement Optimization with Contrastive Learning,https://doi.org/10.1145/3472456.3472523,https://doi.org/10.1145/3472456.3472523,False,False,True,,International Conference on Parallel Processing,DEADBEEF 27 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,Accelerating attention mechanism on fpgas based on efficient reconfigurable systolic array,https://doi.org/10.1145/3549937,https://doi.org/10.1145/3549937,False,False,True,,ACM Transactions on Embedded Computing Systems,DEADBEEF 28 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,Accelerating attention through gradient-based learned runtime pruning,https://doi.org/10.1145/3470496.3527423,https://doi.org/10.1145/3470496.3527423,False,False,True,,International Symposium on Computer Architecture,DEADBEEF 29 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,Accelerating bandwidth-bound deep learning inference with main-memory accelerators,https://doi.org/10.1145/3458817.3476146,https://doi.org/10.1145/3458817.3476146,False,False,True,,"International Conference for High Performance Computing, Networking, Storage and Analysis",DEADBEEF 30 | 2021,Purdue%20University,article,__no_data__,['BERT'],__no_data__,Accelerating Emerging Neural Workloads,https://doi.org/10.25394/pgs.17139038.v1,https://doi.org/10.25394/pgs.17139038.v1,False,False,True,,Open Access Theses and Dissertations,DEADBEEF 31 | 2020,MDPI,article,__no_data__,['BERT'],__no_data__,Accelerating event detection with DGCNN and FPGAS,https://doi.org/10.3390/electronics9101666,https://doi.org/10.3390/electronics9101666,False,False,True,,Electronics,DEADBEEF 32 | 2021,IEEE/ACM,article,__no_data__,['BERT'],__no_data__,Accelerating Framework of Transformer by Hardware Design and Model Compression Co-Optimization,https://doi.org/10.1109/ICCAD51958.2021.9643586,https://doi.org/10.1109/ICCAD51958.2021.9643586,False,False,True,,International Conference On Computer Aided Design (ICCAD),DEADBEEF 33 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Accelerating NLP Tasks on FPGA with Compressed BERT and a Hardware-Oriented Early Exit Method,https://doi.org/10.1109/ISVLSI54635.2022.00092,https://doi.org/10.1109/ISVLSI54635.2022.00092,False,False,True,,IEEE Computer Society Annual Symposium on VLSI (ISVLSI),DEADBEEF 34 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Accelerating Transformer Networks through Recomposing Softmax Layers,https://doi.org/10.1109/IISWC55918.2022.00018,https://doi.org/10.1109/IISWC55918.2022.00018,http://scale.snu.ac.kr/papers/2022-11-Conference-IISWC-Softmax-recomposition.pdf,False,True,,International Symposium on Workload Characterization (IISWC),DEADBEEF 35 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Accelerating Transformer-based Deep Learning Models on FPGAs using Column Balanced Block Pruning,https://doi.org/10.1109/ISQED51717.2021.9424344,https://doi.org/10.1109/ISQED51717.2021.9424344,https://wangshusen.github.io/papers/ISQED2021.pdf,False,True,,International Symposium on Quality Electronic Design (ISQED),DEADBEEF 36 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,Accommodating Transformer onto FPGA: Coupling the Balanced Model Compression and FPGA-Implementation Optimization,https://doi.org/10.1145/3453688.3461739,https://doi.org/10.1145/3453688.3461739,False,False,True,,Proceedings of the 2021 on Great Lakes Symposium on VLSI,DEADBEEF 37 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Achieving the Performance of All-Bank In-DRAM PIM With Standard Memory Interface: Memory-Computation Decoupling,https://doi.org/10.1109/ACCESS.2022.3203051,https://doi.org/10.1109/ACCESS.2022.3203051,https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=9870805,False,True,,IEEE Access,DEADBEEF 38 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Adaptable Butterfly Accelerator for Attention-based NNs via Hardware and Algorithm Co-design,https://doi.org/10.1109/MICRO56248.2022.00050,https://doi.org/10.1109/MICRO56248.2022.00050,https://arxiv.org/pdf/2209.09570.pdf,False,True,,IEEE/ACM International Symposium on Microarchitecture (MICRO),DEADBEEF 39 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,Adapting by pruning: A case study on BERT,https://doi.org/10.48550/arXiv.2105.03343,https://doi.org/10.48550/arXiv.2105.03343,https://arxiv.org/pdf/2105.03343.pdf,check,check,,Computer Science > Machine Learning,DEADBEEF 40 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,"Adaptive Inference through Early-Exit Networks: Design, Challenges and Directions",https://doi.org/10.1145/3469116.3470012,https://doi.org/10.1145/3469116.3470012,False,False,check,,International Workshop on Embedded and Mobile Deep Learning,DEADBEEF 41 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Adaptive Spatio-Temporal Graph Enhanced Vision-Language Representation for Video QA,https://doi.org/10.1109/TIP.2021.3076556,https://doi.org/10.1109/TIP.2021.3076556,False,False,check,,IEEE Transactions on Image Processing,DEADBEEF 42 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,Algorithm-hardware Co-design of Attention Mechanism on FPGA Devices,https://doi.org/10.1145/3477002,https://doi.org/10.1145/3477002,False,False,check,,Transactions on Embedded Computing System,DEADBEEF 43 | 2018,IEEE/ACM,article,__no_data__,['BERT'],__no_data__,Algorithm-Hardware Co-Design of Single Shot Detector for Fast Object Detection on FPGAs,https://doi.org/10.1145/3240765.3240775,https://doi.org/10.1145/3240765.3240775,False,False,check,,International Conference on Computer-Aided Design (ICCAD),DEADBEEF 44 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,AlphaTuning: Quantization-Aware Parameter-Efficient Adaptation of Large-Scale Pre-Trained Language Models,https://doi.org/10.48550/arXiv.2210.03858,https://doi.org/10.48550/arXiv.2210.03858,False,False,check,,Computer Science > Machine Learning,DEADBEEF 45 | 2022,ACL,article,__no_data__,['BERT'],__no_data__,Alternative non-BERT model choices for the textual classification in low-resource languages and environments,http://dx.doi.org/10.18653/v1/2022.deeplo--1.20,http://dx.doi.org/10.18653/v1/2022.deeplo--1.20,False,False,check,,Proceedings of the Third Workshop on Deep Learning for Low-Resource Natural Language Processing,DEADBEEF 46 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,An Algorithm-Hardware Co-Optimized Framework for Accelerating N:M Sparse Transformers,https://doi.org/10.1109/TVLSI.2022.3197282,https://doi.org/10.1109/TVLSI.2022.3197282,False,False,True,,IEEE Transactions on Very Large Scale Integration (VLSI) Systems (,DEADBEEF 47 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,An Automatic and Efficient BERT Pruning for Edge AI Systems,https://doi.org/10.1109/ISQED54688.2022.9806197,https://doi.org/10.1109/ISQED54688.2022.9806197,False,False,check,,International Symposium on Quality Electronic Design (ISQED),DEADBEEF 48 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,An Efficient Hardware Accelerator for Sparse Transformer Neural Networks,https://doi.org/10.1109/ISCAS48785.2022.9937659,https://doi.org/10.1109/ISCAS48785.2022.9937659,False,False,True,,International Symposium on Circuits and Systems (ISCAS),DEADBEEF 49 | 2023,Springer,article,__no_data__,['BERT'],__no_data__,An Efficient Transformer Inference Engine on DSP,https://doi.org/10.1007/978--3--031--22677--9_29,https://doi.org/10.1007/978-3-031-22677-9_29,False,False,True,,International Conference on Algorithms and Architectures for Parallel Processing,DEADBEEF 50 | 2020,TheSAI,article,__no_data__,['BERT'],__no_data__,An Empirical Analysis of BERT Embedding for Automated Essay Scoring,https://doi.org/10.14569/ijacsa.2020.0111027,https://doi.org/10.14569/ijacsa.2020.0111027,False,False,True,,International Journal of Advanced Computer Science and Applications,DEADBEEF 51 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,An Energy-Efficient Transformer Processor Exploiting Dynamic Weak Relevances in Global Attention,https://doi.org/10.1109/JSSC.2022.3213521,https://doi.org/10.1109/JSSC.2022.3213521,False,False,True,,Journal of Solid-State Circuits,DEADBEEF 52 | 2019,IEEE,article,__no_data__,['BERT'],__no_data__,An Evaluation of Transfer Learning for Classifying Sales Engagement Emails at Large Scale,https://doi.org/10.1109/CCGRID.2019.00069,https://doi.org/10.1109/CCGRID.2019.00069,False,False,True,,"IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGRID)",DEADBEEF 53 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,An FPGA-Based Transformer Accelerator Using Output Block Stationary Dataflow for Object Recognition Applications,https://doi.org/10.1109/TCSII.2022.3196055,https://doi.org/10.1109/TCSII.2022.3196055,False,False,True,,Transactions on Circuits and Systems II: Express Briefs,DEADBEEF 54 | 2020,Springer,article,__no_data__,['BERT'],__no_data__,An investigation on different underlying quantization schemes for pre-trained language models,https://doi.org/10.1007/978--3--030--60450--9_29,https://doi.org/10.1007/978-3-030-60450-9_29,False,False,True,,International Conference on Natural Language Processing and Chinese Computing,DEADBEEF 55 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Analog-memory-based 14nm Hardware Accelerator for Dense Deep Neural Networks including Transformers,https://doi.org/10.1109/ISCAS48785.2022.9937292,https://doi.org/10.1109/ISCAS48785.2022.9937292,False,False,True,,International Symposium on Circuits and Systems (ISCAS),DEADBEEF 56 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Answer Fast: Accelerating BERT on the Tensor Streaming Processor,https://doi.org/10.1109/ASAP54787.2022.00022,https://doi.org/10.1109/ASAP54787.2022.00022,False,False,True,,"International Conference on Application-specific Systems, Architectures and Processors (ASAP)",DEADBEEF 57 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,ANT: Exploiting Adaptive Numerical Data Type for Low-bit Deep Neural Network Quantization,https://doi.org/10.1109/MICRO56248.2022.00095,https://doi.org/10.1109/MICRO56248.2022.00095,False,False,True,,IEEE/ACM International Symposium on Microarchitecture (MICRO),DEADBEEF 58 | 2022,Elsevier,article,__no_data__,['BERT'],__no_data__,APT: The master-copy-free training method for quantised neural network on edge devices,https://doi.org/10.1016/j.jpdc.2022.04.005,https://doi.org/10.1016/j.jpdc.2022.04.005,False,False,True,,Journal of Parallel and Distributed Computing,DEADBEEF 59 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Aquabolt-XL: Samsung HBM2-PIM with in-memory processing for ML accelerators and beyond,https://doi.org/10.1109/HCS52781.2021.9567191,https://doi.org/10.1109/HCS52781.2021.9567191,False,False,True,,IEEE Hot Chips 33 Symposium (HCS),DEADBEEF 60 | 2020,IEEE,article,__no_data__,['BERT'],__no_data__,ATT: A Fault-Tolerant ReRAM Accelerator for Attention-based Neural Networks,https://doi.org/10.1109/ICCD50377.2020.00047,https://doi.org/10.1109/ICCD50377.2020.00047,False,False,True,,International Conference on Computer Design (ICCD),DEADBEEF 61 | 2021,PlosOne,article,__no_data__,['BERT'],__no_data__,AUBER: Automated BERT regularization,https://doi.org/10.1371/journal.pone.0253241,https://doi.org/10.1371/journal.pone.0253241,False,False,True,,Plos one,DEADBEEF 62 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Auto-ViT-Acc: An FPGA-Aware Automatic Acceleration Framework for Vision Transformer with Mixed-Scheme Quantization,https://doi.org/10.48550/arXiv.2208.05163,https://doi.org/10.48550/arXiv.2208.05163,False,False,True,,Computer Science > Computer Vision and Pattern Recognition,DEADBEEF 63 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,Automatic Mixed-Precision Quantization Search of BERT,https://doi.org/10.24963/ijcai.2021/472,https://doi.org/10.24963/ijcai.2021/472,False,False,True,,Computer Science > Computation and Language,DEADBEEF 64 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Balance Multi-Head Attention based on Software and Hardware Co-design,https://doi.org/10.1109/CSCloud--EdgeCom54986.2022.00018,https://doi.org/10.1109/CSCloud-EdgeCom54986.2022.00018,False,False,True,,International Conference on Edge Computing and Scalable Cloud (EdgeCom),DEADBEEF 65 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,BEBERT: Efficient and robust binary ensemble BERT,https://doi.org/10.48550/arXiv.2210.15976,https://doi.org/10.48550/arXiv.2210.15976,False,False,True,,Computer Science > Computation and Language,DEADBEEF 66 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,BERMo: What can BERT learn from ELMo?,https://doi.org/10.48550/arXiv.2110.15802,https://doi.org/10.48550/arXiv.2110.15802,False,False,True,,Computer Science > Computation and Language,DEADBEEF 67 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,BERT Model for Classification of Fake News using the Cloud Processing Capacity,https://doi.org/10.1109/R10--HTC53172.2021.9641632,https://doi.org/10.1109/R10-HTC53172.2021.9641632,False,False,True,,IEEE 9th Region 10 Humanitarian Technology Conference (R10-HTC),DEADBEEF 68 | 2022,LUT%20University,article,__no_data__,['BERT'],__no_data__,BERT model optimization methods for inference: a comparative study of five alternative BERT-model implementations,https://urn.fi/URN:NBN:fi--fe2022121270782,https://urn.fi/URN:NBN:fi-fe2022121270782,False,False,True,,"School of Engineering Science, Tuotantotalous",DEADBEEF 69 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,BERT on a Data Diet: Finding Important Examples by Gradient-Based Pruning,https://doi.org/10.48550/arXiv.2211.05610,https://doi.org/10.48550/arXiv.2211.05610,False,False,True,,Computer Science > Computation and Language,DEADBEEF 70 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,Bertinho: Galician BERT representations,https://doi.org/10.48550/arXiv.2103.13799,https://doi.org/10.48550/arXiv.2103.13799,False,False,True,,Computer Science > Computation and Language,DEADBEEF 71 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,BERTPerf: Inference Latency Predictor for BERT on ARM big.LITTLE Multi-Core Processors,https://doi.org/10.1109/SiPS55645.2022.9919203,https://doi.org/10.1109/SiPS55645.2022.9919203,False,False,True,,IEEE Workshop on Signal Processing Systems (SiPS),DEADBEEF 72 | 2021,ACL,article,__no_data__,['BERT'],__no_data__,BERxiT: Early exiting for BERT with better fine-tuning and extension to regression,http://dx.doi.org/10.18653/v1/2021.--eacl--main.8,http://dx.doi.org/10.18653/v1/2021.eacl-main.8,False,False,True,,Association%20for%20Computational%20Linguistics,DEADBEEF 73 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,Beyond preserved accuracy: Evaluating loyalty and robustness of BERT compression,https://doi.org/10.48550/arXiv.2109.03228,https://doi.org/10.48550/arXiv.2109.03228,False,False,True,,Computer Science > Computation and Language,DEADBEEF 74 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,BiBERT: Accurate Fully Binarized BERT,https://doi.org/10.48550/arXiv.2203.06390,https://doi.org/10.48550/arXiv.2203.06390,False,False,True,,Computer Science > Computation and Language,DEADBEEF 75 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Bigger&Faster: Two-stage Neural Architecture Search for Quantized Transformer Models,https://doi.org/10.48550/arXiv.2209.12127,https://doi.org/10.48550/arXiv.2209.12127,False,False,True,,Computer Science > Machine Learning,DEADBEEF 76 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Binary Complex Neural Network Acceleration on FPGA : (Invited Paper),https://doi.org/10.1109/ASAP52443.2021.00021,https://doi.org/10.1109/ASAP52443.2021.00021,False,False,True,,"International Conference on Application-specific Systems, Architectures and Processors (ASAP)",DEADBEEF 77 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,Binarybert: Pushing the limit of bert quantization,https://doi.org/10.48550/arXiv.2012.15701,https://doi.org/10.48550/arXiv.2012.15701,False,False,True,,Computer Science > Computation and Language,DEADBEEF 78 | 2021,Springer,article,__no_data__,['BERT'],__no_data__,Biomedical Named Entity Recognition at Scale,https://doi.org/10.1007/978--3--030--68763--2_48,https://doi.org/10.1007/978-3-030-68763-2_48,False,False,True,,International Conference on Pattern Recognition,DEADBEEF 79 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,BiT: Robustly Binarized Multi-distilled Transformer,https://doi.org/10.48550/arXiv.2205.13016,https://doi.org/10.48550/arXiv.2205.13016,False,False,True,,Computer Science > Machine Learning,DEADBEEF 80 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,Block pruning for faster transformers,https://doi.org/10.48550/arXiv.2109.04838,https://doi.org/10.48550/arXiv.2109.04838,False,False,True,,Computer Science > Machine Learning,DEADBEEF 81 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Boosting Distributed Training Performance of the Unpadded BERT Model,https://doi.org/10.48550/arXiv.2208.08124,https://doi.org/10.48550/arXiv.2208.08124,False,False,True,,"Computer Science > Distributed, Parallel, and Cluster Computing",DEADBEEF 82 | 2020,ACM,article,__no_data__,['BERT'],__no_data__,Capuchin: Tensor-based GPU Memory Management for Deep Learning,https://doi.org/10.1145/3373376.3378505,https://doi.org/10.1145/3373376.3378505,False,False,True,,International Conference on Architectural Support for Programming Languages and Operating Systems,DEADBEEF 83 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,CATBERT: Context-Aware Tiny BERT for Detecting Social Engineering Emails,https://doi.org/10.48550/arXiv.2010.03484,https://doi.org/10.48550/arXiv.2010.03484,False,False,True,,Computer Science > Cryptography and Security,DEADBEEF 84 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,CatBERT: Context-Aware Tiny BERT for Detecting Targeted Social Engineering Emails,https://doi.org/10.48550/arXiv.2010.03484,https://doi.org/10.48550/arXiv.2010.03484,False,False,True,,Computer Science > Cryptography and Security,DEADBEEF 85 | 2023,arXiv,article,__no_data__,['BERT'],__no_data__,CHARM: Composing Heterogeneous Accelerators for Matrix Multiply on Versal ACAP Architecture,https://doi.org/10.48550/arXiv.2301.02359,https://doi.org/10.48550/arXiv.2301.02359,False,False,True,,Computer Science > Hardware Architecture,DEADBEEF 86 | 2020,ACM,article,__no_data__,['BERT'],__no_data__,ColBERT: Efficient and Effective Passage Search via Contextualized Late Interaction over BERT,https://doi.org/10.1145/3397271.3401075,https://doi.org/10.1145/3397271.3401075,False,False,True,,International ACM SIGIR Conference on Research and Development in Information Retrieval,DEADBEEF 87 | 2020,Springer,article,__no_data__,['BERT'],__no_data__,Combining Feature Selection Methods with BERT: An In-depth Experimental Study of Long Text Classification,https://doi.org/10.1007/978--3--030--67537--0_34,https://doi.org/10.1007/978-3-030-67537-0_34,False,False,True,,"International Conference on Collaborative Computing: Networking, Applications and Worksharing",DEADBEEF 88 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Compact Token Representations with Contextual Quantization for Efficient Document Re-ranking,https://doi.org/10.48550/arXiv.2203.15328,https://doi.org/10.48550/arXiv.2203.15328,False,False,True,,Computer Science > Information Retrieval,DEADBEEF 89 | 2020,MDPI,article,__no_data__,['BERT'],__no_data__,Comparison of Deep Learning Models and Various Text Pre-Processing Techniques for the Toxic Comments Classification,https://doi.org/10.3390/app10238631,https://doi.org/10.3390/app10238631,False,False,True,,Natural Language Processing: Emerging Neural Approaches and Applications,DEADBEEF 90 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,Compressing BERT: Studying the Effects of Weight Pruning on Transfer Learning,https://doi.org/10.48550/arXiv.2002.08307,https://doi.org/10.48550/arXiv.2002.08307,False,False,True,,Computer Science > Computation and Language,DEADBEEF 91 | 2021,MIT%20Press,article,__no_data__,['BERT'],__no_data__,Compressing Large-Scale Transformer-Based Models: A Case Study on BERT,https://doi.org/10.1162/tacl_a_00413,https://doi.org/10.1162/tacl_a_00413,False,False,True,,Transactions of the Association for Computational Linguistics,DEADBEEF 92 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Compressing Pre-trained Transformers via Low-Bit NxM Sparsity for Natural Language Understanding,https://doi.org/10.48550/arXiv.2206.15014,https://doi.org/10.48550/arXiv.2206.15014,False,False,True,,Computer Science > Computation and Language,DEADBEEF 93 | 2020,ACM,article,__no_data__,['BERT'],__no_data__,Compression of deep learning models for NLP,https://doi.org/10.1145/3340531.3412171,https://doi.org/10.1145/3340531.3412171,False,True,True,,ACM International Conference on Information & Knowledge Managemen,DEADBEEF 94 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Compression of Generative Pre-trained Language Models via Quantization,https://doi.org/10.48550/arXiv.2203.10705,https://doi.org/10.48550/arXiv.2203.10705,False,False,True,,Computer Science > Computation and Language,DEADBEEF 95 | 2022,MDPI,article,__no_data__,['BERT'],__no_data__,CONNA: Configurable Matrix Multiplication Engine for Neural Network Acceleration,https://doi.org/10.3390/electronics11152373,https://doi.org/10.3390/electronics11152373,False,True,True,,Electronics,DEADBEEF 96 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,CPSAA: Accelerating Sparse Attention using Crossbar-based Processing-In-Memory Architecture,https://doi.org/10.48550/arXiv.2210.06696,https://doi.org/10.48550/arXiv.2210.06696,False,False,True,,Computer Science > Hardware Architecture,DEADBEEF 97 | 2021,Springer,article,__no_data__,['BERT'],__no_data__,DAP-BERT: Differentiable Architecture Pruning of BERT,https://doi.org/10.1007/978--3--030--92185--9_30,https://doi.org/10.1007/978-3-030-92185-9_30,False,False,True,,International Conference on Neural Information Processing,DEADBEEF 98 | 2020,IEEE,article,__no_data__,['BERT'],__no_data__,Deep Learning Acceleration with Neuron-to-Memory Transformation,https://doi.org/10.1109/HPCA47549.2020.00011,https://doi.org/10.1109/HPCA47549.2020.00011,False,False,True,,International Symposium on High Performance Computer Architecture (HPCA),DEADBEEF 99 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,Demystifying BERT: Implications for Accelerator Design,https://doi.org/10.48550/arXiv.2104.08335,https://doi.org/10.48550/arXiv.2104.08335,False,False,True,,Computer Science > Hardware Architecture,DEADBEEF 100 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Demystifying BERT: System Design Implications,https://doi.org/10.1109/IISWC55918.2022.00033,https://doi.org/10.1109/IISWC55918.2022.00033,False,False,check,,International Symposium on Workload Characterization (IISWC),DEADBEEF 101 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,DFX: A Low-latency Multi-FPGA Appliance for Accelerating Transformer-based Text Generation,https://doi.org/10.1109/MICRO56248.2022.00051,https://doi.org/10.1109/MICRO56248.2022.00051,False,False,True,,International Symposium on Microarchitecture (MICRO),DEADBEEF 102 | 2022,Elsevier,article,__no_data__,['BERT'],__no_data__,DiVIT: Algorithm and architecture co-design of differential attention in vision transformer,https://doi.org/10.1016/j.sysarc.2022.102520,https://doi.org/10.1016/j.sysarc.2022.102520,False,False,True,,Journal of Systems Architecture,DEADBEEF 103 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,DOTA: Detect and Omit Weak Attentions for Scalable Transformer Acceleration,https://doi.org/10.1145/3503222.3507738,https://doi.org/10.1145/3503222.3507738,False,False,True,,ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS),DEADBEEF 104 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,DQ-BART: Efficient Sequence-to-Sequence Model via Joint Distillation and Quantization,https://doi.org/10.48550/arXiv.2203.11239,https://doi.org/10.48550/arXiv.2203.11239,False,False,True,,Computer Science > Computation and Language,DEADBEEF 105 | 2023,IEEE,article,__no_data__,['BERT'],__no_data__,DTATrans: Leveraging Dynamic Token-Based Quantization With Accuracy Compensation Mechanism for Efficient Transformer Architecture,https://doi.org/10.1109/TCAD.2022.3181541,https://doi.org/10.1109/TCAD.2022.3181541,False,False,True,,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,DEADBEEF 106 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,DTQAtten: Leveraging Dynamic Token-based Quantization for Efficient Attention Architecture,https://doi.org/10.23919/DATE54114.2022.9774692,https://doi.org/10.23919/DATE54114.2022.9774692,False,False,True,,"Design, Automation & Test in Europe Conference & Exhibition (DATE)",DEADBEEF 107 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Dynamic Precision Analog Computing for Neural Networks,https://doi.org/10.1109/JSTQE.2022.3218019,https://doi.org/10.1109/JSTQE.2022.3218019,False,False,True,,IEEE Journal of Selected Topics in Quantum Electronics,DEADBEEF 108 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,Dynamic-TinyBERT: Boost TinyBERT's Inference Efficiency by Dynamic Sequence Length,https://doi.org/10.48550/arXiv.2111.09645,https://doi.org/10.48550/arXiv.2111.09645,False,False,True,,Computer Science > Computation and Language,DEADBEEF 109 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,EAGLE: Expedited Device Placement with Automatic Grouping for Large Models,https://doi.org/10.1109/IPDPS49936.2021.00068,https://doi.org/10.1109/IPDPS49936.2021.00068,False,False,True,,International Parallel and Distributed Processing Symposium (IPDPS),DEADBEEF 110 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,Earlybert: Efficient bert training via early-bird lottery tickets,https://doi.org/10.48550/arXiv.2101.00063,https://doi.org/10.48550/arXiv.2101.00063,False,True,True,,Computer Science > Computation and Language,DEADBEEF 111 | 2021,ACL,article,__no_data__,['BERT'],__no_data__,EBERT: Efficient BERT Inference with Dynamic Structured Pruning,http://dx.doi.org/10.18653/v1/2021.findings--acl.425,http://dx.doi.org/10.18653/v1/2021.findings-acl.425,False,False,True,,ACL Findings,DEADBEEF 112 | 2021,IEEE/ACM,article,__no_data__,['BERT'],__no_data__,EdgeBERT: Sentence-Level Energy Optimizations for Latency-Aware Multi-Task NLP Inference,https://doi.org/10.1145/3466752.3480095,https://doi.org/10.1145/3466752.3480095,False,False,True,,IEEE/ACM International Symposium on Microarchitecture,DEADBEEF 113 | 2022,MDPI,article,__no_data__,['BERT'],__no_data__,EFA-Trans: An Efficient and Flexible Acceleration Architecture for Transformers,https://doi.org/10.3390/electronics11213550,https://doi.org/10.3390/electronics11213550,False,False,True,,Electronics,DEADBEEF 114 | 2020,MIT,article,__no_data__,['BERT'],__no_data__,Efficient algorithms and hardware for natural language processing,https://hdl.handle.net/1721.1/127440,https://hdl.handle.net/1721.1/127440,False,False,True,,MIT Master's Thesis,DEADBEEF 115 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Efficient Document Retrieval by End-to-End Refining and Quantizing BERT Embedding with Contrastive Product Quantization,https://arxiv.org/abs/2210.17170v1,https://arxiv.org/abs/2210.17170v1,False,True,True,,Computer Science > Information Retrieval,DEADBEEF 116 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,Efficient transformer-based large scale language representations using hardware-friendly block structured pruning,https://doi.org/10.48550/arXiv.2009.08065,https://doi.org/10.48550/arXiv.2009.08065,False,False,True,,Computer Science > Computation and Language,DEADBEEF 117 | 2022,ProQuest,article,__no_data__,['BERT'],__no_data__,Elastic Processing and Hardware Architectures for Machine Learning,3e9f91ca96ba3320587da2bbec561a2b/,https://www.proquest.com/openview/3e9f91ca96ba3320587da2bbec561a2b/,False,False,True,,UC Santa Barbara Ph.D. Dissertation,DEADBEEF 118 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,"ELSA: Hardware-Software co-design for efficient, lightweight self-attention mechanism in neural networks",https://doi.org/10.1109/ISCA52012.2021.00060,https://doi.org/10.1109/ISCA52012.2021.00060,False,False,True,,International Symposium on Computer Architecture (ISCA),DEADBEEF 119 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Empirical Evaluation of Post-Training Quantization Methods for Language Tasks,https://doi.org/10.48550/arXiv.2210.16621,https://doi.org/10.48550/arXiv.2210.16621,False,True,True,,Computer Science > Computation and Language,DEADBEEF 120 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications,https://doi.org/10.48550/arXiv.2212.02687,https://doi.org/10.48550/arXiv.2212.02687,False,False,True,,Computer Science > Computer Vision and Pattern Recognition,DEADBEEF 121 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Enabling Efficient Large-Scale Deep Learning Training with Cache Coherent Disaggregated Memory Systems,https://doi.org/10.1109/HPCA53966.2022.00018,https://doi.org/10.1109/HPCA53966.2022.00018,False,False,True,,International Symposium on High-Performance Computer Architecture (HPCA),DEADBEEF 122 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,Enabling energy-efficient DNN training on hybrid GPU-FPGA accelerators,https://doi.org/10.1145/3447818.3460371,https://doi.org/10.1145/3447818.3460371,False,False,True,,Proceedings of the ACM International Conference on Supercomputing,DEADBEEF 123 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Enabling Energy-Efficient Inference for Self-Attention Mechanisms in Neural Networks,https://doi.org/10.1109/AICAS54282.2022.9869924,https://doi.org/10.1109/AICAS54282.2022.9869924,False,False,True,,International Conference on Artificial Intelligence Circuits and Systems (AICAS),DEADBEEF 124 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,Enabling fast uncertainty estimation: accelerating bayesian transformers via algorithmic and hardware optimizations,https://doi.org/10.1145/3489517.3530451,https://doi.org/10.1145/3489517.3530451,False,False,True,,ACM/IEEE Design Automation Conference (DAC),DEADBEEF 125 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,Enabling Fast Uncertainty Estimation: Exploiting Structured Sparsity in Bayesian Transformers,https://doi.org/10.1145/3489517.3530451,https://spiral.imperial.ac.uk/bitstream/10044/1/96226/2/dac22hf3_final_bayesatt.pdf,False,False,True,,ACM/IEEE Design Automation Conference (DAC),DEADBEEF 126 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Enabling One-Size-Fits-All Compilation Optimization for Inference Across Machine Learning Computers,https://doi.org/10.1109/TC.2021.3128266,https://doi.org/10.1109/TC.2021.3128266,False,check,True,,IEEE Transactions on Computers,DEADBEEF 127 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Energy efficiency boost in the AI-infused POWER10 processor,https://doi.org/10.1109/ISCA52012.2021.00012,https://doi.org/10.1109/ISCA52012.2021.00012,False,False,True,,International Symposium on Computer Architecture (ISCA),DEADBEEF 128 | 2023,MDPI,article,__no_data__,['BERT'],__no_data__,ENEX-FP: A BERT-Based Address Recognition Model,https://doi.org/10.3390/electronics12010209,https://doi.org/10.3390/electronics12010209,False,True,True,,Electronics,DEADBEEF 129 | 2022,Springer,article,__no_data__,['BERT'],__no_data__,Ensemble Model Compression for Fast and Energy-Efficient Ranking on FPGAs,https://doi.org/10.1007/978--3--030--99736--6_18,https://doi.org/10.1007/978-3-030-99736-6_18,False,False,True,,European Conference on Information Retrieval (ECIR),DEADBEEF 130 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Extending the ONNX Runtime Framework for the Processing-in-Memory Execution,https://doi.org/10.1109/ICEIC54506.2022.9748444,https://doi.org/10.1109/ICEIC54506.2022.9748444,False,False,True,,"International Conference on Electronics, Information, and Communication (ICEIC)",DEADBEEF 131 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Extreme Compression for Pre-trained Transformers Made Simple and Efficient,https://doi.org/10.48550/arXiv.2206.01859,https://doi.org/10.48550/arXiv.2206.01859,False,check,True,,Computer Science > Computation and Language,DEADBEEF 132 | 2020,Springer,article,__no_data__,['BERT'],__no_data__,FARM: A flexible accelerator for recurrent and memory augmented neural networks,https://doi.org/10.1007/s11265--020--01555--w,https://doi.org/10.1007/s11265-020-01555-w,False,False,True,,Journal of Signal Processing Systems,DEADBEEF 133 | 2022,None,article,__no_data__,['BERT'],__no_data__,Fast Heterogeneous Task Mapping for Reducing Edge DNN Latency,https://doi.org/10.1109/ASAP54787.2022.00020,https://doi.org/10.1109/ASAP54787.2022.00020,False,False,True,,"International Conference on Application-specific Systems, Architectures and Processors (ASAP)",DEADBEEF 134 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,Fastformers: Highly efficient transformer models for natural language understanding,https://doi.org/10.48550/arXiv.2010.13382,https://doi.org/10.48550/arXiv.2010.13382,False,False,True,,Computer Science > Computation and Language,DEADBEEF 135 | 2022,ACM/SIGDA,article,__no_data__,['BERT'],__no_data__,"FILM-QNN: Efficient FPGA Acceleration of Deep Neural Networks with Intra-Layer, Mixed-Precision Quantization",https://doi.org/10.1145/3490422.3502364,https://doi.org/10.1145/3490422.3502364,False,False,True,,International Symposium on Field-Programmable Gate Arrays,DEADBEEF 136 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Fine-and Coarse-Granularity Hybrid Self-Attention for Efficient BERT,https://doi.org/10.48550/arXiv.2203.09055,https://doi.org/10.48550/arXiv.2203.09055,False,check,True,,Computer Science > Computation and Language,DEADBEEF 137 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Fixed-point Quantization for Vision Transformer,https://doi.org/10.1109/CAC53003.2021.9728246,https://doi.org/10.1109/CAC53003.2021.9728246,False,False,True,,China Automation Congress (CAC),DEADBEEF 138 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,FlexACC: A Programmable Accelerator with Application-Specific ISA for Flexible Deep Neural Network Inference,https://doi.org/10.1109/ASAP52443.2021.00046,https://doi.org/10.1109/ASAP52443.2021.00046,False,False,True,,"International Conference on Application-specific Systems, Architectures and Processors (ASAP)",DEADBEEF 139 | 2022,ACM/IEEE,article,__no_data__,['BERT'],__no_data__,FPGA-aware automatic acceleration framework for vision transformer with mixed-scheme quantization: late breaking results,https://doi.org/10.1145/3489517.3530618,https://doi.org/10.1145/3489517.3530618,False,False,True,,ACM/IEEE Design Automation Conference,DEADBEEF 140 | 2022,IOS%20Press,article,__no_data__,['BERT'],__no_data__,FPGA-based design and implementation of the location attention mechanism in neural networks,https://doi.org/10.3233/JIFS--212273,https://doi.org/10.3233/JIFS-212273,False,False,True,,Journal of Intelligent & Fuzzy Systems,DEADBEEF 141 | 2022,AAAI,article,__no_data__,['BERT'],__no_data__,From dense to sparse: Contrastive pruning for better pre-trained language model compression,https://doi.org/10.1609/aaai.v36i10.21408,https://doi.org/10.1609/aaai.v36i10.21408,False,check,True,,AAAI Technical Track on Speech and Natural Language Processing,DEADBEEF 142 | 2020,ACM/IEEE,article,__no_data__,['BERT'],__no_data__,FTRANS: energy-efficient acceleration of transformers using FPGA,https://doi.org/10.1145/3370748.3406567,https://doi.org/10.1145/3370748.3406567,False,False,True,,ACM/IEEE International Symposium on Low Power Electronics and Design,DEADBEEF 143 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Future Scaling of Memory Hierarchy for Tensor Cores and Eliminating Redundant Shared Memory Traffic Using Inter-Warp Multicastin,https://doi.org/10.1109/TC.2022.3207134,https://doi.org/10.1109/TC.2022.3207134,False,False,True,,IEEE Transactions on Computers,DEADBEEF 144 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Gemmini: Enabling systematic deep-learning architecture evaluation via full-stack integration,https://doi.org/10.1109/DAC18074.2021.9586216,https://doi.org/10.1109/DAC18074.2021.9586216,False,False,True,,ACM/IEEE Design Automation Conference (DAC),DEADBEEF 145 | 2021,IEEE/ACM,article,__no_data__,['BERT'],__no_data__,Gobo: Quantizing attention-based nlp models for low latency and energy efficient inference,https://doi.org/10.1109/MICRO50266.2020.00071,https://doi.org/10.1109/MICRO50266.2020.00071,False,False,True,,IEEE/ACM International Symposium on Microarchitecture (MICRO),DEADBEEF 146 | 2022,Elsevier,article,__no_data__,['BERT'],__no_data__,Greedy-layer pruning: Speeding up transformer models for natural language processing,https://doi.org/10.1016/j.patrec.2022.03.023,https://doi.org/10.1016/j.patrec.2022.03.023,False,False,True,,Pattern Recognition Letters,DEADBEEF 147 | 2022,ACM/IEEE,article,__no_data__,['BERT'],__no_data__,GuardNN: secure accelerator architecture for privacy-preserving deep learning,https://doi.org/10.1145/3489517.3530439,https://doi.org/10.1145/3489517.3530439,False,False,True,,ACM/IEEE Design Automation Conference,DEADBEEF 148 | 2023,IEEE,article,__no_data__,['BERT'],__no_data__,HAMMER: Hardware-friendly Approximate Computing for Self-attention with Mean-redistribution and Linearization,https://doi.org/10.1109/LCA.2022.3233832,https://doi.org/10.1109/LCA.2022.3233832,False,False,True,,IEEE Computer Architecture Letters,DEADBEEF 149 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,Handling heavy-tailed input of transformer inference on GPUs,https://doi.org/10.1145/3524059.3532372,https://doi.org/10.1145/3524059.3532372,False,False,True,,ACM International Conference on Supercomputing (ICS),DEADBEEF 150 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Hardware Acceleration of Fully Quantized BERT for Efficient Natural Language Processing,https://doi.org/10.23919/DATE51398.2021.9474043,https://doi.org/10.23919/DATE51398.2021.9474043,False,False,True,,"Design, Automation & Test in Europe Conference & Exhibition (DATE)",DEADBEEF 151 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Hardware acceleration of sparse and irregular tensor computations of ml models: A survey and insights,https://doi.org/10.1109/JPROC.2021.3098483,https://doi.org/10.1109/JPROC.2021.3098483,False,False,True,,Proceedings of the IEEE,DEADBEEF 152 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Hardware Acceleration of Transformer Networks using FPGAs,https://doi.org/10.1109/PACET56979.2022.9976354,https://doi.org/10.1109/PACET56979.2022.9976354,False,False,True,,Panhellenic Conference on Electronics & Telecommunications (PACET),DEADBEEF 153 | 2020,IEEE,article,__no_data__,['BERT'],__no_data__,Hardware accelerator for multi-head attention and position-wise feed-forward in the transformer,https://doi.org/10.1109/SOCC49529.2020.9524802,https://doi.org/10.1109/SOCC49529.2020.9524802,False,False,True,,International System-on-Chip Conference (SOCC),DEADBEEF 154 | 2022,Springer,article,__no_data__,['BERT'],__no_data__,Hardware and Software Co-design for Soft Switch in ViT Variants Processing Unit,https://doi.org/10.1007/978--3--031--10989--8_55,https://doi.org/10.1007/978-3-031-10989-8_55,False,False,True,,"International Conference on Knowledge Science, Engineering and Management",DEADBEEF 155 | 2022,None,article,__no_data__,['BERT'],__no_data__,Hardware and Software Co-optimization for Windows Attention,https://doi.org/10.1007/978--3--031--10989--8_52,https://doi.org/10.1007/978-3-031-10989-8_52,False,False,True,,"International Conference on Knowledge Science, Engineering and Management",DEADBEEF 156 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,HMC-TRAN: A Tensor-core Inspired Hierarchical Model Compression for Transformer-based DNNs on GPU,https://doi.org/10.1145/3453688.3461740,https://doi.org/10.1145/3453688.3461740,False,False,True,,Great Lakes Symposium on VLSI,DEADBEEF 157 | 2021,None,article,__no_data__,['BERT'],__no_data__,HoloFormer: Deep Compression of Pre-Trained Transforms via Unified Optimization of N: M Sparsity and Integer Quantization,None,None,https://openreview.net/pdf?id=eAEcdRkcMHh,check,check,,None,DEADBEEF 158 | 2021,Springer,article,__no_data__,['BERT'],__no_data__,How Deep Learning Model Architecture and Software Stack Impacts Training Performance in the Cloud,https://doi.org/978--3--030--89385--9,https://doi.org/978-3-030-89385-9,False,check,False,,Engineering Artificially Intelligent Systems,DEADBEEF 159 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,How to Train BERT with an Academic Budget,https://doi.org/10.48550/arXiv.2104.07705,https://doi.org/10.48550/arXiv.2104.07705,https://arxiv.org/pdf/2104.07705.pdf,True,False,,Computer Science > Computation and Language,DEADBEEF 160 | 2021,PMLR,article,__no_data__,['BERT'],__no_data__,I-BERT: Integer-only BERT Quantization,https://doi.org/10.48550/arXiv.2101.01321,https://proceedings.mlr.press/v139/kim21d.html,http://proceedings.mlr.press/v139/kim21d/kim21d.pdf,False,True,,Proceedings of Machine Learning Research,DEADBEEF 161 | 2020,Springer,article,__no_data__,['BERT'],__no_data__,Improving Accuracy and Speeding Up Document Image Classification Through Parallel Systems,https://doi.org/10.1007/978--3--030--50417--5_29,https://doi.org/10.1007/978-3-030-50417-5_29,https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7302855/pdf/978-3-030-50417-5_Chapter_29.pdf,False,True,,International Conference on Computational Science,DEADBEEF 162 | 2022,Springer,article,__no_data__,['BERT'],__no_data__,Improving Oversubscribed GPU Memory Performance in the PyTorch Framework,https://doi.org/10.1007/s10586--022--03805--x,https://doi.org/10.1007/s10586-022-03805-x,False,False,True,,Cluster Computing,DEADBEEF 163 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,Improving post training neural quantization: Layer-wise calibration and integer programming,https://arxiv.org/abs/2006.10518,https://arxiv.org/abs/2006.10518,https://arxiv.org/pdf/2006.10518.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 164 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Improving the efficiency of transformers for resource-constrained devices,https://doi.org/10.1109/DSD53832.2021.00074,https://doi.org/10.1109/DSD53832.2021.00074,https://arxiv.org/pdf/2106.16006.pdf,False,True,,Euromicro Conference on Digital System Design (DSD),DEADBEEF 165 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,Integer Fine-tuning of Transformer-based Models,https://doi.org/10.48550/arXiv.2209.09815,https://doi.org/10.48550/arXiv.2209.09815,https://arxiv.org/pdf/2209.09815.pdf,check,False,,Computer Science > Machine Learning,DEADBEEF 166 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,Integer quantization for deep learning inference: Principles and empirical evaluation,https://doi.org/10.48550/arXiv.2004.09602,https://doi.org/10.48550/arXiv.2004.09602,https://arxiv.org/pdf/2004.09602.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 167 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,KAISA: An adaptive second-order optimizer framework for deep neural networks,https://doi.org/10.1145/3458817.3476152,https://doi.org/10.1145/3458817.3476152,https://arxiv.org/pdf/2107.01739.pdf,False,check,,"International Conference for High Performance Computing, Networking, Storage and Analysis",DEADBEEF 168 | 2021,arXiv,article,__no_data__,['BERT'],__no_data__,KDLSQ-BERT: A Quantized Bert Combining Knowledge Distillation with Learned Step Size Quantization,https://doi.org/10.48550/arXiv.2101.05938,https://doi.org/10.48550/arXiv.2101.05938,https://arxiv.org/pdf/2101.05938.pdf,check,check,,Computer Science > Computation and Language,DEADBEEF 169 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Kunlun: A 14nm High-Performance AI Processor for Diversified Workloads,https://doi.org/10.1109/ISSCC42613.2021.9366056,https://doi.org/10.1109/ISSCC42613.2021.9366056,False,False,True,,IEEE International Solid- State Circuits Conference (ISSCC),DEADBEEF 170 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,Ladabert: Lightweight adaptation of bert through hybrid model compression,https://doi.org/10.48550/arXiv.2004.04124,https://doi.org/10.48550/arXiv.2004.04124,https://arxiv.org/pdf/2004.04124.pdf,check,check,,Computer Science > Computation and Language,DEADBEEF 171 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Layerweaver: Maximizing Resource Utilization of Neural Processing Units via Layer-Wise Scheduling,https://doi.org/10.1109/HPCA51647.2021.00056,https://doi.org/10.1109/HPCA51647.2021.00056,https://taejunham.github.io/data/layerweaver_hpca21.pdf,False,True,,International Symposium on High-Performance Computer Architecture (HPCA),DEADBEEF 172 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,Learned Token Pruning in Contextualized Late Interaction over BERT (ColBERT),https://doi.org/10.1145/3477495.3531835,https://doi.org/10.1145/3477495.3531835,https://web.Arxiv.org/web/20220713100651id_/https://dl.acm.org/doi/pdf/10.1145/3477495.3531835,True,False,,ACM SIGIR Conference on Research and Development in Information Retrieval,DEADBEEF 173 | 2021,AAAI,article,__no_data__,['BERT'],__no_data__,Learning Light-Weight Translation Models from Deep Transformer,https://doi.org/10.1609/aaai.v35i15.17561,https://doi.org/10.1609/aaai.v35i15.17561,https://ojs.aaai.org/index.php/AAAI/article/view/17561/17368,check,False,,AAAI Technical Track on Speech and Natural Language Processing II,DEADBEEF 174 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,Lightweight Composite Re-Ranking for Efficient Keyword Search with BERT,https://doi.org/10.1145/3488560.3498495,https://doi.org/10.1145/3488560.3498495,https://dl.acm.org/doi/pdf/10.1145/3488560.3498495,check,False,,ACM International Conference on Web Search and Data Mining,DEADBEEF 175 | 2022,ACL,article,__no_data__,['BERT'],__no_data__,Lightweight Transformers for Conversational AI,http://dx.doi.org/10.18653/v1/2022.naacl--industry.25,http://dx.doi.org/10.18653/v1/2022.naacl-industry.25,https://aclanthology.org/2022.naacl-industry.25.pdf,check,check,,Conference of the North American Chapter of the Association for Computational Linguistics,DEADBEEF 176 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,LLM.int8(): 8-bit Matrix Multiplication for Transformers at Scale,https://doi.org/10.48550/arXiv.2208.07339,https://doi.org/10.48550/arXiv.2208.07339,https://arxiv.org/pdf/2208.07339,False,True,,Computer Science > Machine Learning,DEADBEEF 177 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,Load What You Need: Smaller Versions of Multilingual BERT,https://doi.org/10.48550/arXiv.2010.05609,https://doi.org/10.48550/arXiv.2010.05609,https://arxiv.org/pdf/2010.05609.pdf,check,check,,Computer Science > Computation and Language,DEADBEEF 178 | 2020,IEEE/ACM,article,__no_data__,['BERT'],__no_data__,Look-Up Table based Energy Efficient Processing in Cache Support for Neural Network Acceleration,https://doi.org/10.1109/MICRO50266.2020.00020,https://doi.org/10.1109/MICRO50266.2020.00020,https://www.microarch.org/micro53/papers/738300a088.pdf,False,True,,IEEE/ACM International Symposium on Microarchitecture (MICRO),DEADBEEF 179 | 2022,Springer,article,__no_data__,['BERT'],__no_data__,Low-Bit Quantization of Transformer for Audio Speech Recognition,https://doi.org/10.1007/978--3--031--19032--2_12,https://doi.org/10.1007/978-3-031-19032-2_12,False,check,check,,None,DEADBEEF 180 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Low-Precision Quantization Techniques for Hardware-Implementation-Friendly BERT Models,https://doi.org/10.1109/ISQED54688.2022.9806238,https://doi.org/10.1109/ISQED54688.2022.9806238,False,False,True,,International Symposium on Quality Electronic Design (ISQED),DEADBEEF 181 | 2021,Springer,article,__no_data__,['BERT'],__no_data__,M2M: Learning to Enhance Low-Light Image from Model to Mobile FPGA,https://doi.org/10.1007/978--3--030--89029--2_22,https://doi.org/10.1007/978-3-030-89029-2_22,False,False,True,,Computer Graphics International Conference,DEADBEEF 182 | 2019,IEEE,article,__no_data__,['BERT'],__no_data__,MAGNet: A Modular Accelerator Generator for Neural Networks,https://doi.org/10.1109/ICCAD45719.2019.8942127,https://doi.org/10.1109/ICCAD45719.2019.8942127,https://people.eecs.berkeley.edu/~ysshao/assets/papers/magnet2019-iccad.pdf,check,check,,IEEE/ACM International Conference on Computer-Aided Design (ICCAD),DEADBEEF 183 | 2020,ACM,article,__no_data__,['BERT'],__no_data__,MiniLM: Deep Self-Attention Distillation for Task-Agnostic Compression of Pre-Trained Transformers,https://dl.acm.org/doi/abs/10.5555/3495724.3496209,https://dl.acm.org/doi/abs/10.5555/3495724.3496209,https://dl.acm.org/doi/pdf/10.5555/3495724.3496209,check,check,,International Conference on Neural Information Processing Systems,DEADBEEF 184 | 2022,arXiv,article,__no_data__,['BERT'],__no_data__,MKQ-BERT: Quantized BERT with 4-bits Weights and Activations,https://doi.org/10.48550/arXiv.2203.13483,https://doi.org/10.48550/arXiv.2203.13483,https://arxiv.org/pdf/2203.13483.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 185 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Mokey: enabling narrow fixed-point inference for out-of-the-box floating-point transformer models,https://doi.org/10.1145/3470496.3527438,https://doi.org/10.1145/3470496.3527438,https://arxiv.org/pdf/2203.12758.pdf,False,True,,International Symposium on Computer Architecture,DEADBEEF 186 | 2020,NeurIPS,article,__no_data__,['BERT'],__no_data__,Movement Pruning: Adaptive Sparsity by Fine-Tuning,https://doi.org/10.48550/arXiv.2005.07683,https://doi.org/10.48550/arXiv.2005.07683,https://proceedings.neurips.cc/paper/2020/file/eae15aabaa768ae4a5993a8a4f4fa6e4-Paper.pdf,check,False,,Advances in Neural Information Processing Systems,DEADBEEF 187 | 2022,IEEE/CVF,article,__no_data__,['BERT'],__no_data__,Mr. BiQ: Post-Training Non-Uniform Quantization Based on Minimizing the Reconstruction Error,https://doi.org/10.1109/CVPR52688.2022.01201,https://doi.org/10.1109/CVPR52688.2022.01201,https://openaccess.thecvf.com/content/CVPR2022/papers/Jeon_Mr.BiQ_Post-Training_Non-Uniform_Quantization_Based_on_Minimizing_the_Reconstruction_Error_CVPR_2022_paper.pdf,False,check,CVPR,IEEE/CVF Conference on Computer Vision and Pattern Recognition,DEADBEEF 188 | 2019,IEEE,article,__no_data__,['BERT'],__no_data__,mRNA: Enabling Efficient Mapping Space Exploration for a Reconfiguration Neural Accelerator,https://doi.org/10.1109/ISPASS.2019.00040,https://doi.org/10.1109/ISPASS.2019.00040,https://bpb-us-w2.wpmucdn.com/sites.gatech.edu/dist/c/332/files/2019/02/mrna_ispass2019.pdf,check,True,,IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS),DEADBEEF 189 | 2020,arXiv,article,__no_data__,['BERT'],__no_data__,"MSP: an FPGA-specific mixed-scheme, multi-precision deep neural network quantization framework",https://doi.org/10.48550/arXiv.2009.07460,https://doi.org/10.48550/arXiv.2009.07460,https://arxiv.org/pdf/2009.07460.pdf,check,True,,Computer Science > Machine Learning,DEADBEEF 190 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,NAS-BERT: Task-Agnostic and Adaptive-Size BERT Compression with Neural Architecture Search,https://doi.org/10.1145/3447548.3467262,https://doi.org/10.1145/3447548.3467262,https://arxiv.org/pdf/2105.14444.pdf,check,check,,ACM SIGKDD Conference on Knowledge Discovery & Data Mining,DEADBEEF 191 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,Near-Optimal Sparse Allreduce for Distributed Deep Learning,https://doi.org/10.1145/3503221.3508399,https://doi.org/10.1145/3503221.3508399,https://arxiv.org/pdf/2201.07598.pdf,False,True,,ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming,DEADBEEF 192 | 2022,MDPI,article,__no_data__,['BERT'],__no_data__,Nebula: A Scalable and Flexible Accelerator for DNN Multi-Branch Blocks on Embedded Systems,https://doi.org/10.3390/electronics11040505,https://doi.org/10.3390/electronics11040505,https://www.mdpi.com/2079-9292/11/4/505/pdf,True,True,,Electronics,DEADBEEF 193 | 2022,Wiley,article,__no_data__,['BERT'],__no_data__,NEEBS: Nonexpert large-scale environment building system for deep neural network,https://doi.org/10.1002/cpe.7499,https://doi.org/10.1002/cpe.7499,False,check,False,,Concurrency and Computation Practice and Experience,DEADBEEF 194 | 2021,CARRV,article,__no_data__,['BERT'],__no_data__,NeuralScale: A RISC-V Based Neural Processor Boosting AI Inference in Clouds,https://carrv.github.io/2021/,https://carrv.github.io/2021/,https://carrv.github.io/2021/papers/CARRV2021_paper_67_Zhan.pdf,False,True,,Computer Architecture Research with RISC-V,DEADBEEF 195 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,"NLP-Fast: A Fast, Scalable, and Flexible System to Accelerate Large-Scale Heterogeneous NLP Models",https://doi.org/10.1109/PACT52795.2021.00013,https://doi.org/10.1109/PACT52795.2021.00013,False,False,True,,International Conference on Parallel Architectures and Compilation Techniques (PACT),DEADBEEF 196 | 2021,ACM/SIGDA,article,__no_data__,['BERT'],__no_data__,NPE: An FPGA-based Overlay Processor for Natural Language Processing,https://doi.org/10.1145/3431920.3439477,https://doi.org/10.1145/3431920.3439477,https://arxiv.org/pdf/2104.06535.pdf,False,True,,ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,DEADBEEF 197 | 2022,NeurIPS,article,__no_data__,['BERT'],__no_data__,Optimal Brain Compression: A framework for accurate post-training quantization and pruning,https://doi.org/10.48550/arXiv.2208.11580,https://doi.org/10.48550/arXiv.2208.11580,https://arxiv.org/pdf/2208.11580.pdf,False,False,,Conference on Neural Information Processing Systems,DEADBEEF 198 | 2022,Springer,article,__no_data__,['BERT'],__no_data__,PipeBERT: High-throughput BERT Inference for ARM Big.LITTLE Multi-core Processors,https://doi.org/10.1007/s11265--022--01814--y,https://doi.org/10.1007/s11265-022-01814-y,False,False,True,,Journal of Signal Processing Systems,DEADBEEF 199 | 2020,Arxiv,article,__no_data__,['BERT'],__no_data__,Poor Man's BERT: Smaller and Faster Transformer Models,https://doi.org/10.48550/arXiv.2004.03844,https://doi.org/10.48550/arXiv.2004.03844,https://arxiv.org/pdf/2004.03844v1,False,False,,Computer Science > Computation and Language,DEADBEEF 200 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Post-Training Quantization for Longformer with Chunkwise Quantization Granularity and Optimized Percentile,https://doi.org/10.1109/ICCCS55155.2022.9846198,https://doi.org/10.1109/ICCCS55155.2022.9846198,False,False,True,,International Conference on Computer and Communication Systems (ICCCS),DEADBEEF 201 | 2020,PMLR,article,__no_data__,['BERT'],__no_data__,PoWER-BERT: Accelerating BERT Inference via Progressive Word-vector Elimination,https://proceedings.mlr.press/v119/goyal20a.html,https://proceedings.mlr.press/v119/goyal20a.html,http://proceedings.mlr.press/v119/goyal20a/goyal20a.pdf,False,False,,Proceedings of Machine Learning Research,DEADBEEF 202 | 2019,ACM,article,__no_data__,['BERT'],__no_data__,Pre-trained bert-gru model for relation extraction,https://doi.org/10.1145/3373509.3373533,https://doi.org/10.1145/3373509.3373533,False,False,False,,International Conference on Computing and Pattern Recognition,DEADBEEF 203 | 2022,Springer,article,__no_data__,['BERT'],__no_data__,Pre-trained Language Model with Feature Reduction and No Fine-Tuning,https://doi.org/10.1007/978--981--19--3923--5_59,https://doi.org/10.1007/978-981-19-3923-5_59,False,False,False,,"Control, Instrumentation and Mechatronics: Theory and Practice",DEADBEEF 204 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,Predicting Efficiency/Effectiveness Trade-offs for Dense vs. Sparse Retrieval Strategy Selection,https://doi.org/10.1145/3459637.3482159,https://doi.org/10.1145/3459637.3482159,False,False,False,,ACM International Conference on Information & Knowledge Management,DEADBEEF 205 | 2022,Arxiv,article,__no_data__,['BERT'],__no_data__,Privacy-Preserving Text Classification on BERT Embeddings with Homomorphic Encryption,https://arxiv.org/abs/2210.02574,https://arxiv.org/abs/2210.02574,https://arxiv.org/pdf/2210.02574.pdf,False,True,,Computation and Language,DEADBEEF 206 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,ProSE: the architecture and design of a protein discovery engine,https://doi.org/10.1145/3503222.3507722,https://doi.org/10.1145/3503222.3507722,https://par.nsf.gov/servlets/purl/10394954,False,True,,ACM International Conference on Architectural Support for Programming Languages and Operating Systems,DEADBEEF 207 | 2020,Arxiv,article,__no_data__,['BERT'],__no_data__,Pruning Redundant Mappings in Transformer Models via Spectral-Normalized Identity Prior,https://arxiv.org/abs/2010.01791,https://arxiv.org/abs/2010.01791,https://arxiv.org/pdf/2010.01791.pdf,False,check,,Computer Science > Computation and Language,DEADBEEF 208 | 2021,Arxiv,article,__no_data__,['BERT'],__no_data__,PTQ4ViT: Post-Training Quantization Framework for Vision Transformers with Twin Uniform Quantization,https://arxiv.org/abs/2111.12293,https://arxiv.org/abs/2111.12293,https://arxiv.org/pdf/2111.12293,False,True,,Computer Science > Computer Vision and Pattern Recognition,DEADBEEF 209 | 2020,AAAI,article,__no_data__,['BERT'],__no_data__,Q-BERT: Hessian Based Ultra Low Precision Quantization of BERT,https://doi.org/10.1609/aaai.v34i05.6409,https://doi.org/10.1609/aaai.v34i05.6409,https://ojs.aaai.org/index.php/AAAI/article/view/6409/6265,False,check,,AAAI Technical Track: Natural Language Processing,DEADBEEF 210 | 2019,IEEE,article,__no_data__,['BERT'],__no_data__,Q8BERT: Quantized 8Bit BERT,https://doi.org/10.1109/EMC2--NIPS53020.2019.00016,https://doi.org/10.1109/EMC2-NIPS53020.2019.00016,https://arxiv.org/pdf/1910.06188.pdf,False,False,,Workshop on Energy Efficient Machine Learning and Cognitive Computing - NeurIPS Edition (EMC2-NIPS),DEADBEEF 211 | 2022,Arxiv,article,__no_data__,['BERT'],__no_data__,QDrop: Randomly Dropping Quantization for Extremely Low-bit Post-Training Quantization,https://arxiv.org/abs/2203.05740,https://arxiv.org/abs/2203.05740,https://arxiv.org/pdf/2203.05740,False,False,,Computer Science > Computer Vision and Pattern Recognition,DEADBEEF 212 | 2022,Arxiv,article,__no_data__,['BERT'],__no_data__,QuaLA-MiniLM: a Quantized Length Adaptive MiniLM,https://arxiv.org/abs/2210.17114,https://arxiv.org/abs/2210.17114,https://arxiv.org/pdf/2210.17114,False,False,,Computer Science > Computation and Language,DEADBEEF 213 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Randomly Wired Network Based on RoBERTa and Dialog History Attention for Response Selection,https://doi.org/10.1109/TASLP.2021.3077119,https://doi.org/10.1109/TASLP.2021.3077119,False,False,False,,"IEEE/ACM Transactions on Audio, Speech, and Language Processing",DEADBEEF 214 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,RCT: Resource Constrained Training for Edge AI,https://doi.org/10.1109/TNNLS.2022.3190451,https://doi.org/10.1109/TNNLS.2022.3190451,https://arxiv.org/pdf/2103.14493.pdf,False,check,,IEEE Transactions on Neural Networks and Learning Systems,DEADBEEF 215 | 2021,ACM,article,__no_data__,['BERT'],__no_data__,Re2PIM: A Reconfigurable ReRAM-Based PIM Design for Variable-Sized Vector-Matrix Multiplication,https://doi.org/10.1145/3453688.3461494,https://doi.org/10.1145/3453688.3461494,False,False,True,,Proceedings on Great Lakes Symposium on VLSI,DEADBEEF 216 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,ReAAP: A Reconfigurable and Algorithm-Oriented Array Processor With Compiler-Architecture Co-Design,https://doi.org/10.1109/TC.2022.3213177,https://doi.org/10.1109/TC.2022.3213177,https://ieeexplore.ieee.org/iel7/12/4358213/09914609.pdf,False,True,,IEEE Transactions on Computers,DEADBEEF 217 | 2020,ACM,article,__no_data__,['BERT'],__no_data__,ReTransformer: ReRAM-based processing-in-memory architecture for transformer acceleration,https://doi.org/10.1145/3400302.3415640,https://doi.org/10.1145/3400302.3415640,https://dl.acm.org/doi/pdf/10.1145/3400302.3415640,False,True,,International Conference on Computer-Aided Design,DEADBEEF 218 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,RISC-VTF: RISC-V Based Extended Instruction Set for Transformer,https://doi.org/10.1109/SMC52423.2021.9658643,https://doi.org/10.1109/SMC52423.2021.9658643,False,False,True,,"IEEE International Conference on Systems, Man, and Cybernetics",DEADBEEF 219 | 2021,None,article,__no_data__,['BERT'],__no_data__,RMSMP: A Novel Deep Neural Network Quantization Framework with Row-wise Mixed Schemes and Multiple Precisions,xx,None,https://openaccess.thecvf.com/content/ICCV2021/papers/Chang_RMSMP_A_Novel_Deep_Neural_Network_Quantization_Framework_With_Row-Wise_ICCV_2021_paper.pdf,False,True,,IEEE/CVF International Conference on Computer Vision,DEADBEEF 220 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Row-wise Accelerator for Vision Transformer,https://doi.org/10.1109/AICAS54282.2022.9869928,https://doi.org/10.1109/AICAS54282.2022.9869928,https://arxiv.org/pdf/2205.03998.pdf,False,True,,International Conference on Artificial Intelligence Circuits and Systems,DEADBEEF 221 | 2022,Arxive,article,__no_data__,['BERT'],__no_data__,"S4: a High-sparsity, High-performance AI Accelerator",https://arxiv.org/abs/2207.08006,https://arxiv.org/abs/2207.08006,https://arxiv.org/pdf/2207.08006,False,True,,Computer Science > Hardware Architecture,DEADBEEF 222 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,SALO: an efficient spatial accelerator enabling hybrid sparse attention mechanisms for long sequences,https://doi.org/10.1145/3489517.3530504,https://doi.org/10.1145/3489517.3530504,https://arxiv.org/pdf/2206.14550.pdf,False,True,,ACM/IEEE Design Automation Conference,DEADBEEF 223 | 2021,IEEE/ACM,article,__no_data__,['BERT'],__no_data__,Sanger: A Co-Design Framework for Enabling Sparse Attention using Reconfigurable Architecture,https://doi.org/10.1145/3466752.3480125,https://doi.org/10.1145/3466752.3480125,https://dl.acm.org/doi/pdf/10.1145/3466752.3480125,False,True,,IEEE/ACM International Symposium on Microarchitecture,DEADBEEF 224 | 2022,None,article,__no_data__,['BERT'],__no_data__,Searching for memory-lighter architectures for OCR-augmented image captioning,https://doi.org/10.3233/JIFS--219230,https://doi.org/10.3233/JIFS-219230,False,False,check,,Journal of Intelligent & Fuzzy Systems,DEADBEEF 225 | 2023,Elsevier,article,__no_data__,['BERT'],__no_data__,SECDA-TFLite: A toolkit for efficient development of FPGA-based DNN accelerators for edge inference,https://doi.org/10.1016/j.jpdc.2022.11.005,https://doi.org/10.1016/j.jpdc.2022.11.005,https://www.sciencedirect.com/science/article/pii/S0743731522002301/pdfft?md5=444fdc7e73724f5d9881d162bed2a735&pid=1-s2.0-S0743731522002301-main.pdf,False,True,,Journal of Parallel and Distributed Computing,DEADBEEF 226 | 2022,PLOSONE,article,__no_data__,['BERT'],__no_data__,SensiMix: Sensitivity-Aware 8-bit index & 1-bit value mixed precision quantization for BERT compression,https://doi.org/10.1371/journal.pone.0265621,https://doi.org/10.1371/journal.pone.0265621,https://journals.plos.org/plosone/article/file?id=10.1371/journal.pone.0265621&type=printable,False,check,,None,DEADBEEF 227 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Sentiment Analysis Using Pre-Trained Language Model With No Fine-Tuning and Less Resource,https://doi.org/10.1109/ACCESS.2022.3212367,https://doi.org/10.1109/ACCESS.2022.3212367,https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=9912410,False,True,,IEEE Access,DEADBEEF 228 | 2021,Springer,article,__no_data__,['BERT'],__no_data__,Simplified TinyBERT: Knowledge Distillation for Document Retrieval,https://doi.org/10.1007/978--3--030--72240--1_21,https://doi.org/10.1007/978-3-030-72240-1_21,https://arxiv.org/pdf/2009.07531.pdf,False,check,,Advances in Information Retrieval,DEADBEEF 229 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,SmaQ: Smart Quantization for DNN Training by Exploiting Value Clustering,https://doi.org/10.1109/LCA.2021.3108505,https://doi.org/10.1109/LCA.2021.3108505,https://hparch.gatech.edu/papers/nima_2021_cal.pdf,False,True,,IEEE Computer Architecture Letters,DEADBEEF 230 | 2023,Arxiv,article,__no_data__,['BERT'],__no_data__,SmoothQuant: Accurate and Efficient Post-Training Quantization for Large Language Models,https://arxiv.org/abs/2211.10438,https://arxiv.org/abs/2211.10438,https://arxiv.org/pdf/2211.10438.pdf,False,True,,Computer Science > Computation and Language',DEADBEEF 231 | 2021,ACM/IEEE,article,__no_data__,['BERT'],__no_data__,Softermax: Hardware/Software Co-Design of an Efficient Softmax for Transformers,https://doi.org/10.1109/DAC18074.2021.9586134,https://doi.org/10.1109/DAC18074.2021.9586134,https://arxiv.org/pdf/2103.09301.pdf,False,True,,ACM/IEEE Design Automation Conference,DEADBEEF 232 | 2022,Springer,article,__no_data__,['BERT'],__no_data__,Software and Hardware Fusion Multi-Head Attention,http://dx.doi.org/10.1007/978--3--031--10989--8_51,http://dx.doi.org/10.1007/978-3-031-10989-8_51,False,False,True,,"International Conference on Knowledge Science, Engineering and Management",DEADBEEF 233 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Sparse Attention Acceleration with Synergistic In-Memory Pruning and On-Chip Recomputation,https://doi.org/10.1109/MICRO56248.2022.00059,https://doi.org/10.1109/MICRO56248.2022.00059,https://arxiv.org/pdf/2209.00606.pdf,False,True,,IEEE/ACM International Symposium on Microarchitecture,DEADBEEF 234 | 2023,Arxiv,article,__no_data__,['BERT'],__no_data__,Sparse*BERT: Sparse Models Generalize To New tasks and Domains,https://arxiv.org/abs/2205.12452,https://arxiv.org/abs/2205.12452,https://arxiv.org/pdf/2205.12452,False,check,,Computer Science > Computation and Language,DEADBEEF 235 | 2018,None,article,__no_data__,['BERT'],__no_data__,SparseNN: An energy-efficient neural network accelerator exploiting input and output sparsity,https://doi.org/10.23919/DATE.2018.8342010,https://doi.org/10.23919/DATE.2018.8342010,https://doi.org/10.23919/DATE.2018.8342010,True,True,,"Design, Automation & Test in Europe Conference & Exhibition",DEADBEEF 236 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,SpAtten: Efficient Sparse Attention Architecture with Cascade Token and Head Pruning,https://doi.org/10.1109/HPCA51647.2021.00018,https://doi.org/10.1109/HPCA51647.2021.00018,https://arxiv.org/pdf/2012.09852.pdf,False,True,,International Symposium on High-Performance Computer Architecture,DEADBEEF 237 | 2021,Arxiv,article,__no_data__,['BERT'],__no_data__,SQuAT: Sharpness- and Quantization-Aware Training for BERT,https://arxiv.org/abs/2210.07171,https://arxiv.org/abs/2210.07171,https://arxiv.org/pdf/2210.07171.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 238 | 2020,Arxiv,article,__no_data__,['BERT'],__no_data__,SqueezeBERT: What can computer vision teach NLP about efficient neural networks?,https://arxiv.org/abs/2006.11316,https://arxiv.org/abs/2006.11316,https://arxiv.org/pdf/2006.11316.pdf,False,check,,Computer Science > Computation and Language,DEADBEEF 239 | 2021,AAAI,article,__no_data__,['BERT'],__no_data__,Stochastic precision ensemble: self-knowledge distillation for quantized deep neural networks,https://doi.org/10.1609/aaai.v35i8.16839,https://doi.org/10.1609/aaai.v35i8.16839,https://ojs.aaai.org/index.php/AAAI/article/view/16839/16646,False,False,,AAAI Technical Track on Machine Learning I,DEADBEEF 240 | 2019,Arxiv,article,__no_data__,['BERT'],__no_data__,Structured pruning of a BERT-based question answering model,https://arxiv.org/abs/1910.06360,https://arxiv.org/abs/1910.06360,https://arxiv.org/pdf/1910.06360,False,False,,Computer Science > Computation and Language,DEADBEEF 241 | 2019,Arxiv,article,__no_data__,['BERT'],__no_data__,Structured pruning of large language models,https://arxiv.org/abs/1910.04732,https://arxiv.org/abs/1910.04732,https://arxiv.org/pdf/1910.04732,False,False,,Computer Science > Computation and Language,DEADBEEF 242 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,SwiftPruner: Reinforced Evolutionary Pruning for Efficient Ad Relevance,https://doi.org/10.1145/3511808.3557139,https://doi.org/10.1145/3511808.3557139,https://arxiv.org/pdf/2209.00625.pdf,False,False,,ACM International Conference on Information & Knowledge Management,DEADBEEF 243 | 2022,UCLA,article,__no_data__,['BERT'],__no_data__,T-OPU: An FPGA-based Overlay Processor for Natural Language Processing,https://escholarship.org/uc/item/9r46v693,https://escholarship.org/uc/item/9r46v693,https://escholarship.org/content/qt9r46v693/qt9r46v693.pdf,False,True,,Open Access Publications from the University of California,DEADBEEF 244 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Talos: A Weighted Speedup-Aware Device Placement of Deep Learning Models,https://doi.org/10.1109/ASAP52443.2021.00023,https://doi.org/10.1109/ASAP52443.2021.00023,False,False,True,,"International Conference on Application-specific Systems, Architectures and Processors",DEADBEEF 245 | 2023,Arxiv,article,__no_data__,['BERT'],__no_data__,Teacher Intervention: Improving Convergence of Quantization Aware Training for Ultra-Low Precision Transformers,https://arxiv.org/abs/2302.11812,https://arxiv.org/abs/2302.11812,https://arxiv.org/pdf/2302.11812,False,True,,Computer Science > Computation and Language,DEADBEEF 246 | 2020,Arxiv,article,__no_data__,['BERT'],__no_data__,TernaryBERT: Distillation-aware Ultra-low Bit BERT,https://arxiv.org/abs/2009.12812,https://arxiv.org/abs/2009.12812,https://arxiv.org/pdf/2009.12812,False,check,,Computer Science > Computation and Language,DEADBEEF 247 | 2022,Arxiv,article,__no_data__,['BERT'],__no_data__,The Optimal BERT Surgeon: Scalable and Accurate Second-Order Pruning for Large Language Models,https://arxiv.org/abs/2203.07259,https://arxiv.org/abs/2203.07259,https://arxiv.org/pdf/2203.07259.pdf,False,check,,Computer Science > Computation and Language,DEADBEEF 248 | 2023,ACM,article,__no_data__,['BERT'],__no_data__,TiC-SAT: Tightly-Coupled Systolic Accelerator for Transformers,https://doi.org/10.1145/3566097.3567867,https://doi.org/10.1145/3566097.3567867,https://infoscience.epfl.ch/record/298067/files/TiC_SAT_ASPDAC-preprint.pdf,False,True,,Asia and South Pacific Design Automation Conference,DEADBEEF 249 | 2019,Arxiv,article,__no_data__,['BERT'],__no_data__,Tinybert: Distilling bert for natural language understanding,https://arxiv.org/abs/1909.10351,https://arxiv.org/abs/1909.10351,https://arxiv.org/pdf/1909.10351,False,check,,Computer Science > Computation and Language,DEADBEEF 250 | 2022,NeurIPS,article,__no_data__,['BERT'],__no_data__,Towards efficient post-training quantization of pre-trained language models,link,https://proceedings.neurips.cc/paper_files/paper/2022/hash/096347b4efc264ae7f07742fea34af1f-Abstract-Conference.html,https://proceedings.neurips.cc/paper_files/paper/2022/file/096347b4efc264ae7f07742fea34af1f-Paper-Conference.pdf,False,False,,Advances in Neural Information Processing Systems,DEADBEEF 251 | 2021,Arxiv,article,__no_data__,['BERT'],__no_data__,TR-BERT: Dynamic Token Reduction for Accelerating BERT Inference,https://arxiv.org/abs/2105.11618,https://arxiv.org/abs/2105.11618,https://arxiv.org/pdf/2105.11618.pdf,False,True,,Computer Science > Computation and Language,DEADBEEF 252 | 2022,Arxiv,article,__no_data__,['BERT'],__no_data__,"Train Flat, Then Compress: Sharpness-Aware Minimization Learns More Compressible Models",https://arxiv.org/abs/2205.12694,https://arxiv.org/abs/2205.12694,https://arxiv.org/pdf/2205.12694.pdf,False,True,,Computer Science > Computation and Language,DEADBEEF 253 | 2020,Arxiv,article,__no_data__,['BERT'],__no_data__,Training Large Neural Networks with Constant Memory using a New Execution Algorithm,https://arxiv.org/abs/2002.05645,https://arxiv.org/abs/2002.05645,https://arxiv.org/pdf/2002.05645.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 254 | 2021,Arxiv,article,__no_data__,['BERT'],__no_data__,Training with Quantization Noise for Extreme Model Compression,https://arxiv.org/abs/2004.07320,https://arxiv.org/abs/2004.07320,https://arxiv.org/pdf/2004.07320.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 255 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,TranCIM: Full-Digital Bitline-Transpose CIM-based Sparse Transformer Accelerator With Pipeline/Parallel Reconfigurable Modes,https://doi.org/10.1109/JSSC.2022.3213542,https://doi.org/10.1109/JSSC.2022.3213542,False,False,True,,IEEE Journal of Solid-State Circuits,DEADBEEF 256 | 2021,Arxiv,article,__no_data__,['BERT'],__no_data__,Transformer Acceleration with Dynamic Sparse Attention,https://arxiv.org/abs/2110.11299,https://arxiv.org/abs/2110.11299,https://arxiv.org/pdf/2110.11299,False,True,,Computer Science > Machine Learning,DEADBEEF 257 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,TransPIM: A Memory-based Acceleration via Software-Hardware Co-Design for Transformer,https://doi.org/10.1109/HPCA53966.2022.00082,https://doi.org/10.1109/HPCA53966.2022.00082,https://par.nsf.gov/servlets/purl/10345536,False,True,,IEEE International Symposium on High-Performance Computer Architecture (HPCA),DEADBEEF 258 | 2020,IEEE,article,__no_data__,['BERT'],__no_data__,"Ultron-AutoML: An open-source, distributed, scalable framework for efficient hyper-parameter optimization",https://doi.org/10.1109/BigData50022.2020.9378071,https://doi.org/10.1109/BigData50022.2020.9378071,https://ashish-gupta03.github.io/files/Ultron.pdf,False,True,,International Conference on Big Data (Big Data),DEADBEEF 259 | 2021,Arxiv,article,__no_data__,['BERT'],__no_data__,Understanding and Overcoming the Challenges of Efficient Transformer Quantization,https://arxiv.org/abs/2109.12948,https://arxiv.org/abs/2109.12948,https://arxiv.org/pdf/2109.12948.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 260 | 2022,Arxiv,article,__no_data__,['BERT'],__no_data__,VAQF: Fully Automatic Software-Hardware Co-Design Framework for Low-Bit Vision Transformer,https://arxiv.org/abs/2201.06618,https://arxiv.org/abs/2201.06618,https://arxiv.org/pdf/2201.06618.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 261 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,"Varuna: Scalable, Low-cost Training of Massive Deep Learning Models",https://doi.org/10.1145/3492321.3519584,https://doi.org/10.1145/3492321.3519584,False,False,check,,Proceedings of the Seventeenth European Conference on Computer Systems,DEADBEEF 262 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,ViA: A Novel Vision-Transformer Accelerator Based on FPGA,https://doi.org/10.1109/TCAD.2022.3197489,https://doi.org/10.1109/TCAD.2022.3197489,None,False,True,,IEEE Transactions on Computer-Aided Design of Integrated Circuits and System[s,DEADBEEF 263 | 2021,Arxiv,article,__no_data__,['BERT'],__no_data__,Vis-TOP: Visual Transformer Overlay Processor,https://arxiv.org/abs/2110.10957,https://arxiv.org/abs/2110.10957,https://arxiv.org/pdf/2110.10957.pdf,False,True,,Computer Science > Computer Vision and Pattern Recognition,DEADBEEF 264 | 2023,IEEE,article,__no_data__,['BERT'],__no_data__,ViTALiTy: Unifying Low-rank and Sparse Approximation for Vision Transformer Acceleration with a Linear Taylor Attention,https://doi.org/10.1109/HPCA56546.2023.10071081,https://doi.org/10.1109/HPCA56546.2023.10071081,https://arxiv.org/pdf/2211.05109.pdf,False,True,,International Symposium on High-Performance Computer Architecture (HPCA),DEADBEEF 265 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Work-in-Progress: Utilizing latency and accuracy predictors for efficient hardware-aware NAS,https://doi.org/10.1109/CODES-ISSS55005.2022.00014,https://doi.org/10.1109/CODES-ISSS55005.2022.00014,False,False,True,,International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS),DEADBEEF 266 | 2022,None,article,__no_data__,['BERT'],__no_data__,XTC: Extreme Compression for Pre-trained Transformers Made Simple and Efficient,None,None,https://proceedings.neurips.cc/paper_files/paper/2022/file/1579d5d8edacd85ac1a86aea28bdf32d-Paper-Conference.pdf,False,True,,None,DEADBEEF 267 | 2022,None,article,__no_data__,['BERT'],__no_data__,ZeroQuant: Efficient and Affordable Post-Training Quantization for Large-Scale Transformers,None,None,False,False,True,,None,DEADBEEF 268 | 2022,None,article,__no_data__,['BERT'],__no_data__,Fully Unsupervised Machine Translation Using Context-Aware Word Translation and Denoising Autoencoder,https://doi.org/10.1080/08839514.2022.2031817,https://doi.org/10.1080/08839514.2022.2031817,https://www.tandfonline.com/doi/pdf/10.1080/08839514.2022.2031817,False,False,,None,DEADBEEF 269 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,DistilHuBERT: Speech representation learning by layer-wise distillation of hidden-unit BERT,https://doi.org/10.1109/ICASSP43922.2022.9747490,https://doi.org/10.1109/ICASSP43922.2022.9747490,https://arxiv.org/pdf/2110.01900.pdf,True,False,,"IEEE International Conference on Acoustics, Speech and Signal Processing",DEADBEEF 270 | 2022,IEEE,article,__no_data__,['BERT'],__no_data__,Data Movement Reduction for DNN Accelerators: Enabling Dynamic Quantization Through an eFPGA,https://doi.org/10.1109/ISVLSI54635.2022.00082,https://doi.org/10.1109/ISVLSI54635.2022.00082,https://scholar.archive.org/work/hd53tmr62rhn3mxplkjzhrnvw4/access/wayback/https://publikationen.bibliothek.kit.edu/1000151937/149523013,True,True,,Computer Society Annual Symposium on VLSI,DEADBEEF 271 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,Elbert: Fast albert with confidence-window based early exit,https://doi.org/10.1109/ICASSP39728.2021.9414572,https://doi.org/10.1109/ICASSP39728.2021.9414572,https://arxiv.org/pdf/2107.00175.pdf,False,False,,"IEEE International Conference on Acoustics, Speech and Signal Processing",DEADBEEF 272 | 2021,ACL,article,__no_data__,['BERT'],__no_data__,Ghostbert: Generate more features with cheap operations for BERT,http://dx.doi.org/10.18653/v1/2021.acl--long.509,http://dx.doi.org/10.18653/v1/2021.acl-long.509,https://aclanthology.org/2021.acl-long.509.pdf,False,True,,International Joint Conference on Natural Language Processing,DEADBEEF 273 | 2022,AIMPress,article,__no_data__,['BERT'],__no_data__,Hardware-friendly compression and hardware acceleration for transformer: A survey,https://www.aimspress.com/article/doi/10.3934/era.2022192,https://www.aimspress.com/article/doi/10.3934/era.2022192,https://www.aimspress.com/aimspress-data/era/2022/10/PDF/era-30-10-192.pdf,False,True,,Electronic Research Archive,DEADBEEF 274 | 2022,HiPEAC,article,__no_data__,['BERT'],__no_data__,Hardware/Software Co-Design of Edge DNN Accelerators with TFLite,https://doi.org/10.1007/978--3--030--87208--8_5,https://https://eprints.gla.ac.uk/280378/,False,False,True,,International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems,DEADBEEF 275 | 2020,Arixv,article,__no_data__,['BERT'],__no_data__,Towards Fully 8-bit Integer Inference for the Transformer Model,https://arxiv.org/abs/2009.08034,https://arxiv.org/abs/2009.08034,https://arxiv.org/pdf/2009.08034.pdf,False,True,,Computer Science > Computation and Language,DEADBEEF 276 | 2023,Arxiv,article,__no_data__,['BERT'],__no_data__,ViTA: A Vision Transformer Inference Accelerator for Edge Applications,https://doi.org/10.48550/arXiv.2302.09108,https://doi.org/10.48550/arXiv.2302.09108,https://arxiv.org/pdf/2302.09108.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 277 | 2023,Elsevier,article,__no_data__,['BERT'],__no_data__,Trends in AI inference energy consumption: Beyond the performance-vs-parameter laws of deep learning,https://doi.org/10.1016/j.suscom.2023.100857,https://doi.org/10.1016/j.suscom.2023.100857,https://www.sciencedirect.com/science/article/pii/S2210537923000124/pdfft?md5=4bec2735c1586b935287e6afea9e63a2&pid=1-s2.0-S2210537923000124-main.pdf,False,True,,Sustainable Computing: Informatics and Systems,DEADBEEF 278 | 2023,Arxiv,article,__no_data__,['BERT'],__no_data__,TRON: Transformer Neural Network Acceleration with Non-Coherent Silicon Photonics,https://doi.org/10.48550/arXiv.2303.12914,https://doi.org/10.48550/arXiv.2303.12914,https://arxiv.org/pdf/2303.12914.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 279 | 2023,Arxiv,article,__no_data__,['BERT'],__no_data__,TransCODE: Co-design of Transformers and Accelerators for Efficient Training and Inference,https://doi.org/10.48550/arXiv.2303.14882,https://doi.org/10.48550/arXiv.2303.14882,https://arxiv.org/pdf/2303.14882,False,True,,Computer Science > Machine Learning,DEADBEEF 280 | 2021,IEEE,article,__no_data__,['BERT'],__no_data__,ProtTrans: Toward Understanding the Language of Life Through Self-Supervised Learning,https://doi.org/10.1109/TPAMI.2021.3095381,https://doi.org/10.1109/TPAMI.2021.3095381,https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=9477085,False,True,,IEEE Transactions on Pattern Analysis and Machine Intelligence,DEADBEEF 281 | 2021,Arxiv,article,__no_data__,['BERT'],__no_data__,Prune once for all: Sparse pre-trained language models,https://arxiv.org/abs/2111.05754,https://arxiv.org/abs/2111.05754,https://arxiv.org/pdf/2111.05754.pdf,False,True,,Computer Science > Computation and Language,DEADBEEF 282 | 2021,None,article,__no_data__,['BERT'],__no_data__,ROSITA: Refined BERT cOmpreSsion with InTegrAted techniques,https://doi.org/10.1609/aaai.v35i10.17056,https://doi.org/10.1609/aaai.v35i10.17056,https://ojs.aaai.org/index.php/AAAI/article/download/17056/16863,False,check,,None,DEADBEEF 283 | 2023,IEEE,article,__no_data__,['BERT'],__no_data__,TinyVers: A Tiny Versatile System-on-chip with State-Retentive eMRAM for ML Inference at the Extreme Edge,https://doi.org/10.1109/JSSC.2023.3236566,https://doi.org/10.1109/JSSC.2023.3236566,https://arxiv.org/pdf/2301.03537.pdf,ignore,True,,IEEE Journal of Solid-State Circuits,DEADBEEF 284 | 2020,Arxiv,article,__no_data__,['BERT'],__no_data__,TopicBERT for energy efficient document classification,https://doi.org/10.48550/arXiv.2010.16407,https://doi.org/10.48550/arXiv.2010.16407,https://arxiv.org/pdf/2010.16407.pdf,False,wip,,Computer Science > Computation and Language,DEADBEEF 285 | 2021,MLSys,article,__no_data__,['BERT'],__no_data__,VS-Quant: Per-vector Scaled Quantization for Accurate Low-Precision Neural Network Inference,https://proceedings.mlsys.org/paper_files/paper/2021,https://proceedings.mlsys.org/paper_files/paper/2021,https://proceedings.mlsys.org/paper_files/paper/2021/file/48a6431f04545e11919887748ec5cb52-Paper.pdf,False,True,,Proceedings of Machine Learning and Systems,DEADBEEF 286 | 2022,ACM,article,__no_data__,['BERT'],__no_data__,Workload-Balanced Graph Attention Network Accelerator with Top-K Aggregation Candidates,https://doi.org/10.1145/3508352.3549343,https://doi.org/10.1145/3508352.3549343,False,False,check,,International Conference on Computer-Aided Design,DEADBEEF 287 | 2023,HarwardLibrary,article,__no_data__,['BERT'],__no_data__,Architecting High Performance Silicon Systems for Accurate and Efficient On-Chip Deep Learning,https://nrs.harvard.edu/URN--3:HUL.INSTREPOS:37375806,https://nrs.harvard.edu/URN-3:HUL.INSTREPOS:37375806,https://dash.harvard.edu/bitstream/handle/1/37375806/Final_Draft_PhD_Dissertation_Thierry_Tambe.pdf,False,True,,Harvard University Graduate School of Arts and Sciences,DEADBEEF 288 | 2023,IEEE,article,__no_data__,['BERT'],__no_data__,Hardware-efficient Softmax Approximation for Self-Attention Networks,https://doi.org/10.1109/ISCAS46773.2023.10181465,https://doi.org/10.1109/ISCAS46773.2023.10181465,False,False,True,,IEEE International Symposium on Circuits and Systems (ISCAS),DEADBEEF 289 | 2023,Arxiv,article,__no_data__,['BERT'],__no_data__,Fast Prototyping Next-Generation Accelerators for New ML Models using MASE: ML Accelerator System Exploration,https://arxiv.org/abs/2307.15517,https://arxiv.org/abs/2307.15517,https://arxiv.org/pdf/2307.15517.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 290 | 2023,Wiley,article,__no_data__,['BERT'],__no_data__,Advances in Electromagnetics Empowered by Artificial Intelligence and Deep Learning,ISBN:9781119853893,https://books.google.com/books?id=rlPNEAAAQBAJ,False,False,True,,IEEE Press Series on Electromagnetic Wave Theory,DEADBEEF 291 | 2023,IEEE,article,__no_data__,['BERT'],__no_data__,A Scalable GPT-2 Inference Hardware Architecture on FPGA,https://doi.org/10.1109/IJCNN54540.2023.10191067,https://doi.org/10.1109/IJCNN54540.2023.10191067,False,False,True,,International Joint Conference on Neural Networks (IJCNN),DEADBEEF 292 | 2023,IEEE,article,__no_data__,['BERT'],__no_data__,BL-PIM: Varying the Burst Length to Realize the All-Bank Performance and Minimize the Multi-Workload Interference for in-DRAM PIM,https://doi.org/10.1109/ACCESS.2023.3300893,https://doi.org/10.1109/ACCESS.2023.3300893,https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10198428,False,True,,IEEE Access,DEADBEEF 293 | 2023,SydneyDigital,article,__no_data__,['BERT'],__no_data__,Integrated Transformers Inference Framework for Multiple Tenants on GPU,https://hdl.handle.net/2123/31606,https://hdl.handle.net/2123/31606,https://ses.library.usyd.edu.au/bitstream/handle/2123/31606/Thesis__Yuning_Zhang%20%281%29.pdf?sequence=2&isAllowed=y,False,True,,Sydney Digital Theses,DEADBEEF 294 | 2023,IEEE,article,__no_data__,['BERT'],__no_data__,Embedded Deep Learning Accelerators: A Survey on Recent Advances,https://doi.org/10.1109/TAI.2023.3311776,https://doi.org/10.1109/TAI.2023.3311776,False,False,True,,IEEE Transactions on Artificial Intelligence ,DEADBEEF 295 | 2023,TSpace,article,__no_data__,['BERT'],__no_data__,Collective Communication Enabled Transformer Acceleration on Heterogeneous Clusters,https://hdl.handle.net/1807/130585,https://hdl.handle.net/1807/130585,https://tspace.library.utoronto.ca/bitstream/1807/130585/3/Gao_Yu_202311_MAS_thesis.pdf,False,True,T,IEEE Transactions on Artificial Intelligence ,DEADBEEF 296 | 2023,IEEE,article,FPGA,['BERT'],__no_data__,FET-OPU: A Flexible and Efficient FPGA-Based Overlay Processor for Transformer Networks,https://doi.org/10.1109/ICCAD57390.2023.10323752,https://doi.org/10.1109/ICCAD57390.2023.10323752,False,False,True,ICCAD,IEEE/ACM International Conference on Computer Aided Design (ICCAD),DEADBEEF 297 | 2023,ACADLore,article,FPGA,['BERT'],__no_data__,Racism and Hate Speech Detection on Twitter: A QAHA-Based Hybrid Deep Learning Approach Using LSTM-CNN,https://doi.org/10.56578/ijkis010202,https://doi.org/10.56578/ijkis010202,https://library.acadlore.com/IJKIS/2023/1/2/IJKIS_01.02_02.pdf,False,True,,International Journal of Knowledge and Innovation Studies,DEADBEEF 298 | 2023,IEEE,article,asic,"['LLm', 'Transformer']",__no_data__,"22.9 A 12nm 18.1TFLOPs/W Sparse Transformer Processor with Entropy-Based Early Exit, Mixed-Precision Predication and Fine-Grained Power Management",https://doi.org/10.1109/ISSCC42615.2023.10067817,https://doi.org/10.1109/ISSCC42615.2023.10067817,False,True,True,ISSCC,IEEE International Solid-State Circuits Conference,DEADBEEF 299 | 2023,IEEE,article,FPGA,"['LLm', 'Transformer']",__no_data__,P^3 ViT: A CIM-Based High-Utilization Architecture With Dynamic Pruning and Two-Way Ping-Pong Macro for Vision Transformer,https://doi.org/10.1109/TCSI.2023.3315060,https://doi.org/10.1109/TCSI.2023.3315060,False,True,True,TCSI,IEEE Transactions on Circuits and Systems,DEADBEEF 300 | 2023,IEEE/CVF,article,algoritm,"['LLm', 'Transformer']",__no_data__,I-ViT: Integer-only Quantization for Efficient Vision Transformer Inference,https://arxiv.org/abs/2207.01405,https://arxiv.org/abs/2207.01405,https://arxiv.org/pdf/2207.01405,True,True,TCSI,IEEE/CVF International Conference on Computer Vision,DEADBEEF 301 | 2023,NanyangTechnologicalUniversity,thesis,FPGA,['Transformer'],"['in-memory-processing', 'pruning']",Enabling efficient edge intelligence: a hardware-software codesign approach,https://dr.ntu.edu.sg/handle/10356/172499,https://dr.ntu.edu.sg/handle/10356/172499,https://dr.ntu.edu.sg/bitstream/10356/172499/2/Thesis_Final_HUAISHUO.pdf,False,True,,Nanyang Technological University,DEADBEEF 302 | 2023,IEEE,article,FPGA,[''],__no_data__,Automatic Kernel Generation for Large Language Models on Deep Learning Accelerators,https://doi.org/10.1109/ICCAD57390.2023.10323944,https://doi.org/10.1109/ICCAD57390.2023.10323944,False,False,True,ICCAD,IEEE/ACM International Conference on Computer Aided Design (ICCAD),DEADBEEF 303 | 2023,IEEE,article,FPGA,[''],__no_data__,A Low-Latency and Lightweight FPGA-Based Engine for Softmax and Layer Normalization Acceleration,https://doi.org/10.1109/ICCE--Asia59966.2023.10326397,https://doi.org/10.1109/ICCE-Asia59966.2023.10326397,False,False,True,,IEEE International Conference on Consumer Electronics-Asia (ICCE-Asia),DEADBEEF 304 | 2023,IEEE,article,FPGA,['Transformer'],__no_data__,PP-Transformer: Enable Efficient Deployment of Transformers Through Pattern Pruning,https://doi.org/10.1109/ICCAD57390.2023.10323836,https://doi.org/10.1109/ICCAD57390.2023.10323836,False,False,True,ICCAD,IEEE/ACM International Conference on Computer Aided Design (ICCAD),DEADBEEF 305 | 2023,Arxive,arx,FPGA,['Transformer'],__no_data__,DEAP: Design Space Exploration for DNN Accelerator Parallelism,https://arxiv.org/abs/2312.15388,https://arxiv.org/abs/2312.15388,https://arxiv.org/pdf/2312.15388.pdf,False,True,,"Computer Science > Distributed, Parallel, and Cluster Computing",DEADBEEF 306 | 2023,Arxive,arx,FPGA,"['Transformer', 'LLM']",__no_data__,Understanding the Potential of FPGA-Based Spatial Acceleration for Large Language Model Inference,https://arxiv.org/abs/2312.15159,https://arxiv.org/abs/2312.15159,https://arxiv.org/pdf/2312.15159.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 307 | 2023,IEEE,article,FPGA,['Transformer'],__no_data__,An RRAM-Based Computing-in-Memory Architecture and Its Application in Accelerating Transformer Inference,https://doi.ieeecomputersociety.org/10.1109/TVLSI.2023.3345651,https://doi.ieeecomputersociety.org/10.1109/TVLSI.2023.3345651,False,False,True,TVLSI,IEEE Transactions on Very Large Scale Integration (VLSI) Systems,DEADBEEF 308 | 2023,IEEE,article,FPGA,['Transformer'],__no_data__,Mobile Transformer Accelerator Exploiting Various Line Sparsity and Tile-Based Dynamic Quantization,https://doi.org/10.1109/TCAD.2023.3347291,https://doi.org/10.1109/TCAD.2023.3347291,False,False,True,TCAD,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,DEADBEEF 309 | 2023,IEEE,article,FPGA,['Transformer'],__no_data__,A Lightweight Transformer Model using Neural ODE for FPGAs,https://doi.org/10.1109/IPDPSW59300.2023.00029,https://doi.org/10.1109/IPDPSW59300.2023.00029,False,False,True,IPDPSW,IEEE International Parallel and Distributed Processing Symposium Workshops,DEADBEEF 310 | 2024,Arxive,article,FPGA,['Transformer'],__no_data__,A Cost-Efficient FPGA Implementation of Tiny Transformer Model using Neural ODE,https://arxiv.org/abs/2401.02721,https://arxiv.org/abs/2401.02721,https://arxiv.org/pdf/2401.02721.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 311 | 2024,Arxive,article,FPGA,['Transformer'],__no_data__,FlightLLM: Efficient Large Language Model Inference with a Complete Mapping Flow on FPGAs,https://arxiv.org/abs/2401.03868,https://arxiv.org/abs/2401.03868,https://arxiv.org/pdf/2401.03868.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 312 | 2024,Arxive,article,FPGA,"['Transformer', 'LLM']",__no_data__,Accelerating Neural Networks for Large Language Models and Graph Processing with Silicon Photonics,https://arxiv.org/abs/2401.06885,https://arxiv.org/abs/2401.06885,https://arxiv.org/pdf/2401.06885.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 313 | 2024,IEEE,article,FPGA,"['Transformer', 'LLM']",__no_data__,Quantization and Hardware Architecture Co-Design for Matrix-Vector Multiplications of Large Language Models,https://doi.org/10.1109/TCSI.2024.3350661,https://doi.org/10.1109/TCSI.2024.3350661,False,False,True,TCSI,IEEE Transactions on Circuits and Systems I: Regular Papers,DEADBEEF 314 | 2024,IEEE,article,FPGA,"['Transformer', 'RISC-V', 'PIM', 'Memory']",__no_data__,RDCIM: RISC-V Supported Full-Digital Computing-in-Memory Processor With High Energy Efficiency and Low Area Overhead,https://doi.org/10.1109/TCSI.2024.3350664,https://doi.org/10.1109/TCSI.2024.3350664,False,False,True,TCSI,IEEE Transactions on Circuits and Systems I: Regular Papers,DEADBEEF 315 | 2024,Arxive,article,FPGA,['Transformer'],__no_data__,A Survey on Hardware Accelerators for Large Language Models,https://arxiv.org/abs/2401.09890,https://arxiv.org/abs/2401.09890,https://arxiv.org/pdf/2401.09890.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 316 | 2024,Arxive,article,FPGA,['Transformer'],__no_data__,BETA: Binarized Energy-Efficient Transformer Accelerator at the Edge,https://arxiv.org/abs/2401.11851,https://arxiv.org/abs/2401.11851,https://arxiv.org/abs/2401.11851.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 317 | 2024,Arxive,article,FPGA,['Transformer'],__no_data__,AttentionLego: An Open-Source Building Block For Spatially-Scalable Large Language Model Accelerator With Processing-In-Memory Technology,https://arxiv.org/abs/2401.11459,https://arxiv.org/abs/2401.11459,https://arxiv.org/abs/2401.11459.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 318 | 2024,Arxive,article,FPGA,['Transformer'],__no_data__,SSR: Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff in Transformer Acceleration,https://arxiv.org/abs/2401.10417,https://arxiv.org/abs/2401.10417,https://arxiv.org/abs/2401.10417.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 319 | 2024,Arxiv,article,PIM,['Transformer'],__no_data__,CIM-MLC: A Multi-level Compilation Stack for Computing-In-Memory Accelerators,https://arxiv.org/abs/2401.12428,https://arxiv.org/abs/2401.12428,https://arxiv.org/pdf/2401.12428.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 320 | 2024,Arxiv,article,PIM,['Transformer'],__no_data__,CIM-MLC: A Multi-level Compilation Stack for Computing-In-Memory Accelerators,https://arxiv.org/abs/2401.12428,https://arxiv.org/abs/2401.12428,https://arxiv.org/pdf/2401.12428.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 321 | 2024,IEEE,review,PIM,['Transformer'],__no_data__,The Era of Generative Artificial Intelligence: In-Memory Computing Perspective,https://doi.org/10.1109/IEDM45741.2023.10413786,https://doi.org/10.1109/IEDM45741.2023.10413786,False,False,True,IEDM,International Electron Devices Meeting (IEDM),DEADBEEF 322 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,Hydragen: High-Throughput LLM Inference with Shared Prefixes,https://arxiv.org/abs/2402.05099,https://arxiv.org/abs/2402.05099,https://arxiv.org/pdf/2402.05099.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 323 | 2024,Arxiv,survey,FPGA,['Transformer'],__no_data__,A Survey on Transformer Compression,https://arxiv.org/abs/2402.05964.pdf,https://arxiv.org/abs/2402.05964.pdf,https://arxiv.org/pdf/2402.05964.pdf,False,True,,Computer Science > Machine Learning,DEADBEEF 324 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,SLEB: Streamlining LLMs through Redundancy Verification and Elimination of Transformer Blocks,https://arxiv.org/abs/2402.09025,https://arxiv.org/abs/2402.09025,https://arxiv.org/pdf/2402.09025.pdf,False,True,,,DEADBEEF 325 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,Stochastic Spiking Attention: Accelerating Attention with Stochastic Computing in Spiking Networks,https://arxiv.org/abs/2402.09109,https://arxiv.org/abs/2402.09109,https://arxiv.org/pdf/2402.09109.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 326 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,Reusing Softmax Hardware Unit for GELU Computation in Transformers,https://arxiv.org/abs/2402.10118,https://arxiv.org/abs/2402.10118,https://arxiv.org/abs/2402.10118.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 327 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,ConSmax: Hardware-Friendly Alternative Softmax with Learnable Parameters,https://arxiv.org/abs/2402.10930,https://arxiv.org/abs/2402.10930,https://arxiv.org/pdf/2402.10930.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 328 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,Speculative Streaming: Fast LLM Inference without Auxiliary Models,https://arxiv.org/abs/2402.11131,https://arxiv.org/abs/2402.11131,https://arxiv.org/pdf/2402.11131.pdf,False,True,,Computer Science > Computation and Language,DEADBEEF 329 | 2024,ACM,article,3D,['Transformer'],__no_data__,H3D-Transformer: A Heterogeneous 3D (H3D) Computing Platform for Transformer Model Acceleration on Edge Devices,https://dl.acm.org/doi/10.1145/3649219,https://dl.acm.org/doi/10.1145/3649219,https://dl.acm.org/doi/pdf/10.1145/3649219,False,True,,ACM Transactions on Design Automation of Electronic Systems,DEADBEEF 330 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,NeuPIMs: NPU-PIM Heterogeneous Acceleration for Batched LLM Inferencing,https://arxiv.org/abs/2403.00579,https://arxiv.org/abs/2403.00579,https://arxiv.org/pdf/2403.00579.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 331 | 2024,ACM,article,FPGA,['Transformer'],__no_data__,Cerberus: Triple Mode Acceleration of Sparse Matrix and Vector Multiplication,https://doi.org/10.1145/3653020,https://doi.org/10.1145/365302000579,https://dl.acm.org/doi/pdf/10.1145/3653020,False,True,,Transactions on Architecture and Code Optimization,DEADBEEF 332 | 2024,Arxiv,article,GPU,['Transformer'],__no_data__,DEFA: Efficient Deformable Attention Acceleration via Pruning-Assisted Grid-Sampling and Multi-Scale Parallel Processing,https://arxiv.org/abs/2403.10913,https://arxiv.org/abs/2403.10913,https://arxiv.org/pdf/2403.10913.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 333 | 2024,Arxiv,article,GPU,['Transformer'],__no_data__,FastDecode: High-Throughput GPU-Efficient LLM Serving using Heterogeneous Pipelines,https://arxiv.org/abs/2403.11421,https://arxiv.org/abs/2403.11421,https://arxiv.org/pdf/2403.11421.pdf,False,True,,"Distributed, Parallel, and Cluster Computing",DEADBEEF 334 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,Accelerating ViT Inference on FPGA through Static and Dynamic Pruning,https://arxiv.org/abs/2403.14047,https://arxiv.org/abs/2403.14047,https://arxiv.org/pdf/2403.14047.pdf,False,True,,"Distributed, Parallel, and Cluster Computing",DEADBEEF 335 | 2024,Arxiv,article,PIM,['Transformer'],__no_data__,Allspark: Workload Orchestration for Visual Transformers on Processing In-Memory Systems,https://arxiv.org/abs/2403.15069,https://arxiv.org/abs/2403.15069,https://arxiv.org/pdf/2403.15069.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 336 | 2024,IEEE,article,FPGA,['Transformer'],__no_data__,Impact of High-Level-Synthesis on Reliability of Artificial Neural Network Hardware Accelerators,https://doi.org/10.1109/TNS.2024.3377596,https://doi.org/10.1109/TNS.2024.3377596,https://inria.hal.science/hal-04514579/file/TNS2024_HLS.pdf,False,True,,IEEE Transactions on Nuclear Science,DEADBEEF 337 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,An FPGA-Based Reconfigurable Accelerator for Convolution-Transformer Hybrid EfficientViT,https://arxiv.org/abs/2403.20230,https://arxiv.org/abs/2403.20230,https://arxiv.org/pdf/2403.20230.pdf,False,True,,Computer Science > Hardware Architecture,DEADBEEF 338 | 2024,IEEE,article,FPGA,['Transformer'],__no_data__,TransFRU: Efficient Deployment of Transformers on FPGA with Full Resource Utilization,https://doi.org/10.1109/ASP--DAC58780.2024.10473976,https://doi.org/10.1109/ASP-DAC58780.2024.10473976,False,False,True,ASP-DAC,Asia and South Pacific Design Automation Conference (ASP-DAC),DEADBEEF 339 | 2024,IEEE,article,FPGA,['Transformer'],__no_data__,PRIMATE: Processing in Memory Acceleration for Dynamic Token-pruning Transformers,https://doi.org/10.1109/ASP--DAC58780.2024.10473968,https://doi.org/10.1109/ASP-DAC58780.2024.10473968,False,False,True,ASP-DAC,Asia and South Pacific Design Automation Conference (ASP-DAC),DEADBEEF 340 | 2024,IEEE,article,FPGA,['Transformer'],__no_data__,SWAT: An Efficient Swin Transformer Accelerator Based on FPGA,https://doi.org/10.1109/ASP--DAC58780.2024.10473931,https://doi.org/10.1109/ASP-DAC58780.2024.10473931,False,False,True,ASP-DAC,Asia and South Pacific Design Automation Conference (ASP-DAC),DEADBEEF 341 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,VTR: An Optimized Vision Transformer for SAR ATR Acceleration on FPGA,https://arxiv.org/abs/2404.04527v1,https://arxiv.org/abs/2404.04527v1,https://arxiv.org/pdf/2404.04527v1,False,True,,Computer Science > Computer Vision and Pattern Recognition,DEADBEEF 342 | 2024,Arxiv,article,FPGA,['Transformer'],__no_data__,Workload-Aware Hardware Accelerator Mining for Distributed Deep Learning Training,https://arxiv.org/abs/2404.14632v1,https://arxiv.org/abs/2404.14632v1,https://arxiv.org/pdf/2404.14632v1,False,True,,Computer Science > Hardware Architecture,DEADBEEF 343 | 2024,Arxiv,article,framework|algorithm,"['LLm', 'EDA']",__no_data__,QServe: W4A8KV4 Quantization and System Co-design for Efficient LLM Serving,https://arxiv.org/abs/2405.04532,https://arxiv.org/abs/2405.04532,https://arxiv.org/pdf/2405.04532,True,True,,Computer Science > Computation and Language,DEADBEEF 344 | 2024,ACM,article,PIM,['Transformer'],__no_data__,NeuPIMs: NPU-PIM Heterogeneous Acceleration for Batched LLM Inferencing,https://dl.acm.org/doi/abs/10.1145/3620666.3651380,https://dl.acm.org/doi/abs/10.1145/3620666.3651380,https://dl.acm.org/doi/pdf/10.1145/3620666.3651380,False,True,,International Conference on Architectural Support for Programming Languages and Operating Systems,DEADBEEF 345 | 2024,ACM,article,PIMGPU,['Transformer'],__no_data__,VITA: ViT Acceleration for Efficient 3D Human Mesh Recovery via Hardware-Algorithm Co-Design,False,False,https://www.crcv.ucf.edu/chenchen/2024_DAC_VITA_Final.pdf,True,True,,International Conference on Architectural Support for Programming Languages and Operating Systems,DEADBEEF 346 | 2024,Arxiv,article,FPGA,"['Transformer', 'LLM']",__no_data__,HLSTransform: Energy-Efficient Llama 2 Inference on FPGAs Via High Level Synthesis,https://arxiv.org/abs/2405.00738,https://arxiv.org/abs/2405.00738,https://arxiv.org/pdf/2405.00738,True,True,,Computer Science > Hardware Architecture,DEADBEEF 347 | 2024,Arxiv,article,ACC,['AI'],__no_data__,SCAR: Scheduling Multi-Model AI Workloads on Heterogeneous Multi-Chiplet Module Accelerators,https://arxiv.org/abs/2405.00790,https://arxiv.org/abs/2405.00790,https://arxiv.org/pdf/2405.00790,True,True,,Computer Science > Hardware Architecture,DEADBEEF 348 | 2024,Arxiv,article,ACC,"['VIT', 'Transformer']",__no_data__,Trio-ViT: Post-Training Quantization and Acceleration for Softmax-Free Efficient Vision Transformer,https://arxiv.org/abs/2405.03882,https://arxiv.org/abs/2405.03882,https://arxiv.org/pdf/2405.03882,True,True,,Computer Science > Computer Vision and Pattern Recognition,DEADBEEF 349 | 2024,Arxiv,article,Memory,"['LLm', 'Transformer']",__no_data__,SambaNova SN40L: Scaling the AI Memory Wall with Dataflow and Composition of Experts,https://arxiv.org/abs/2405.07518,https://arxiv.org/abs/2405.07518,https://arxiv.org/pdf/2405.07518,True,True,,Computer Science > Hardware Architecture,DEADBEEF 350 | 2024,IEEE,article,EDA,"['LLm', 'EDA']",__no_data__,TensorMap: A Deep RL-Based Tensor Mapping Framework for Spatial Accelerators,https://doi.ieeecomputersociety.org/10.1109/TC.2024.3398424,https://doi.ieeecomputersociety.org/10.1109/TC.2024.3398424,False,True,True,IEEE Transactions on Computers,TC,DEADBEEF 351 | 2024,MLSys,article,EDA,"['LLm', 'EDA']",__no_data__,JIT-Q: Just-in-time Quantization with Processing-In-Memory for Efficient ML Training,https://arxiv.org/abs/2311.05034,https://arxiv.org/abs/2311.05034,https://proceedings.mlsys.org/paper_files/paper/2024/file/136b9a13861308c8948cd308ccd02658-Paper-Conference.pdf,True,True,Proceedings of Machine Learning and Systems 6 (MLSys 2024),Proceedings of Machine Learning and Systems 6 (MLSys 2024),DEADBEEF 352 | 2024,IEEE,article,algorithm,"['LLm', 'ViT']",__no_data__,DCT-ViT: High-Frequency Pruned Vision Transformer with Discrete Cosine Transform,https://doi.org/10.1109/ACCESS.2024.3410231,https://doi.org/10.1109/ACCESS.2024.3410231,https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=10549904,True,True,Access,IEEE Access,DEADBEEF 353 | 2023,ACM,article,TPU,['LLM'],__no_data__,TPU v4: An Optically Reconfigurable Supercomputer for Machine Learning with Hardware Support for Embeddings,https://doi.org/10.1145/3579371.3589350,https://doi.org/10.1145/3579371.3589350,https://dl.acm.org/doi/pdf/10.1145/3579371.3589350,True,True,ISCA,ACM International Symposium on Computer Architecture,DEADBEEF 354 | 2024,Arxiv,article,framework,"['LLm', 'Transformer']",__no_data__,TransAxx: Efficient Transformers with Approximate Computing,https://arxiv.org/abs/2402.07545,https://arxiv.org/abs/2402.07545,https://arxiv.org/pdf/2402.07545,True,True,,Computer Science > Machine Learning,DEADBEEF 355 | 2023,PMLR,article,platform,"['LLm', 'Transformer']",__no_data__,FlexGen: High-Throughput Generative Inference of Large Language Models with a Single GPU,https://proceedings.mlr.press/v202/sheng23a,https://proceedings.mlr.press/v202/sheng23a,https://proceedings.mlr.press/v202/sheng23a/sheng23a.pdf,True,True,PMLR,Proceedings of Machine Learning Research,DEADBEEF 356 | 2024,Arxiv,article,ASIC,"['LLm', 'Transformer']",__no_data__,ITA: An Energy-Efficient Attention and Softmax Accelerator for Quantized Transformers,https://arxiv.org/abs/2307.03493,https://arxiv.org/abs/2307.03493,https://arxiv.org/pdf/2307.03493,True,True,,Computer Science > Hardware Architecture,DEADBEEF 357 | 2023,Arxiv,article,ASIC,"['LLm', 'Transformer']",__no_data__,ITA: An Energy-Efficient Attention and Softmax Accelerator for Quantized Transformers,https://arxiv.org/abs/2307.03493,https://arxiv.org/abs/2307.03493,https://arxiv.org/pdf/2307.03493,True,True,,Computer Science > Hardware Architecture,DEADBEEF 358 | 2023,Arxiv,article,PIM,"['LLm', 'Transformer']",__no_data__,X-Former: In-Memory Acceleration of Transformers,https://arxiv.org/abs/2303.07470,https://arxiv.org/abs/2303.07470,https://arxiv.org/pdf/2303.07470,True,True,,Computer Science > Machine Learning,DEADBEEF 359 | 2023,Arxiv,article,framework,"['LLm', 'EDA']",__no_data__,GPT4AIGChip: Towards Next-Generation AI Accelerator Design Automation via Large Language Models,https://arxiv.org/abs/2309.10730,https://arxiv.org/abs/2309.10730,https://arxiv.org/pdf/2309.10730,True,True,,Computer Science > Machine Learning,DEADBEEF 360 | 2023,IEEE,article,framework,"['LLm', 'Transformer']",__no_data__,HeatViT: Hardware-Efficient Adaptive Token Pruning for Vision Transformers,https://doi.org/10.1109/HPCA56546.2023.10071047,https://doi.org/10.1109/HPCA56546.2023.10071047,https://arxiv.org/pdf/2211.08110,True,True,HPCA,International Symposium on High-Performance Computer Architecture,DEADBEEF 361 | 2023,IEEE,article,framework,"['LLm', 'Transformer']",__no_data__,ViTCoD: Vision Transformer Acceleration via Dedicated Algorithm and Accelerator Co-Design,https://arxiv.org/abs/2210.09573,https://arxiv.org/abs/2210.09573,https://arxiv.org/pdf/2210.09573,True,True,HPCA,International Symposium on High-Performance Computer Architecture,DEADBEEF 362 | 2023,IEEE,article,platform,"['LLm', 'Transformer']",__no_data__,AccelTran: A Sparsity-Aware Accelerator for Dynamic Inference with Transformers,https://doi.org/10.1109/TCAD.2023.3273992,https://doi.org/10.1109/TCAD.2023.3273992,https://arxiv.org/pdf/2302.14705,True,True,TCAD,Transaction on Computer Aided Design,DEADBEEF 363 | 2024,Arxiv,article,Design,"['VIT', 'Transformer']",__no_data__,CGRA4ML: A Framework to Implement Modern Neural Networks for Scientific Edge Computing,https://arxiv.org/abs/2408.15561,https://arxiv.org/abs/2408.15561,https://arxiv.org/pdf/2408.15561,False,True,,Computer Science > Hardware Architecture,DEADBEEF 364 | 2024,Arxiv,article,Design,"['FPGA', 'Transformer']",__no_data__,ProTEA: Programmable Transformer Encoder Acceleration on FPGA,https://arxiv.org/abs/2409.13975,https://arxiv.org/abs/2409.13975,https://arxiv.org/pdf/2409.13975,False,True,,Computer Science > Hardware Architecture,DEADBEEF 365 | 2024,Arxiv,article,Design,"['FPGA', 'Transformer']",__no_data__,CAT: Customized Transformer Accelerator Framework on Versal ACAP,https://arxiv.org/abs/2409.09689,https://arxiv.org/abs/2409.09689,https://arxiv.org/pdf/2409.09689,False,True,,Computer Science > Hardware Architecture,DEADBEEF 366 | 2024,IEEE,article,Design,"['FPGA', 'LLM']",__no_data__,Co-design of a TinyLLM using Programmable Logic and Software on an FPGA,https://doi.org/10.1109/MWSCAS60917.2024.10658754,https://doi.org/10.1109/MWSCAS60917.2024.10658754,False,False,True,, International Midwest Symposium on Circuits and Systems (MWSCAS),DEADBEEF 367 | 2024,IEEE,article,Accelerator,"['GEMM', 'Transformer']",__no_data__,BitShare: An Efficient Precision-Scalable Accelerator with Combining-Like-Terms GEMM,RESEARCH_GATE,https://www.researchgate.net/publication/381370829_BitShare_An_Efficient_Precision-Scalable_Accelerator_with_Combining-Like-Terms_GEMM,https://www.researchgate.net/profile/Junzhong-Shen/publication/381370829_BitShare_An_Efficient_Precision-Scalable_Accelerator_with_Combining-Like-Terms_GEMM/links/666a46cba54c5f0b94613261/BitShare-An-Efficient-Precision-Scalable-Accelerator-with-Combining-Like-Terms-GEMM.pdf,True,True,ASAP,"IEEE Conference Application-Specific Systems, Architectures, and Processors",DEADBEEF 368 | 2023,?,article,algoritm,"['LLm', 'Transformer']",__no_data__,Streaming Tensor Programs: A Programming Abstraction for Streaming Dataflow Accelerators,NO_DATA,False,https://cgyurgyik.github.io/files/pubs/step-yarch.pdf,True,True,?,?,DEADBEEF 369 | 2024,IEEE,article,algoritm,"['LLm', 'Transformer']",__no_data__,SDA: Low-Bit Stable Diffusion Acceleration on Edge FPGA,NO_DATA,https://github.com/Michaela1224/SDA_code,https://www.sfu.ca/~zhenman/files/C41-FPL2024-SDA.pdf,True,True,?,?,DEADBEEF 370 | 2024,IEEE,article,algoritm,"['LLm', 'Transformer']",__no_data__,Hardware Accelerator for MobileViT Vision Transformer with Reconfigurable Computation,https://doi.org/10.1109/ISCAS58744.2024.10558190,https://doi.org/10.1109/ISCAS58744.2024.10558190,False,True,True,ISCAS,IEEE International Symposium on Circuits and Systems,DEADBEEF 371 | 2024,IEEE,article,algoritm,"['LLm', 'Transformer']",__no_data__,In-Memory Transformer Self-Attention Mechanism Using Passive Memristor Crossbar,https://doi.org/10.1109/ISCAS58744.2024.10558182,https://doi.org/10.1109/ISCAS58744.2024.10558182,False,False,True,ISCAS,IEEE International Symposium on Circuits and Systems,DEADBEEF 372 | 2024,IEEE,article,algoritm,"['LLm', 'Transformer']",__no_data__,A 3.55 mJ/frame Energy-efficient Mixed-Transformer based Semantic Segmentation Accelerator for Mobile Devices,https://doi.org/10.1109/ISCAS58744.2024.10558649,https://doi.org/10.1109/ISCAS58744.2024.10558649,False,False,True,ISCAS,IEEE International Symposium on Circuits and Systems,DEADBEEF 373 | 2024,IEEE,article,platform,"['LLm', 'Transformer']",__no_data__,FLAG: Formula-LLM-Based Auto-Generator for Baseband Hardware,https://doi.org/10.1109/ISCAS58744.2024.10558482,https://doi.org/10.1109/ISCAS58744.2024.10558482,False,False,True,ISCAS,IEEE International Symposium on Circuits and Systems,DEADBEEF 374 | 2024,IEEE,article,platform,"['LLm', 'Transformer']",__no_data__,CV-CIM: A Hybrid Domain Xor-Derived Similarity-Aware Computation-in-Memory Supporting Cost–Volume Construction,https://doi.org/10.1109/JSSC.2024.3421589,https://doi.org/10.1109/JSSC.2024.3421589,False,False,True,ISCAS,IEEE Journal of Solid-State Circuits,DEADBEEF 375 | 2024,USENIX,article,platform,"['LLm', 'Transformer']",__no_data__,LPU: A Latency-Optimized and Highly Scalable Processor for Large Language Model Inference,https://www.usenix.org/conference/osdi24/presentation/zhuang,https://www.usenix.org/conference/osdi24/presentation/zhuang,https://www.usenix.org/system/files/osdi24-zhuang.pdf,False,True,UOSDI,USENIX Symposium on Operating Systems Design and Implementation,DEADBEEF 376 | 2024,Arxiv,article,AMS,"['Analog', 'Transformer']",__no_data__,ARTEMIS: A Mixed Analog-Stochastic In-DRAM Accelerator for Transformer Neural Networks,https://arxiv.org/abs/2407.12638,https://arxiv.org/abs/2407.12638,https://arxiv.org/pdf/2407.12638,False,True,,Computer Science > Hardware Architecture,DEADBEEF 377 | 2024,Arxiv,article,platform,"['Codesign', 'Transformer']",__no_data__,CHOSEN: Compilation to Hardware Optimization Stack for Efficient Vision Transformer Inference,https://arxiv.org/abs/2407.12736,https://arxiv.org/abs/2407.12736,https://arxiv.org/pdf/2407.12736,False,True,,Computer Science > Computer Vision and Pattern Recognition,DEADBEEF 378 | 2024,Arxiv,article,platform,"['', 'Transformer']",__no_data__,Co-Designing Binarized Transformer and Hardware Accelerator for Efficient End-to-End Edge Deployment,https://arxiv.org/abs/2407.12070,https://arxiv.org/abs/2407.12070,https://arxiv.org/pdf/2407.12070,False,True,,Computer Science > Machine Learning,DEADBEEF 379 | 2024,IEEE,article,Design,"['DSA', 'LLm', 'Transformer']",__no_data__,SPSA: Exploring Sparse-Packing Computation on Systolic Arrays From Scratch,https://doi.org/10.1109/TCAD.2024.3434359,https://doi.org/10.1109/TCAD.2024.3434359,False,False,True,TCAD,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (,DEADBEEF 380 | 2024,IEEE,article,Design,"['DSA', 'LLm', 'Transformer']",__no_data__,SPSA: Exploring Sparse-Packing Computation on Systolic Arrays From Scratch,https://doi.org/10.1109/TCAD.2024.3434447,https://doi.org/10.1109/TCAD.2024.3434447,False,False,True,TCAD,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (,DEADBEEF 381 | 2024,IEEE,article,Design,"['DSA', 'LLm', 'Transformer']",__no_data__,MECLA: Memory-Compute-Efficient LLM Accelerator with Scaling Sub-matrix Partition,https://doi.org/10.1109/ISCA59077.2024.00079,https://doi.org/10.1109/ISCA59077.2024.00079,False,False,True,ISCA,ACM/IEEE 51st Annual International Symposium on Computer Architecture,DEADBEEF 382 | 2024,IEEE,article,Design,"['DSA', 'LLm', 'Transformer']",__no_data__,TCP: A Tensor Contraction Processor for AI Workloads Industrial Product,https://doi.org/10.1109/ISCA59077.2024.00069,https://doi.org/10.1109/ISCA59077.2024.00069,False,False,True,ISCA,ACM/IEEE 51st Annual International Symposium on Computer Architecture,DEADBEEF 383 | 2024,IEEE,article,Design,"['VIT', 'Transformer']",__no_data__,A 109-GOPs/W FPGA-based Vision Transformer Accelerator with Weight-Loop Dataflow Featuring Data Reusing and Resource Saving,https://doi.org/10.1109/TCSVT.2024.3439600,https://doi.org/10.1109/TCSVT.2024.3439600,False,False,True,,IEEE Transactions on Circuits and Systems for Video Technology ( Early Access ),DEADBEEF 384 | 2024,IEEE,article,Design,"['VIT', 'Transformer']",__no_data__,Klotski v2: Improved DNN Model Orchestration Framework for Dataflow Architecture Accelerators,https://doi.org/10.1109/TCAD.2024.3446858,https://doi.org/10.1109/TCAD.2024.3446858,False,False,True,,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,DEADBEEF 385 | 2024,Springer,article,Design,"['VIT', 'Transformer']",__no_data__,Quartet: A Holistic Hybrid Parallel Framework for Training Large Language Models,https://doi.org/10.1007/978--3--031--69766--1_29,https://doi.org/10.1007/978-3-031-69766-1_29,False,False,True,,European Conference on Parallel and Distributed Processing,DEADBEEF 386 | 2024,Springer,article,Design,"['VIT', 'Transformer']",__no_data__,Inference with Transformer Encoders on ARM and RISC-V Multicore Processors,https://doi.org/10.1007/978--3--031--69766--1_26,https://doi.org/10.1007/978-3-031-69766-1_26,False,False,True,,European Conference on Parallel and Distributed Processing,DEADBEEF 387 | 2024,ACM,article,Design,"['VIT', 'Transformer']",__no_data__,Mentor: A Memory-Eficient Sparse-dense Matrix Multiplication Accelerator Based on Column-Wise Product,https://dl.acm.org/doi/pdf/10.1145/3688612,https://dl.acm.org/doi/pdf/10.1145/3688612,False,False,True,,ACM Transactions on Architecture and Code Optimization,DEADBEEF 388 | 2024,IEEE,article,Design,"['VIT', 'Transformer']",__no_data__,Cost-Effective LLM Accelerator Using Processing in Memory Technology,https://doi.org/10.1109/VLSITechnologyandCir46783.2024.10631397,https://doi.org/10.1109/VLSITechnologyandCir46783.2024.10631397,False,False,True,,IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),DEADBEEF 389 | 2024,IEEE,article,Design,"['VIT', 'Transformer']",__no_data__,"A 28nm 4.35TOPS/mm2 Transformer Accelerator with Basis-vector Based Ultra Storage Compression, Decomposed Computation and Unified LUT-Assisted Cores",https://doi.org/10.1109/VLSITechnologyandCir46783.2024.10631311,https://doi.org/10.1109/VLSITechnologyandCir46783.2024.10631311,False,False,True,,IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),DEADBEEF 390 | 2024,ACM,article,Design,"['VIT', 'Transformer']",__no_data__,FPGA-Based Sparse Matrix Multiplication Accelerators: From State-of-the-art to Future Opportunities,https://doi.org/10.1145/3687480,https://doi.org/10.1145/3687480,https://dl.acm.org/doi/pdf/10.1145/3687480,False,True,,ACM Transactions on Reconfigurable Technology and Systems,DEADBEEF 391 | 2024,IEEE,article,platform,"['LLm', 'Transformer']",__no_data__,LPU: A Latency-Optimized and Highly Scalable Processor for Large Language Model Inference,https://doi.ieeecomputersociety.org/10.1109/MM.2024.3420728,https://doi.ieeecomputersociety.org/10.1109/MM.2024.3420728,False,False,True,ISCAS,IEEE Journal of Solid-State Circuits,DEADBEEF 392 | 2024,ACM,article,Design,"['FPGA', 'LLM']",__no_data__,Efficient Transformer Acceleration via Reconfiguration for Encoder and Decoder Models and Sparsity-Aware Algorithm Mapping,https://doi.org/10.1145/3665314.3670798,https://doi.org/10.1145/3665314.3670798,https://dl.acm.org/doi/pdf/10.1145/3665314.3670798,False,True,,ACM/IEEE International Symposium on Low Power Electronics and Design,DEADBEEF 393 | 2024,ACM,article,Design,"['DSA', 'ViT']",__no_data__,VisionAGILE: A Versatile Domain-Specific Accelerator for Computer Vision Tasks,https://doi.ieeecomputersociety.org/10.1109/TPDS.2024.3466891,https://doi.ieeecomputersociety.org/10.1109/TPDS.2024.3466891,False,False,True,,IEEE Transactions on Parallel and Distributed Systems,DEADBEEF 394 | 2024,Arxive,article,Design,"['Chiplet', 'LLM']",__no_data__,Cambricon-LLM: A Chiplet-Based Hybrid Architecture for On-Device Inference of 70B LLM,https://arxiv.org/abs/2409.15654,https://arxiv.org/abs/2409.15654,https://arxiv.org/pdf/2409.15654,False,True,,Computer Science > Hardware Architecture,DEADBEEF 395 | 2024,Arxive,article,Design,"['FPGA', 'LLM']",__no_data__,FAMOUS: Flexible Accelerator for the Attention Mechanism of Transformer on UltraScale+ FPGAs,https://arxiv.org/abs/2409.14023,https://arxiv.org/abs/2409.14023,https://arxiv.org/pdf/2409.14023,False,True,,Computer Science > Hardware Architecture,DEADBEEF 396 | 2024,Arxive,article,Design,"['ASIC', 'LLM']",__no_data__,Hardware-oriented algorithms for softmax and layer normalization of large language models,https://doi.org/10.1007/s11432--024--4137--4,https://doi.org/10.1007/s11432-024-4137-4,https://arxiv.org/pdf/2409.14023,False,True,SciEngine,SCIENCE CHINA Information Sciences,DEADBEEF 397 | 2024,Arxive,article,Design,"['FPGA', 'LLM']",__no_data__,Optimizing DNN Inference on Multi-Accelerator SoCs at Training-time,https://arxiv.org/abs/2409.18566,https://arxiv.org/abs/2409.18566,https://arxiv.org/pdf/2409.18566,False,True,,Computer Science > Machine Learning,DEADBEEF 398 | 2024,Arxive,article,Design,"['FPGA', 'LLM']",__no_data__,Optimizing DNN Inference on Multi-Accelerator SoCs at Training-time,https://arxiv.org/abs/2409.18566,https://arxiv.org/abs/2409.18566,https://arxiv.org/pdf/2409.18566,False,True,,Computer Science > Machine Learning,DEADBEEF 399 | 2024,IEEE,article,Design,"['GPU', 'LLM']",__no_data__,DSTC: Dual-Side Sparse Tensor Core for DNNs Acceleration on Modern GPU Architectures,https://doi.ieeecomputersociety.org/10.1109/TC.2024.3475814,https://doi.ieeecomputersociety.org/10.1109/TC.2024.3475814,False,False,True,,IEEE Transactions on Computers,DEADBEEF 400 | 2024,IEEE,article,Design,"['DSA', 'ViT']",__no_data__,Power Efficient ASIC Design for Vision Transformer using Systolic Array Matrix Multiplier,https://doi.org/10.1109/VDAT63601.2024.10705728,https://doi.org/10.1109/VDAT63601.2024.10705728,False,False,True,VDAT,International Symposium on VLSI Design and Test,DEADBEEF 401 | 2024,Arxive,article,Design,"['FPGA', 'LLM']",__no_data__,M^2-ViT: Accelerating Hybrid Vision Transformers with Two-Level Mixed Quantization,https://arxiv.org/abs/2410.09113,https://arxiv.org/abs/2410.09113,https://arxiv.org/pdf/2410.09113,False,True,,Computer Science > Hardware Architecture,DEADBEEF 402 | 2024,ACM,article,Design,"['GPU', 'LLM']",__no_data__,A Cascaded ReRAM-based Crossbar Architecture for Transformer Neural Network Acceleration,https://doi.org/10.1145/3701034,https://doi.org/10.1145/3701034,https://dl.acm.org/doi/pdf/10.1145/3701034,False,True,,ACM Transactions on Design Automation of Electronic Systems,DEADBEEF 403 | 2024,IEEE,article,Design,"['GPU', 'LLM']",__no_data__,OPASCA: Outer Product Based Accelerator With Unified Architecture for Sparse Convolution and Attention,https://doi.org/10.1109/TCAD.2024.3483092,https://doi.org/10.1109/TCAD.2024.3483092,False,False,True,,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,DEADBEEF 404 | 2024,IEEE,article,Design,"['Algorithm', 'LLM']",__no_data__,HotaQ: Hardware Oriented Token Adaptive Quantization for Large Language Models,https://doi.org/10.1109/TCAD.2024.3487781,https://doi.org/10.1109/TCAD.2024.3487781,False,False,True,,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,DEADBEEF 405 | 2024,IEEE,article,Design,"['RISC-V', 'LLM']",__no_data__,Analysis Towards Deployment and Acceleration for ViT on a Lightweight RISC- V Processor,https://doi.org/10.1109/IAI63275.2024.10730301,https://doi.org/10.1109/IAI63275.2024.10730301,False,False,True,,International Conference on Industrial Artificial Intelligence (IAI) ,DEADBEEF 406 | 2024,IEEE,article,Design,"['Algorithm', 'LLM']",__no_data__,Improving Transformer Inference Through Optimized Non-Linear Operations With Quantization-Approximation-Based Strategy,https://doi.org/10.1109/TCAD.2024.3488572,https://doi.org/10.1109/TCAD.2024.3488572,False,False,True,,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,DEADBEEF 407 | 2024,IEEE,article,Design,"['DSA', 'LLM']",__no_data__,HyCTor: A Hybrid CNN-Transformer Network Accelerator With Flexible Weight/Output Stationary Dataflow and Multi-Core Extension,https://doi.org/10.1109/TCAD.2024.3490173,https://doi.org/10.1109/TCAD.2024.3490173,False,False,True,,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,DEADBEEF 408 | 2024,Arxive,article,Design,"['FPGA', 'LLM']",__no_data__,Shrinking the Giant : Quasi-Weightless Transformers for Low Energy Inference,https://arxiv.org/abs/2411.01818,https://arxiv.org/abs/2411.01818,https://arxiv.org/pdf/2411.01818,False,True,,Computer Science > Machine Learning,DEADBEEF 409 | 2024,Arxive,article,Design,"['FPGA', 'LLM']",__no_data__,Multilayer Dataflow based Butterfly Sparsity Orchestration to Accelerate Attention Workloads,https://arxiv.org/abs/2411.00734,https://arxiv.org/abs/2411.00734,https://arxiv.org/pdf/2411.00734,False,True,,Computer Science > Hardware Architecture,DEADBEEF 410 | 2024,Arxive,article,Design,"['FPGA', 'LLM']",__no_data__,TATAA: Programmable Mixed-Precision Transformer Acceleration with a Transformable Arithmetic Architecture,https://arxiv.org/abs/2411.03697,https://arxiv.org/abs/2411.03697,https://arxiv.org/pdf/2411.03697,False,True,,Computer Science > Hardware Architecture,DEADBEEF 411 | 2024,IEEE,article,Design,"['DSA', 'LLM']",__no_data__,Exploring Approximation and Dataflow Co-Optimization for Scalable Transformer Inference Architecture on the Edge,https://doi.org/10.1109/SOCC62300.2024.10737793,https://doi.org/10.1109/SOCC62300.2024.10737793,False,False,True,SOCC,International System-on-Chip Conference,DEADBEEF 412 | 2024,IEEE,article,Design,"['FPGA', 'ViT']",__no_data__,Vision Transformer Inference on a CNN Accelerator,https://doi.org/10.1109/ICCD63220.2024.00101,https://doi.org/10.1109/ICCD63220.2024.00101,False,False,True,ICCD,IEEE 42nd International Conference on Computer Design,DEADBEEF 413 | 2024,Arxive,article,Design,"['FPGA', 'LLM']",__no_data__,Addressing Architectural Obstacles for Overlay with Stream Network Abstraction,https://arxiv.org/abs/2411.17966,https://arxiv.org/abs/2411.17966,https://arxiv.org/pdf/2411.17966,False,True,,Computer Science > Hardware Architecture,DEADBEEF 414 | 2024,Arxive,article,Design,"['FPGA', 'LLM']",__no_data__,A Runtime-Adaptive Transformer Neural Network Accelerator on FPGAs,https://arxiv.org/abs/2411.18148,https://arxiv.org/abs/2411.18148,https://arxiv.org/pdf/2411.18148,False,True,,Computer Science > Hardware Architecture,DEADBEEF 415 | 2024,Arxive,article,Design,"['FPGA', 'LLM']",__no_data__,A Dataflow Compiler for Efficient LLM Inference using Custom Microscaling Formats,https://arxiv.org/abs/2307.15517,https://arxiv.org/abs/2307.15517,https://arxiv.org/pdf/2307.15517,False,True,,Computer Science > Hardware Architecture,DEADBEEF 416 | 2024,IEEE,article,Design,"['DSA', 'LLM']",__no_data__,MR-Transformer: FPGA Accelerated Deep Learning Attention Model for Modulation Recognition,https://doi.org/10.1109/TWC.2024.3506743,https://doi.org/10.1109/TWC.2024.3506743,False,False,True,SOCC,IEEE Transactions on Wireless Communications,DEADBEEF 417 | 2024,ACM,article,Design,"['PIM', 'LLM']",__no_data__,ISOAcc: In-situ Shift Operation-based Accelerator For Efficient in-SRAM Multiplication,https://doi.org/10.1145/3707205,https://doi.org/10.1145/3707205,False,False,True,,ACM Transactions on Design Automation of Electronic Systems,DEADBEEF 418 | 2024,ACM,article,Design,"['PIM', 'LLM']",__no_data__,VGA: Hardware Accelerator for Scalable Long Sequence Model Inference,https://doi.ieeecomputersociety.org/10.1109/MICRO61859.2024.00106,https://doi.ieeecomputersociety.org/10.1109/MICRO61859.2024.00106,False,False,True,MICRO,IEEE/ACM International Symposium on Microarchitecture,DEADBEEF 419 | 2024,ACM,article,Design,"['FPGA', 'ViT']",__no_data__,Hardware Accelerated Vision Transformer via Heterogeneous Architecture Design and Adaptive Dataflow Mapping,https://doi.ieeecomputersociety.org/10.1109/TC.2024.3517751,https://doi.ieeecomputersociety.org/10.1109/TC.2024.3517751,False,False,True,TC,IEEE Transactions on Computers,DEADBEEF 420 | 2024,Arxiv,article,Design,"['PIM', 'GPT']",__no_data__,IMTP: Search-based Code Generation for In-memory Tensor Programs,https://arxiv.org/abs/2412.19630,https://arxiv.org/abs/2412.19630,https://arxiv.org/pdf/2412.19630,False,True,HA,Computer Science > Hardware Architecture,DEADBEEF 421 | 2024,Arxiv,article,Design,"['GPGPU', 'LLM']",__no_data__,Debunking the CUDA Myth Towards GPU-based AI Systems,https://arxiv.org/abs/2501.00210,https://arxiv.org/abs/2501.00210,https://arxiv.org/pdf/2501.00210,False,True,HA,"Computer Science > Distributed, Parallel, and Cluster Computing",DEADBEEF 422 | 2025,Arxiv,article,Design,"['CPU', 'LLM']",__no_data__,MixGCN: Scalable GCN Training by Mixture of Parallelism and Mixture of Accelerators,https://arxiv.org/abs/2501.01951,https://arxiv.org/abs/2501.01951,https://arxiv.org/pdf/2501.01951,False,True,,Computer Science > Machine Learning,DEADBEEF 423 | 2025,Arxiv,article,Design,"['FPGA', 'LLM']",__no_data__,Ultra Memory-Efficient On-FPGA Training of Transformers via Tensor-Compressed Optimization,https://arxiv.org/abs/2501.06663,https://arxiv.org/abs/2501.06663,https://arxiv.org/pdf/2501.06663,False,True,,Computer Science > Machine Learning,DEADBEEF 424 | 2025,Arxiv,article,Design,"['FPGA', 'LLM']",__no_data__,An Efficient Sparse Hardware Accelerator for Spike-Driven Transformer,https://arxiv.org/abs/2501.07825,https://arxiv.org/abs/2501.07825,https://arxiv.org/pdf/2501.07825,False,True,,Computer Science > Hardware Architecture,DEADBEEF 425 | 2025,IEEE,article,Design,"['FPGA', 'LLM']",__no_data__,MPTorch-FPGA: a Custom Mixed-Precision Framework for FPGA-based DNN Training,https://hal.science/hal--04882989v2,https://hal.science/hal-04882989v2,https://hal.science/hal-04882989v2,False,True,DATE,"EEE/ACM Design, Automation and Test in Europe",DEADBEEF 426 | 2025,Arxiv,article,Design,"['FPGA', 'LLM']",__no_data__,Atleus: Accelerating Transformers on the Edge Enabled by 3D Heterogeneous Manycore Architectures,https://arxiv.org/abs/2501.09588,https://arxiv.org/abs/2501.09588,https://arxiv.org/pdf/2501.09588,False,True,,Computer Science > Hardware Architecture,DEADBEEF 427 | 2025,Arxiv,article,Design,"['FPGA', 'LLM']",__no_data__,Stream-HLS: Towards Automatic Dataflow Acceleration,https://arxiv.org/abs/2501.09118,https://arxiv.org/abs/2501.09118,https://arxiv.org/pdf/2501.09118,False,True,,Computer Science > Hardware Architecture,DEADBEEF 428 | 2025,IEEE,article,Design,"['FPGA', 'LLM']",__no_data__,An Efficient Window-Based Vision Transformer Accelerator via Mixed-Granularity Sparsity,https://doi.org/10.1109/TCSI.2025.3527541,https://doi.org/10.1109/TCSI.2025.3527541,False,False,True,,IEEE Transactions on Circuits and Systems I: Regular Papers,DEADBEEF 429 | 2025,IEEE,article,Design,"['FPGA', 'LLM']",__no_data__,Hardware Accelerator for Bidirectional Encoder Representations from Transformers (BERT),https://doi.org/10.1109/ICM63406.2024.10815822,https://doi.org/10.1109/ICM63406.2024.10815822,False,False,True,,IEEE International Conference on Microelectronics (ICM),DEADBEEF 430 | 2025,IEEE,article,Design,"['FPGA', 'LLM']",__no_data__,Fine-Grained Structured Sparse Computing for FPGA-Based AI Inference,https://doi.org/10.1109/TCAD.2024.3524356,https://doi.org/10.1109/TCAD.2024.3524356,False,False,True,,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,DEADBEEF 431 | 2024,IEEE,article,Design,"['FPGA', 'LLM']",__no_data__,A Fully-Integrated Energy-Scalable Transformer Accelerator for Language Understanding on Edge Devices,https://doi.org/10.1109/OJSSCS.2024.3524359,https://doi.org/10.1109/OJSSCS.2024.3524359,False,False,True,,IEEE Open Journal of the Solid-State Circuits Society,DEADBEEF 432 | 2024,RoyalSociety,article,Design,"['FPGA', 'LLM']",__no_data__,AxLaM: energy-efficient accelerator design for language models for edge computing,https://doi.org/10.1098/rsta.2023.0395,https://doi.org/10.1098/rsta.2023.0395,https://doi.org/10.1098/rsta.2023.0395,False,True,,Emerging technologies for future secure computing platforms,DEADBEEF 433 | 2025,HAL,article,Design,"['FPGA', 'LLM']",__no_data__,An ultra-low-power CGRA for accelerating Transformers at the edge,https://hal.science/hal--04914400v1,https://hal.science/hal-04914400v1,https://hal.science/hal-04914400v1,False,True,DATE,HAL open science,DEADBEEF 434 | 2025,Arxiv,article,Design,"['DLA', 'LLM']",__no_data__,A Tensor-Train Decomposition based Compression of LLMs on Group Vector Systolic Accelerator,https://arxiv.org/abs/2501.19135,https://arxiv.org/abs/2501.19135,https://arxiv.org/pdf/2501.19135,False,True,,Computer Science > Hardware Architecture,DEADBEEF 435 | 2025,Arxiv,article,Design,"['PIM', 'LLM']",__no_data__,Towards Efficient LUT-based PIM: A Scalable and Low-Power Approach for Modern Workloads,https://arxiv.org/abs/2502.02142,https://arxiv.org/abs/2502.02142,https://arxiv.org/pdf/2502.02142,False,True,,Computer Science > Hardware Architecture,DEADBEEF 436 | 2025,Arxiv,article,Design,"['DLA', 'LLM']",__no_data__,Systolic Sparse Tensor Slices: FPGA Building Blocks for Sparse and Dense AI Acceleration,https://arxiv.org/abs/2502.03763,https://arxiv.org/abs/2502.03763,https://arxiv.org/pdf/2502.03763,False,True,,Computer Science > Hardware Architecture,DEADBEEF 437 | 2025,Arxiv,article,Design,"['Optoc', 'LLM']",__no_data__,Hybrid Photonic-digital Accelerator for Attention Mechanism,https://arxiv.org/abs/2501.11286,https://arxiv.org/abs/2501.11286,https://arxiv.org/pdf/2501.11286,False,True,,Computer Science > Hardware Architecture,DEADBEEF 438 | 2025,Arxiv,article,Design,"['SoC', 'LLM']",__no_data__,HeteroLLM: Accelerating Large Language Model Inference on Mobile SoCs platform with Heterogeneous AI Accelerators,https://arxiv.org/abs/2501.14794,https://arxiv.org/abs/2501.14794,https://arxiv.org/pdf/2501.14794,False,True,,Computer Science > Hardware Architecture,DEADBEEF 439 | 2025,Elsevier,article,Design,"['SoC', 'ViT']",__no_data__,DRViT: A dynamic redundancy-aware vision transformer accelerator via algorithm and architecture co-design on FPGA,https://doi.org/10.1016/j.jpdc.2025.105042,https://doi.org/10.1016/j.jpdc.2025.105042,False,False,True,,Journal of Parallel and Distributed Computing,DEADBEEF 440 | 2025,Arxiv,article,Design,"['DSA', 'Transformer']",__no_data__,StreamDCIM: A Tile-based Streaming Digital CIM Accelerator with Mixed-stationary Cross-forwarding Dataflow for Multimodal Transformer,https://arxiv.org/abs/2502.05798,https://arxiv.org/abs/2502.05798,https://arxiv.org/pdf/2502.05798,False,True,,Computer Science > Hardware Architecture,DEADBEEF 441 | 2025,Arxiv,article,Design,"['DSA', 'LLM']",__no_data__,StreamDCIM: A Tile-based Streaming Digital CIM Accelerator with Mixed-stationary Cross-forwarding Dataflow for Multimodal Transformer,https://arxiv.org/abs/2502.04563,https://arxiv.org/abs/2502.04563,https://arxiv.org/pdf/2502.04563,False,True,,Computer Science > Machine Learning,DEADBEEF 442 | 2025,Arxiv,article,Design,"['DSA', 'LLM']",__no_data__,Data-aware Dynamic Execution of Irregular Workloads on Heterogeneous Systems,https://arxiv.org/abs/2502.06304,https://arxiv.org/abs/2502.06304,https://arxiv.org/pdf/2502.06304,False,True,,Computer Science > Machine Learning,DEADBEEF 443 | 2025,Arxiv,article,Design,"['FPGA', 'VLM']",__no_data__,UbiMoE: A Ubiquitous Mixture-of-Experts Vision Transformer Accelerator With Hybrid Computation Pattern on FPGA,https://arxiv.org/abs/2502.05602,https://arxiv.org/abs/2502.05602,https://arxiv.org/pdf/2502.05602,False,True,,Computer Science > Hardware Architecture,DEADBEEF 444 | --------------------------------------------------------------------------------