├── .gitignore ├── LICENSE ├── README.md ├── cores ├── pipibibs │ ├── .gitignore │ ├── LICENSE │ ├── build_id.v │ ├── c5_pin_model_dump.txt │ ├── cfgstr │ │ ├── cfgstr_pipibibs.hex │ │ ├── cfgstr_pipibibs.txt │ │ └── cfgstr_pipibibs_smb.txt │ ├── font0.hex │ ├── game.qip │ ├── hdl │ │ ├── pipibibs.def │ │ ├── pipibibs.qip │ │ ├── pipibibs_clock.v │ │ ├── pipibibs_cpu.v │ │ ├── pipibibs_game.v │ │ ├── pipibibs_sdram.v │ │ └── pipibibs_sound.v │ ├── jt6295_up4.hex │ ├── jt6295_up4_soft.hex │ ├── modules │ │ ├── fx68k │ │ │ ├── LICENSE │ │ │ ├── README.md │ │ │ ├── altera_regs.v │ │ │ ├── fx68k.sv │ │ │ ├── fx68k.txt │ │ │ ├── fx68kAlu.sv │ │ │ ├── microrom.mem │ │ │ ├── nanorom.mem │ │ │ └── uaddrPla.sv │ │ ├── jtframe │ │ │ ├── .github │ │ │ │ └── FUNDING.yml │ │ │ ├── .gitignore │ │ │ ├── .gitmodules │ │ │ ├── LICENSE │ │ │ ├── README.md │ │ │ ├── asm │ │ │ │ └── z80 │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── TinyBasic_2.0g.asm │ │ │ │ │ ├── jtTinyBasic.asm │ │ │ │ │ └── tiny_basic_instructions.pdf │ │ │ ├── bin │ │ │ │ ├── avatar.py │ │ │ │ ├── bin2m │ │ │ │ ├── bin2png.py │ │ │ │ ├── conv.m │ │ │ │ ├── dump.m │ │ │ │ ├── font0.pf │ │ │ │ ├── git-prompt.sh │ │ │ │ ├── jtblaster │ │ │ │ ├── jtcfgstr │ │ │ │ ├── jtcfgstr.go │ │ │ │ ├── jtcore │ │ │ │ ├── jtdef.go │ │ │ │ ├── jtfiles.go │ │ │ │ ├── jtioreg.awk │ │ │ │ ├── jtsdram │ │ │ │ ├── logo128.png │ │ │ │ ├── lut2hex │ │ │ │ ├── msg2hex │ │ │ │ ├── post-commit │ │ │ │ ├── pre-commit │ │ │ │ ├── rmdup.sh │ │ │ │ └── setprj.sh │ │ │ ├── cc │ │ │ │ ├── Makefile │ │ │ │ ├── bin2m.cc │ │ │ │ ├── lut2hex.cc │ │ │ │ └── msg2hex.cc │ │ │ ├── doc │ │ │ │ ├── 93c06.pdf │ │ │ │ ├── HD63701 │ │ │ │ │ ├── HD63701V0.pdf │ │ │ │ │ └── HD63701Y0.pdf │ │ │ │ ├── T48 Integration Manual.pdf │ │ │ │ ├── UPD4701AC-NEC.pdf │ │ │ │ ├── audio.md │ │ │ │ ├── cheat-tutorial.md │ │ │ │ ├── cheat.md │ │ │ │ ├── cheat.png │ │ │ │ ├── cheat │ │ │ │ │ ├── cheatasm │ │ │ │ │ ├── cheatzip │ │ │ │ │ ├── ecofghtr.s │ │ │ │ │ ├── ghouls.s │ │ │ │ │ ├── led.s │ │ │ │ │ └── sf2hf.s │ │ │ │ ├── clocks.md │ │ │ │ ├── compilation.md │ │ │ │ ├── cpus.md │ │ │ │ ├── credits.md │ │ │ │ ├── debug.md │ │ │ │ ├── inputs.md │ │ │ │ ├── ip.md │ │ │ │ ├── keycodes.png │ │ │ │ ├── macros.md │ │ │ │ ├── mc8051 │ │ │ │ │ ├── comp_orig_ipcore.pdf │ │ │ │ │ ├── mc8051_overview.pdf │ │ │ │ │ └── mc8051_user_guide.pdf │ │ │ │ ├── mist.md │ │ │ │ ├── mist │ │ │ │ │ ├── 256Mb_sdr.pdf │ │ │ │ │ ├── cyc3_ciii51001.pdf │ │ │ │ │ ├── cyc3_ciii51004.pdf │ │ │ │ │ └── mist13_schematic.pdf │ │ │ │ ├── mister │ │ │ │ │ ├── cyclonev_io_timing_13_1.xls │ │ │ │ │ ├── mister_adc.pdf │ │ │ │ │ ├── mister_sdram.pdf │ │ │ │ │ └── mister_sdram_32.pdf │ │ │ │ ├── osd.md │ │ │ │ ├── sdram.md │ │ │ │ ├── sdram_adv.png │ │ │ │ ├── sdram_dly.png │ │ │ │ ├── sdram_timing.ods │ │ │ │ ├── sidi │ │ │ │ │ └── cyiv-51001.pdf │ │ │ │ ├── sim.md │ │ │ │ ├── uart.jpg │ │ │ │ └── video.md │ │ │ ├── hdl │ │ │ │ ├── cheat │ │ │ │ │ ├── jtframe_cheat.v │ │ │ │ │ └── jtframe_cheat_rom.v │ │ │ │ ├── clocking │ │ │ │ │ ├── fast_pll.f │ │ │ │ │ ├── fast_pll.v │ │ │ │ │ ├── jtframe_cen24.v │ │ │ │ │ ├── jtframe_cen48.v │ │ │ │ │ ├── jtframe_cen96.v │ │ │ │ │ ├── jtframe_cencross_strobe.v │ │ │ │ │ ├── jtframe_cendiv.v │ │ │ │ │ ├── jtframe_crossclk_cen.v │ │ │ │ │ ├── jtframe_frac_cen.v │ │ │ │ │ ├── jtframe_freqinfo.v │ │ │ │ │ ├── jtframe_pll20.ppf │ │ │ │ │ ├── jtframe_pll20.qip │ │ │ │ │ ├── jtframe_pll20.v │ │ │ │ │ ├── jtframe_rst_sync.v │ │ │ │ │ └── jtframe_sync.v │ │ │ │ ├── cpu │ │ │ │ │ ├── 8051 │ │ │ │ │ │ ├── LGPL.TXT │ │ │ │ │ │ ├── addsub_core_.vhd │ │ │ │ │ │ ├── addsub_core_struc.vhd │ │ │ │ │ │ ├── addsub_core_struc_cfg.vhd │ │ │ │ │ │ ├── addsub_cy_.vhd │ │ │ │ │ │ ├── addsub_cy_rtl.vhd │ │ │ │ │ │ ├── addsub_cy_rtl_cfg.vhd │ │ │ │ │ │ ├── addsub_ovcy_.vhd │ │ │ │ │ │ ├── addsub_ovcy_rtl.vhd │ │ │ │ │ │ ├── addsub_ovcy_rtl_cfg.vhd │ │ │ │ │ │ ├── alucore_.vhd │ │ │ │ │ │ ├── alucore_rtl.vhd │ │ │ │ │ │ ├── alucore_rtl_cfg.vhd │ │ │ │ │ │ ├── alumux_.vhd │ │ │ │ │ │ ├── alumux_rtl.vhd │ │ │ │ │ │ ├── alumux_rtl_cfg.vhd │ │ │ │ │ │ ├── comb_divider_.vhd │ │ │ │ │ │ ├── comb_divider_rtl.vhd │ │ │ │ │ │ ├── comb_divider_rtl_cfg.vhd │ │ │ │ │ │ ├── comb_mltplr_.vhd │ │ │ │ │ │ ├── comb_mltplr_rtl.vhd │ │ │ │ │ │ ├── comb_mltplr_rtl_cfg.vhd │ │ │ │ │ │ ├── control_fsm_.vhd │ │ │ │ │ │ ├── control_fsm_rtl.vhd │ │ │ │ │ │ ├── control_fsm_rtl_cfg.vhd │ │ │ │ │ │ ├── control_mem_.vhd │ │ │ │ │ │ ├── control_mem_rtl.vhd │ │ │ │ │ │ ├── control_mem_rtl_cfg.vhd │ │ │ │ │ │ ├── dcml_adjust_.vhd │ │ │ │ │ │ ├── dcml_adjust_rtl.vhd │ │ │ │ │ │ ├── dcml_adjust_rtl_cfg.vhd │ │ │ │ │ │ ├── dummy_8051.v │ │ │ │ │ │ ├── mc8051.f │ │ │ │ │ │ ├── mc8051.qip │ │ │ │ │ │ ├── mc8051.yaml │ │ │ │ │ │ ├── mc8051_alu_.vhd │ │ │ │ │ │ ├── mc8051_alu_struc.vhd │ │ │ │ │ │ ├── mc8051_alu_struc_cfg.vhd │ │ │ │ │ │ ├── mc8051_control_.vhd │ │ │ │ │ │ ├── mc8051_control_struc.vhd │ │ │ │ │ │ ├── mc8051_control_struc_cfg.vhd │ │ │ │ │ │ ├── mc8051_core_.vhd │ │ │ │ │ │ ├── mc8051_core_struc.vhd │ │ │ │ │ │ ├── mc8051_core_struc_cfg.vhd │ │ │ │ │ │ ├── mc8051_p.vhd │ │ │ │ │ │ ├── mc8051_siu_.vhd │ │ │ │ │ │ ├── mc8051_siu_rtl.vhd │ │ │ │ │ │ ├── mc8051_siu_rtl_cfg.vhd │ │ │ │ │ │ ├── mc8051_tmrctr_.vhd │ │ │ │ │ │ ├── mc8051_tmrctr_rtl.vhd │ │ │ │ │ │ └── mc8051_tmrctr_rtl_cfg.vhd │ │ │ │ │ ├── 63701 │ │ │ │ │ │ ├── HD63701_ALU.v │ │ │ │ │ │ ├── HD63701_CORE.v │ │ │ │ │ │ ├── HD63701_EXEC.v │ │ │ │ │ │ ├── HD63701_MCODE.i │ │ │ │ │ │ ├── HD63701_MCROM.v │ │ │ │ │ │ ├── HD63701_SEQ.v │ │ │ │ │ │ ├── HD63701_defs.i │ │ │ │ │ │ ├── jt63701.f │ │ │ │ │ │ └── jt63701.v │ │ │ │ │ ├── 6801_core.sv │ │ │ │ │ ├── j68 │ │ │ │ │ │ ├── cpu_j68.v │ │ │ │ │ │ ├── j68.qip │ │ │ │ │ │ ├── j68.yaml │ │ │ │ │ │ ├── j68_addsub_32.v │ │ │ │ │ │ ├── j68_alu.v │ │ │ │ │ │ ├── j68_dec.mem │ │ │ │ │ │ ├── j68_dec_c.mem │ │ │ │ │ │ ├── j68_decode.v │ │ │ │ │ │ ├── j68_decode_rom.v │ │ │ │ │ │ ├── j68_dpram_2048x20.v │ │ │ │ │ │ ├── j68_flags.v │ │ │ │ │ │ ├── j68_loop.v │ │ │ │ │ │ ├── j68_mem_io.v │ │ │ │ │ │ ├── j68_ram_c.mem │ │ │ │ │ │ ├── j68_test.v │ │ │ │ │ │ └── jtframe_j68.v │ │ │ │ │ ├── jtframe_6801mcu.v │ │ │ │ │ ├── jtframe_68kdma.v │ │ │ │ │ ├── jtframe_68kdtack.v │ │ │ │ │ ├── jtframe_68kramcs.v │ │ │ │ │ ├── jtframe_8751mcu.v │ │ │ │ │ ├── jtframe_kabuki.v │ │ │ │ │ ├── jtframe_m68k.f │ │ │ │ │ ├── jtframe_m68k.qip │ │ │ │ │ ├── jtframe_m68k.v │ │ │ │ │ ├── jtframe_m68k.yaml │ │ │ │ │ ├── jtframe_sys6809.v │ │ │ │ │ ├── jtframe_virq.v │ │ │ │ │ ├── jtframe_z80.v │ │ │ │ │ ├── jtframe_z80.yaml │ │ │ │ │ ├── jtframe_z80wait.v │ │ │ │ │ ├── mc6502 │ │ │ │ │ │ ├── LICENSE │ │ │ │ │ │ ├── MC6502.v │ │ │ │ │ │ ├── MC6502Accumulator.v │ │ │ │ │ │ ├── MC6502Common.vh │ │ │ │ │ │ ├── MC6502ExecutionController.v │ │ │ │ │ │ ├── MC6502InstructionDecode.v │ │ │ │ │ │ ├── MC6502InterruptLogic.v │ │ │ │ │ │ ├── MC6502MemoryController.v │ │ │ │ │ │ ├── MC6502ProcessorStatusRegister.v │ │ │ │ │ │ ├── MC6502RegisterFile.v │ │ │ │ │ │ ├── MC6502Shifter.v │ │ │ │ │ │ ├── mc6502.f │ │ │ │ │ │ └── mc6502.qip │ │ │ │ │ ├── mc6809i.v │ │ │ │ │ ├── pauloblaze │ │ │ │ │ │ ├── LICENSE │ │ │ │ │ │ ├── alu.vhd │ │ │ │ │ │ ├── decoder.vhd │ │ │ │ │ │ ├── io_module.vhd │ │ │ │ │ │ ├── op_codes.vhd │ │ │ │ │ │ ├── pauloBlaze.vhd │ │ │ │ │ │ ├── pauloblaze.f │ │ │ │ │ │ ├── pauloblaze.qip │ │ │ │ │ │ ├── program_counter.vhd │ │ │ │ │ │ └── regFile.vhd │ │ │ │ │ ├── t48 │ │ │ │ │ │ ├── alu-c.vhd │ │ │ │ │ │ ├── alu.vhd │ │ │ │ │ │ ├── alu_pack-p.vhd │ │ │ │ │ │ ├── bus_mux-c.vhd │ │ │ │ │ │ ├── bus_mux.vhd │ │ │ │ │ │ ├── clock_ctrl-c.vhd │ │ │ │ │ │ ├── clock_ctrl.vhd │ │ │ │ │ │ ├── cond_branch-c.vhd │ │ │ │ │ │ ├── cond_branch.vhd │ │ │ │ │ │ ├── cond_branch_pack-p.vhd │ │ │ │ │ │ ├── db_bus-c.vhd │ │ │ │ │ │ ├── db_bus.vhd │ │ │ │ │ │ ├── decoder-c.vhd │ │ │ │ │ │ ├── decoder.vhd │ │ │ │ │ │ ├── decoder_pack-p.vhd │ │ │ │ │ │ ├── dmem_ctrl-c.vhd │ │ │ │ │ │ ├── dmem_ctrl.vhd │ │ │ │ │ │ ├── dmem_ctrl_pack-p.vhd │ │ │ │ │ │ ├── int-c.vhd │ │ │ │ │ │ ├── int.vhd │ │ │ │ │ │ ├── p1-c.vhd │ │ │ │ │ │ ├── p1.vhd │ │ │ │ │ │ ├── p2-c.vhd │ │ │ │ │ │ ├── p2.vhd │ │ │ │ │ │ ├── pmem_ctrl-c.vhd │ │ │ │ │ │ ├── pmem_ctrl.vhd │ │ │ │ │ │ ├── pmem_ctrl_pack-p.vhd │ │ │ │ │ │ ├── psw-c.vhd │ │ │ │ │ │ ├── psw.vhd │ │ │ │ │ │ ├── system │ │ │ │ │ │ │ ├── generic_ram_ena-c.vhd │ │ │ │ │ │ │ ├── generic_ram_ena.vhd │ │ │ │ │ │ │ ├── lpm_ram_dq.vhd │ │ │ │ │ │ │ ├── lpm_rom.vhd │ │ │ │ │ │ │ ├── t48_rom-e.vhd │ │ │ │ │ │ │ ├── t48_rom-struct-a.vhd │ │ │ │ │ │ │ ├── t48_rom-struct-c.vhd │ │ │ │ │ │ │ ├── t48_system_comp_pack-p.vhd │ │ │ │ │ │ │ ├── t49_rom-e.vhd │ │ │ │ │ │ │ ├── t49_rom-struct-a.vhd │ │ │ │ │ │ │ ├── t49_rom-struct-c.vhd │ │ │ │ │ │ │ ├── t8039-c.vhd │ │ │ │ │ │ │ ├── t8039.vhd │ │ │ │ │ │ │ ├── t8039_notri-c.vhd │ │ │ │ │ │ │ ├── t8039_notri.vhd │ │ │ │ │ │ │ ├── t8048-c.vhd │ │ │ │ │ │ │ ├── t8048.vhd │ │ │ │ │ │ │ ├── t8048_notri-c.vhd │ │ │ │ │ │ │ ├── t8048_notri.vhd │ │ │ │ │ │ │ ├── t8050_wb-c.vhd │ │ │ │ │ │ │ ├── t8050_wb.vhd │ │ │ │ │ │ │ ├── wb_master-c.vhd │ │ │ │ │ │ │ └── wb_master.vhd │ │ │ │ │ │ ├── t48.f │ │ │ │ │ │ ├── t48.qip │ │ │ │ │ │ ├── t48.yaml │ │ │ │ │ │ ├── t48_comp_pack-p.vhd │ │ │ │ │ │ ├── t48_core-c.vhd │ │ │ │ │ │ ├── t48_core.vhd │ │ │ │ │ │ ├── t48_core_comp_pack-p.vhd │ │ │ │ │ │ ├── t48_pack-p.vhd │ │ │ │ │ │ ├── t48_tb_pack-p.vhd │ │ │ │ │ │ ├── timer-c.vhd │ │ │ │ │ │ └── timer.vhd │ │ │ │ │ ├── t65 │ │ │ │ │ │ ├── T65.vhd │ │ │ │ │ │ ├── T65_ALU.vhd │ │ │ │ │ │ ├── T65_MCode.vhd │ │ │ │ │ │ └── T65_Pack.vhd │ │ │ │ │ ├── t80 │ │ │ │ │ │ ├── T80.qip │ │ │ │ │ │ ├── T80.vhd │ │ │ │ │ │ ├── T80.yaml │ │ │ │ │ │ ├── T80_ALU.vhd │ │ │ │ │ │ ├── T80_MCode.vhd │ │ │ │ │ │ ├── T80_Reg.vhd │ │ │ │ │ │ ├── T80pa.vhd │ │ │ │ │ │ └── T80s.vhd │ │ │ │ │ ├── t8243 │ │ │ │ │ │ ├── t8243-c.vhd │ │ │ │ │ │ ├── t8243.f │ │ │ │ │ │ ├── t8243.qip │ │ │ │ │ │ ├── t8243.vhd │ │ │ │ │ │ ├── t8243.yaml │ │ │ │ │ │ ├── t8243_comp_pack-p.vhd │ │ │ │ │ │ ├── t8243_core-c.vhd │ │ │ │ │ │ ├── t8243_core.vhd │ │ │ │ │ │ ├── t8243_sync_notri-c.vhd │ │ │ │ │ │ └── t8243_sync_notri.vhd │ │ │ │ │ └── tv80 │ │ │ │ │ │ ├── tv80.f │ │ │ │ │ │ ├── tv80_alu.v │ │ │ │ │ │ ├── tv80_core.v │ │ │ │ │ │ ├── tv80_mcode.v │ │ │ │ │ │ ├── tv80_reg.v │ │ │ │ │ │ ├── tv80n.v │ │ │ │ │ │ ├── tv80s.qip │ │ │ │ │ │ └── tv80s.v │ │ │ │ ├── jt74.v │ │ │ │ ├── jtframe.qip │ │ │ │ ├── jtframe.yaml │ │ │ │ ├── jtframe_board.v │ │ │ │ ├── jtframe_debug.v │ │ │ │ ├── jtframe_dip.v │ │ │ │ ├── jtframe_enlarger.v │ │ │ │ ├── jtframe_ff.v │ │ │ │ ├── jtframe_led.v │ │ │ │ ├── jtframe_reset.v │ │ │ │ ├── jtframe_sh.v │ │ │ │ ├── jtframe_sort.v │ │ │ │ ├── jtframe_uart.v │ │ │ │ ├── jtframe_z80wait.v │ │ │ │ ├── keyboard │ │ │ │ │ ├── jt4701.v │ │ │ │ │ ├── jtframe_4wayjoy.v │ │ │ │ │ ├── jtframe_inputs.v │ │ │ │ │ ├── jtframe_keyboard.v │ │ │ │ │ ├── jtframe_mouse.v │ │ │ │ │ ├── jtframe_paddle.v │ │ │ │ │ ├── ps2_intf.vhd │ │ │ │ │ └── ps2_intf.vhd.bak │ │ │ │ ├── ram │ │ │ │ │ ├── jtframe_dual_nvram.v │ │ │ │ │ ├── jtframe_dual_nvram16.v │ │ │ │ │ ├── jtframe_dual_ram.v │ │ │ │ │ ├── jtframe_dual_ram16.v │ │ │ │ │ ├── jtframe_mmr.v │ │ │ │ │ ├── jtframe_obj_buffer.v │ │ │ │ │ ├── jtframe_prom.v │ │ │ │ │ ├── jtframe_ram.v │ │ │ │ │ └── jtframe_ram16.v │ │ │ │ ├── sdram │ │ │ │ │ ├── jtframe_dwnld.v │ │ │ │ │ ├── jtframe_gatecen.v │ │ │ │ │ ├── jtframe_ram2_6slots.v │ │ │ │ │ ├── jtframe_ram_2slots.v │ │ │ │ │ ├── jtframe_ram_3slots.v │ │ │ │ │ ├── jtframe_ram_4slots.v │ │ │ │ │ ├── jtframe_ram_5slots.v │ │ │ │ │ ├── jtframe_ram_rq.v │ │ │ │ │ ├── jtframe_rom.f │ │ │ │ │ ├── jtframe_rom.v │ │ │ │ │ ├── jtframe_rom_1slot.v │ │ │ │ │ ├── jtframe_rom_2slots.v │ │ │ │ │ ├── jtframe_rom_3slots.v │ │ │ │ │ ├── jtframe_rom_4slots.v │ │ │ │ │ ├── jtframe_rom_5slots.v │ │ │ │ │ ├── jtframe_rom_sync.v │ │ │ │ │ ├── jtframe_romflex.v │ │ │ │ │ ├── jtframe_romrq.v │ │ │ │ │ ├── jtframe_sdram.v │ │ │ │ │ ├── jtframe_sdram64.f │ │ │ │ │ ├── jtframe_sdram64.qip │ │ │ │ │ ├── jtframe_sdram64.v │ │ │ │ │ ├── jtframe_sdram64.yaml │ │ │ │ │ ├── jtframe_sdram64_bank.v │ │ │ │ │ ├── jtframe_sdram64_init.v │ │ │ │ │ ├── jtframe_sdram64_latch.v │ │ │ │ │ ├── jtframe_sdram64_rfsh.v │ │ │ │ │ ├── jtframe_sdram_bank.f │ │ │ │ │ ├── jtframe_sdram_bank.qip │ │ │ │ │ ├── jtframe_sdram_bank.v │ │ │ │ │ ├── jtframe_sdram_bank.yaml │ │ │ │ │ ├── jtframe_sdram_bank_core.v │ │ │ │ │ ├── jtframe_sdram_bank_mux.v │ │ │ │ │ ├── jtframe_sdram_mux.v │ │ │ │ │ ├── jtframe_sdram_rq.v │ │ │ │ │ ├── jtframe_sdram_stats.v │ │ │ │ │ └── jtframe_shadow.v │ │ │ │ ├── sound │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── fir_coeff.c │ │ │ │ │ ├── firjt49.m │ │ │ │ │ ├── hifi_1bit_dac.v │ │ │ │ │ ├── hybrid_pwm_sd.v │ │ │ │ │ ├── jtframe_dcrm.v │ │ │ │ │ ├── jtframe_fir.v │ │ │ │ │ ├── jtframe_fir2.m │ │ │ │ │ ├── jtframe_fir2.v │ │ │ │ │ ├── jtframe_fir3.m │ │ │ │ │ ├── jtframe_fir4.m │ │ │ │ │ ├── jtframe_fir_mono.v │ │ │ │ │ ├── jtframe_jt49_filters.qip │ │ │ │ │ ├── jtframe_jt49_filters.v │ │ │ │ │ ├── jtframe_jt49_filters.yaml │ │ │ │ │ ├── jtframe_mixer.v │ │ │ │ │ ├── jtframe_pole.v │ │ │ │ │ ├── jtframe_uprate2_fir.f │ │ │ │ │ ├── jtframe_uprate2_fir.qip │ │ │ │ │ ├── jtframe_uprate2_fir.v │ │ │ │ │ ├── jtframe_uprate2_fir.yaml │ │ │ │ │ └── jtframe_uprate3_fir.v │ │ │ │ ├── ver │ │ │ │ │ ├── W25Q32JV.v │ │ │ │ │ ├── altera_mf.v │ │ │ │ │ ├── fast_pll.f │ │ │ │ │ ├── fast_pll.v │ │ │ │ │ ├── game_test.v │ │ │ │ │ ├── jtframe_din_check.v │ │ │ │ │ ├── jtframe_romrq_rdy_check.v │ │ │ │ │ ├── jtframe_simwr_68k.v │ │ │ │ │ ├── mist_dump.v │ │ │ │ │ ├── mister_dump.v │ │ │ │ │ ├── mister_harness.v │ │ │ │ │ ├── mt48lc16m16a2.v │ │ │ │ │ ├── noise_gen.v │ │ │ │ │ ├── quick_sdram.v │ │ │ │ │ ├── sim.f │ │ │ │ │ ├── sim_mister.f │ │ │ │ │ ├── spitx.v │ │ │ │ │ ├── test.cpp │ │ │ │ │ ├── test_harness.v │ │ │ │ │ └── video_dump.v │ │ │ │ └── video │ │ │ │ │ ├── jtframe_avatar.v │ │ │ │ │ ├── jtframe_blank.v │ │ │ │ │ ├── jtframe_charmsg.v │ │ │ │ │ ├── jtframe_credits.v │ │ │ │ │ ├── jtframe_hsize.v │ │ │ │ │ ├── jtframe_linebuf.v │ │ │ │ │ ├── jtframe_pxl.v │ │ │ │ │ ├── jtframe_resync.v │ │ │ │ │ ├── jtframe_scan2x.v │ │ │ │ │ ├── jtframe_scan2xsl.v │ │ │ │ │ ├── jtframe_tilebuf.v │ │ │ │ │ ├── jtframe_tilemap.v │ │ │ │ │ ├── jtframe_vtimer.v │ │ │ │ │ └── jtframe_wirebw.v │ │ │ ├── syn │ │ │ │ └── jtframe_2308 │ │ │ │ │ ├── adc_test.sdc │ │ │ │ │ ├── adc_test.v │ │ │ │ │ ├── makefile │ │ │ │ │ ├── set_pins.tcl │ │ │ │ │ └── source.qip │ │ │ ├── target │ │ │ │ └── mister │ │ │ │ │ ├── cfgstr │ │ │ │ │ ├── hps_io.v │ │ │ │ │ ├── hq2x_dummy.sv │ │ │ │ │ ├── joydb15.v │ │ │ │ │ ├── jtframe_2308.v │ │ │ │ │ ├── jtframe_emu.sv │ │ │ │ │ ├── jtframe_joymux.v │ │ │ │ │ ├── jtframe_mister.sv │ │ │ │ │ ├── jtframe_mister_dwnld.v │ │ │ │ │ ├── jtframe_mr_ddrmux.v │ │ │ │ │ ├── jtframe_tape.v │ │ │ │ │ ├── mister.f │ │ │ │ │ ├── mister.qip │ │ │ │ │ ├── mister.qpf │ │ │ │ │ ├── mister.qsf │ │ │ │ │ ├── mister_full_test.sv │ │ │ │ │ ├── mister_pll24.v │ │ │ │ │ ├── mister_pll48.v │ │ │ │ │ ├── mister_test.v │ │ │ │ │ ├── pll6144 │ │ │ │ │ ├── jtframe_pll6144.bsf │ │ │ │ │ ├── jtframe_pll6144.cmp │ │ │ │ │ ├── jtframe_pll6144.ppf │ │ │ │ │ ├── jtframe_pll6144.qip │ │ │ │ │ ├── jtframe_pll6144.sip │ │ │ │ │ ├── jtframe_pll6144.spd │ │ │ │ │ ├── jtframe_pll6144.v │ │ │ │ │ ├── jtframe_pll6144 │ │ │ │ │ │ ├── jtframe_pll6144_0002.qip │ │ │ │ │ │ └── jtframe_pll6144_0002.v │ │ │ │ │ └── jtframe_pll6144_sim.f │ │ │ │ │ ├── pll6293 │ │ │ │ │ ├── jtframe_pll6293.bsf │ │ │ │ │ ├── jtframe_pll6293.cmp │ │ │ │ │ ├── jtframe_pll6293.ppf │ │ │ │ │ ├── jtframe_pll6293.qip │ │ │ │ │ ├── jtframe_pll6293.sip │ │ │ │ │ ├── jtframe_pll6293.v │ │ │ │ │ ├── jtframe_pll6293_0002.qip │ │ │ │ │ ├── jtframe_pll6293_0002.v │ │ │ │ │ └── ren.sh │ │ │ │ │ ├── pll6671 │ │ │ │ │ ├── jtframe_pll6671.bsf │ │ │ │ │ ├── jtframe_pll6671.cmp │ │ │ │ │ ├── jtframe_pll6671.ppf │ │ │ │ │ ├── jtframe_pll6671.qip │ │ │ │ │ ├── jtframe_pll6671.sip │ │ │ │ │ ├── jtframe_pll6671.spd │ │ │ │ │ ├── jtframe_pll6671.v │ │ │ │ │ └── jtframe_pll6671 │ │ │ │ │ │ ├── jtframe_pll6671_0002.qip │ │ │ │ │ │ └── jtframe_pll6671_0002.v │ │ │ │ │ ├── plls16 │ │ │ │ │ ├── plls16.bsf │ │ │ │ │ ├── plls16.cmp │ │ │ │ │ ├── plls16.ppf │ │ │ │ │ ├── plls16.qip │ │ │ │ │ ├── plls16.sip │ │ │ │ │ ├── plls16.v │ │ │ │ │ ├── plls16_0002.qip │ │ │ │ │ └── plls16_0002.v │ │ │ │ │ ├── slew_rate.tcl │ │ │ │ │ ├── sync_fix.sv │ │ │ │ │ └── sys │ │ │ │ │ ├── alsa.sv │ │ │ │ │ ├── arcade_video.v │ │ │ │ │ ├── ascal.vhd │ │ │ │ │ ├── audio_out.v │ │ │ │ │ ├── build_id.tcl │ │ │ │ │ ├── ddr_svc.sv │ │ │ │ │ ├── f2sdram_safe_terminator.sv │ │ │ │ │ ├── gamma_corr.sv │ │ │ │ │ ├── hdmi_config.sv │ │ │ │ │ ├── hps_io.v │ │ │ │ │ ├── hq2x.sv │ │ │ │ │ ├── i2c.v │ │ │ │ │ ├── i2s.v │ │ │ │ │ ├── iir_filter.v │ │ │ │ │ ├── ltc2308.sv │ │ │ │ │ ├── math.sv │ │ │ │ │ ├── mcp23009.sv │ │ │ │ │ ├── mister_clksync.v │ │ │ │ │ ├── mt32pi.sv │ │ │ │ │ ├── osd.sv │ │ │ │ │ ├── pll.13.qip │ │ │ │ │ ├── pll.bsf │ │ │ │ │ ├── pll.cmp │ │ │ │ │ ├── pll.ppf │ │ │ │ │ ├── pll.qip │ │ │ │ │ ├── pll.sip │ │ │ │ │ ├── pll.spd │ │ │ │ │ ├── pll.v │ │ │ │ │ ├── pll │ │ │ │ │ ├── pll_0002.qip │ │ │ │ │ └── pll_0002.v │ │ │ │ │ ├── pll_audio.13.qip │ │ │ │ │ ├── pll_audio.qip │ │ │ │ │ ├── pll_audio.v │ │ │ │ │ ├── pll_audio │ │ │ │ │ ├── pll_audio_0002.qip │ │ │ │ │ └── pll_audio_0002.v │ │ │ │ │ ├── pll_cfg.qip │ │ │ │ │ ├── pll_cfg.v │ │ │ │ │ ├── pll_cfg │ │ │ │ │ ├── altera_pll_reconfig_core.v │ │ │ │ │ └── altera_pll_reconfig_top.v │ │ │ │ │ ├── pll_hdmi.13.qip │ │ │ │ │ ├── pll_hdmi.qip │ │ │ │ │ ├── pll_hdmi.v │ │ │ │ │ ├── pll_hdmi │ │ │ │ │ ├── pll_hdmi_0002.qip │ │ │ │ │ ├── pll_hdmi_0002.v │ │ │ │ │ └── pll_hdmi_0002_q13.qip │ │ │ │ │ ├── pll_hdmi_adj.vhd │ │ │ │ │ ├── pll_hdmi_cfg.qip │ │ │ │ │ ├── pll_hdmi_q13.qip │ │ │ │ │ ├── pll_q13.qip │ │ │ │ │ ├── pll_q17.qip │ │ │ │ │ ├── pll_q20.qip │ │ │ │ │ ├── pll_q22.qip │ │ │ │ │ ├── pll_sim.f │ │ │ │ │ ├── scandoubler.v │ │ │ │ │ ├── scanlines.v │ │ │ │ │ ├── sd_card.sv │ │ │ │ │ ├── sdram_clk48.sdc │ │ │ │ │ ├── sdram_clk96.sdc │ │ │ │ │ ├── shadowmask.sv │ │ │ │ │ ├── sigma_delta_dac.v │ │ │ │ │ ├── spdif.v │ │ │ │ │ ├── sys.qip │ │ │ │ │ ├── sys.tcl │ │ │ │ │ ├── sys_analog.tcl │ │ │ │ │ ├── sys_dual_sdram.tcl │ │ │ │ │ ├── sys_nosdram.qip │ │ │ │ │ ├── sys_q13.qip │ │ │ │ │ ├── sys_top.sdc │ │ │ │ │ ├── sys_top.v │ │ │ │ │ ├── sys_top_nosdram.sdc │ │ │ │ │ ├── sysmem.sv │ │ │ │ │ ├── vga_out.sv │ │ │ │ │ ├── video_cleaner.sv │ │ │ │ │ ├── video_freak.sv │ │ │ │ │ ├── video_freezer.sv │ │ │ │ │ └── video_mixer.sv │ │ │ └── ver │ │ │ │ ├── cen │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── cheatdwn │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ │ ├── credits │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── fir8 │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── hsize │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ │ ├── jt4701 │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── jt63701 │ │ │ │ └── go.sh │ │ │ │ ├── jtframe_2308 │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── kabuki │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── mister_dwnld │ │ │ │ ├── go │ │ │ │ └── test.v │ │ │ │ ├── mixer │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── neptuno_dataio │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ │ ├── osd │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── pole │ │ │ │ ├── go │ │ │ │ └── test.cc │ │ │ │ ├── romrq │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── scan2x │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── sdram_bank │ │ │ │ ├── Makefile │ │ │ │ ├── filter.txt │ │ │ │ ├── go.sh │ │ │ │ ├── long.sh │ │ │ │ ├── randhex.py │ │ │ │ ├── report.sh │ │ │ │ └── test.v │ │ │ │ ├── sdram_bank64 │ │ │ │ ├── cmd.txt │ │ │ │ ├── prog_test │ │ │ │ │ ├── sim.sh │ │ │ │ │ └── test.v │ │ │ │ ├── romrq_dst │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── sim.sh │ │ │ │ │ └── test.v │ │ │ │ └── rw_test │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── long.sh │ │ │ │ │ ├── report.sh │ │ │ │ │ ├── short.sh │ │ │ │ │ ├── sim.sh │ │ │ │ │ └── test.v │ │ │ │ ├── sdram_bank_core │ │ │ │ ├── Makefile │ │ │ │ ├── go.sh │ │ │ │ ├── randhex.py │ │ │ │ ├── sdram_cmd.txt │ │ │ │ └── test.v │ │ │ │ ├── vtimer │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ └── wirebw │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ ├── jtopl │ │ │ ├── LICENSE │ │ │ ├── README.md │ │ │ ├── doc │ │ │ │ ├── Makefile │ │ │ │ ├── Y8950 app notes.pdf │ │ │ │ ├── Y8950 datasheet.pdf │ │ │ │ ├── YM2413.pdf │ │ │ │ ├── YM3812 datasheet.pdf │ │ │ │ ├── Yamaha YM3812 Application Manual.pdf │ │ │ │ ├── lfo_count.cc │ │ │ │ ├── notes.ods │ │ │ │ ├── opl3.c │ │ │ │ ├── opl3.h │ │ │ │ ├── opll.c │ │ │ │ ├── opll.h │ │ │ │ ├── opll_patches.c │ │ │ │ └── ym2413 app notes.pdf │ │ │ ├── hdl │ │ │ │ ├── common.yaml │ │ │ │ ├── jt2413.v │ │ │ │ ├── jt2413.yaml │ │ │ │ ├── jt26.qip │ │ │ │ ├── jt26.yaml │ │ │ │ ├── jtopl.v │ │ │ │ ├── jtopl.yaml │ │ │ │ ├── jtopl2.qip │ │ │ │ ├── jtopl2.v │ │ │ │ ├── jtopl2.yaml │ │ │ │ ├── jtopl_acc.v │ │ │ │ ├── jtopl_csr.v │ │ │ │ ├── jtopl_div.v │ │ │ │ ├── jtopl_eg.v │ │ │ │ ├── jtopl_eg_cnt.v │ │ │ │ ├── jtopl_eg_comb.v │ │ │ │ ├── jtopl_eg_ctrl.v │ │ │ │ ├── jtopl_eg_final.v │ │ │ │ ├── jtopl_eg_pure.v │ │ │ │ ├── jtopl_eg_step.v │ │ │ │ ├── jtopl_exprom.v │ │ │ │ ├── jtopl_lfo.v │ │ │ │ ├── jtopl_logsin.v │ │ │ │ ├── jtopl_mmr.v │ │ │ │ ├── jtopl_noise.v │ │ │ │ ├── jtopl_op.v │ │ │ │ ├── jtopl_pg.v │ │ │ │ ├── jtopl_pg_comb.v │ │ │ │ ├── jtopl_pg_inc.v │ │ │ │ ├── jtopl_pg_rhy.v │ │ │ │ ├── jtopl_pg_sum.v │ │ │ │ ├── jtopl_pm.v │ │ │ │ ├── jtopl_reg.v │ │ │ │ ├── jtopl_reg_ch.v │ │ │ │ ├── jtopl_sh.v │ │ │ │ ├── jtopl_sh_rst.v │ │ │ │ ├── jtopl_single_acc.v │ │ │ │ ├── jtopl_slot_cnt.v │ │ │ │ ├── jtopl_timers.v │ │ │ │ ├── jtopll.yaml │ │ │ │ ├── jtopll_mmr.v │ │ │ │ └── jtopll_reg.v │ │ │ ├── jt2413.qip │ │ │ ├── jt26.qip │ │ │ ├── jtopl2.qip │ │ │ └── ver │ │ │ │ ├── jtopl_eg │ │ │ │ ├── sweep.cpp │ │ │ │ ├── sweep.sh │ │ │ │ └── sweep.v │ │ │ │ ├── jtopl_eg_comb_tb │ │ │ │ ├── README │ │ │ │ ├── go │ │ │ │ ├── sim.sh │ │ │ │ ├── test.cpp │ │ │ │ ├── test.v │ │ │ │ └── tests │ │ │ │ │ └── attack.tst │ │ │ │ └── verilator │ │ │ │ ├── VGMParser.cpp │ │ │ │ ├── VGMParser.hpp │ │ │ │ ├── WaveWritter.cpp │ │ │ │ ├── WaveWritter.hpp │ │ │ │ ├── feature.hpp │ │ │ │ ├── mmr.lut │ │ │ │ ├── sim.sh │ │ │ │ ├── test.cpp │ │ │ │ └── tests │ │ │ │ ├── am.jtt │ │ │ │ ├── fb.jtt │ │ │ │ ├── fnum.jtt │ │ │ │ ├── keycode.jtt │ │ │ │ ├── ksl1.jtt │ │ │ │ ├── ksl2.jtt │ │ │ │ ├── ksl3.jtt │ │ │ │ ├── mod.jtt │ │ │ │ ├── noteG.jtt │ │ │ │ ├── perc.jtt │ │ │ │ ├── rates.jtt │ │ │ │ ├── slots.jtt │ │ │ │ ├── slots_mod.jtt │ │ │ │ ├── timers.jtt │ │ │ │ ├── tl.jtt │ │ │ │ ├── tone.jtt │ │ │ │ ├── tone_w1.jtt │ │ │ │ ├── tone_w2.jtt │ │ │ │ ├── tone_w3.jtt │ │ │ │ └── vib.jtt │ │ └── raizing_video │ │ │ ├── double_bank │ │ │ ├── AFBK_CT2.v │ │ │ ├── raizing_gcu.v │ │ │ └── raizing_video.v │ │ │ ├── hvsync_generator.v │ │ │ ├── raizing_colmix.v │ │ │ ├── raizing_extratext.v │ │ │ ├── raizing_obj.v │ │ │ ├── raizing_pal.v │ │ │ ├── raizing_scroll.v │ │ │ ├── raizing_video_double_bank.qip │ │ │ ├── raizing_video_single_bank.qip │ │ │ └── single_bank │ │ │ ├── AFBK_CT2.v │ │ │ ├── raizing_gcu.v │ │ │ └── raizing_video.v │ ├── pipibibs.qpf │ ├── pipibibs.qsf │ ├── rtl │ │ ├── pll.qip │ │ ├── raizingpll.bsf │ │ ├── raizingpll.cmp │ │ ├── raizingpll.ppf │ │ ├── raizingpll.qip │ │ ├── raizingpll.sip │ │ ├── raizingpll.spd │ │ ├── raizingpll.v │ │ ├── raizingpll │ │ │ ├── raizingpll_0002.qip │ │ │ └── raizingpll_0002.v │ │ ├── raizingpll_sim.f │ │ └── raizingpll_sim │ │ │ ├── aldec │ │ │ └── rivierapro_setup.tcl │ │ │ ├── cadence │ │ │ ├── cds.lib │ │ │ ├── hdl.var │ │ │ └── ncsim_setup.sh │ │ │ ├── mentor │ │ │ └── msim_setup.tcl │ │ │ ├── raizingpll.vo │ │ │ └── synopsys │ │ │ ├── vcs │ │ │ └── vcs_setup.sh │ │ │ └── vcsmx │ │ │ ├── synopsys_sim.setup │ │ │ └── vcsmx_setup.sh │ └── sys_top.sdc ├── snowbro2 │ ├── .gitignore │ ├── LICENSE │ ├── build_id.v │ ├── c5_pin_model_dump.txt │ ├── cfgstr │ │ ├── cfgstr_snowbro2.hex │ │ ├── cfgstr_snowbro2.txt │ │ └── cfgstr_snowbro2_smb.txt │ ├── font0.hex │ ├── game.qip │ ├── hdl │ │ ├── snowbro2.def │ │ ├── snowbro2.qip │ │ ├── snowbro2_clock.v │ │ ├── snowbro2_cpu.v │ │ ├── snowbro2_game.v │ │ ├── snowbro2_sdram.v │ │ └── snowbro2_sound.v │ ├── jt6295_up4.hex │ ├── jt6295_up4_soft.hex │ ├── modules │ │ ├── fx68k │ │ │ ├── LICENSE │ │ │ ├── README.md │ │ │ ├── altera_regs.v │ │ │ ├── fx68k.sv │ │ │ ├── fx68k.txt │ │ │ ├── fx68kAlu.sv │ │ │ ├── microrom.mem │ │ │ ├── nanorom.mem │ │ │ └── uaddrPla.sv │ │ ├── jt51 │ │ │ ├── .github │ │ │ │ └── FUNDING.yml │ │ │ ├── .gitignore │ │ │ ├── LICENSE │ │ │ ├── README.md │ │ │ ├── bin │ │ │ │ ├── graf │ │ │ │ ├── makefile │ │ │ │ └── ymx2ym │ │ │ ├── cc │ │ │ │ ├── Makefile │ │ │ │ ├── phinc.cc │ │ │ │ ├── print_con.cc │ │ │ │ ├── tables.cc │ │ │ │ └── tables.h │ │ │ ├── doc │ │ │ │ ├── FPGA usage Spartan 3.txt │ │ │ │ ├── envelope.ods │ │ │ │ ├── fir.ods │ │ │ │ ├── jt51 datasheet.pdf │ │ │ │ ├── opm.c │ │ │ │ ├── opm.h │ │ │ │ └── yamaha │ │ │ │ │ ├── ym2151_app_notes.pdf │ │ │ │ │ └── ym2151_datasheet.pdf │ │ │ ├── hdl │ │ │ │ ├── deprecated │ │ │ │ │ └── jt51_sh2.v │ │ │ │ ├── filter │ │ │ │ │ ├── jt51_dac2.v │ │ │ │ │ ├── jt51_fir.v │ │ │ │ │ ├── jt51_fir4.v │ │ │ │ │ ├── jt51_fir8.v │ │ │ │ │ ├── jt51_fir_ram.v │ │ │ │ │ ├── jt51_interpol.v │ │ │ │ │ └── jt51_sincf.v │ │ │ │ ├── jt51.f │ │ │ │ ├── jt51.qip │ │ │ │ ├── jt51.v │ │ │ │ ├── jt51.yaml │ │ │ │ ├── jt51_acc.v │ │ │ │ ├── jt51_csr_op.v │ │ │ │ ├── jt51_eg.v │ │ │ │ ├── jt51_exp2lin.v │ │ │ │ ├── jt51_exprom.v │ │ │ │ ├── jt51_kon.v │ │ │ │ ├── jt51_lfo.v │ │ │ │ ├── jt51_lin2exp.v │ │ │ │ ├── jt51_mmr.v │ │ │ │ ├── jt51_mod.v │ │ │ │ ├── jt51_noise.v │ │ │ │ ├── jt51_noise_lfsr.v │ │ │ │ ├── jt51_op.v │ │ │ │ ├── jt51_pg.v │ │ │ │ ├── jt51_phinc_rom.v │ │ │ │ ├── jt51_phrom.v │ │ │ │ ├── jt51_pm.v │ │ │ │ ├── jt51_reg.v │ │ │ │ ├── jt51_reg_ch.v │ │ │ │ ├── jt51_sh.v │ │ │ │ └── jt51_timers.v │ │ │ └── ver │ │ │ │ ├── cmd_dump │ │ │ │ ├── WaveWritter.cpp │ │ │ │ ├── WaveWritter.hpp │ │ │ │ ├── gather.f │ │ │ │ ├── go.sh │ │ │ │ ├── test.cpp │ │ │ │ ├── test.v │ │ │ │ └── test_cmd.txt │ │ │ │ ├── common │ │ │ │ ├── basic.f │ │ │ │ ├── dump.vh │ │ │ │ ├── fir.f │ │ │ │ ├── jt51_test.vh │ │ │ │ ├── sep32.v │ │ │ │ ├── sep32_cnt.v │ │ │ │ └── sim.f │ │ │ │ ├── jt51_con │ │ │ │ ├── config.vlt │ │ │ │ ├── go │ │ │ │ ├── inputs.cc │ │ │ │ ├── jt51_test.v │ │ │ │ ├── makefile │ │ │ │ ├── test_all │ │ │ │ └── test_rand │ │ │ │ ├── jt51_interpol_tb │ │ │ │ ├── go │ │ │ │ ├── inputs.cc │ │ │ │ └── jt51_interpol_tb.v │ │ │ │ ├── jt51_lfo │ │ │ │ ├── go │ │ │ │ └── test.cc │ │ │ │ ├── jt51_lfo_am │ │ │ │ ├── go │ │ │ │ ├── inputs.cc │ │ │ │ ├── inputs.vh │ │ │ │ ├── jt51_test.v │ │ │ │ ├── jt51_test.vh │ │ │ │ └── makefile │ │ │ │ ├── jt51_mmr │ │ │ │ ├── config.vlt │ │ │ │ ├── go │ │ │ │ └── inputs.cc │ │ │ │ ├── jt51_noise │ │ │ │ ├── go │ │ │ │ └── test.cc │ │ │ │ ├── jt51_pg │ │ │ │ ├── fnum.c │ │ │ │ ├── go │ │ │ │ ├── opm.c │ │ │ │ ├── opm.h │ │ │ │ ├── tables.cc │ │ │ │ ├── tables.h │ │ │ │ └── test.cc │ │ │ │ ├── jt51_pg_meas │ │ │ │ ├── basic.f │ │ │ │ ├── go │ │ │ │ └── jt51_test.v │ │ │ │ ├── jt51_pm │ │ │ │ ├── sim.sh │ │ │ │ └── test.cc │ │ │ │ ├── top │ │ │ │ ├── cmd.hex │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ └── verilator │ │ │ │ ├── VGMParser.cpp │ │ │ │ ├── VGMParser.hpp │ │ │ │ ├── WaveWritter.cpp │ │ │ │ ├── WaveWritter.hpp │ │ │ │ ├── blanka.vgz │ │ │ │ ├── cmp.h │ │ │ │ ├── dd.vgz │ │ │ │ ├── dd2.vgz │ │ │ │ ├── feature.hpp │ │ │ │ ├── gather.f │ │ │ │ ├── ghouls.vgz │ │ │ │ ├── keep.sh │ │ │ │ ├── kon.jtt │ │ │ │ ├── lfo-test.sh │ │ │ │ ├── lfo.jtt │ │ │ │ ├── noise.jtt │ │ │ │ ├── opm.c │ │ │ │ ├── opm.h │ │ │ │ ├── ref.h │ │ │ │ ├── sim.sh │ │ │ │ ├── test.cpp │ │ │ │ ├── test.vgm │ │ │ │ ├── vcdwr.cc │ │ │ │ ├── vcdwr.h │ │ │ │ ├── wagon.vgz │ │ │ │ └── willow.vgm │ │ ├── jt6295 │ │ │ ├── .github │ │ │ │ └── FUNDING.yml │ │ │ ├── LICENSE │ │ │ ├── README.md │ │ │ ├── cc │ │ │ │ ├── Makefile │ │ │ │ └── okidump.c │ │ │ ├── doc │ │ │ │ └── okim6295.pdf │ │ │ ├── hdl │ │ │ │ ├── jt12_comb.v │ │ │ │ ├── jt12_interpol.v │ │ │ │ ├── jt6295.f │ │ │ │ ├── jt6295.qip │ │ │ │ ├── jt6295.v │ │ │ │ ├── jt6295_acc.v │ │ │ │ ├── jt6295_adpcm.v │ │ │ │ ├── jt6295_ctrl.v │ │ │ │ ├── jt6295_rom.v │ │ │ │ ├── jt6295_serial.v │ │ │ │ ├── jt6295_sh_rst.v │ │ │ │ ├── jt6295_timing.v │ │ │ │ ├── jt6295_up4.hex │ │ │ │ ├── jt6295_up4_soft.hex │ │ │ │ └── jtframe_fir4.m │ │ │ └── ver │ │ │ │ ├── adpcm │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ └── top │ │ │ │ ├── go.sh │ │ │ │ ├── phrase.sh │ │ │ │ ├── test.f │ │ │ │ └── test.v │ │ ├── jtframe │ │ │ ├── .github │ │ │ │ └── FUNDING.yml │ │ │ ├── .gitignore │ │ │ ├── .gitmodules │ │ │ ├── LICENSE │ │ │ ├── README.md │ │ │ ├── asm │ │ │ │ └── z80 │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── TinyBasic_2.0g.asm │ │ │ │ │ ├── jtTinyBasic.asm │ │ │ │ │ └── tiny_basic_instructions.pdf │ │ │ ├── bin │ │ │ │ ├── avatar.py │ │ │ │ ├── bin2m │ │ │ │ ├── bin2png.py │ │ │ │ ├── conv.m │ │ │ │ ├── dump.m │ │ │ │ ├── font0.pf │ │ │ │ ├── git-prompt.sh │ │ │ │ ├── jtblaster │ │ │ │ ├── jtcfgstr │ │ │ │ ├── jtcfgstr.go │ │ │ │ ├── jtcore │ │ │ │ ├── jtdef.go │ │ │ │ ├── jtfiles.go │ │ │ │ ├── jtioreg.awk │ │ │ │ ├── jtsdram │ │ │ │ ├── logo128.png │ │ │ │ ├── lut2hex │ │ │ │ ├── msg2hex │ │ │ │ ├── post-commit │ │ │ │ ├── pre-commit │ │ │ │ ├── rmdup.sh │ │ │ │ └── setprj.sh │ │ │ ├── cc │ │ │ │ ├── Makefile │ │ │ │ ├── bin2m.cc │ │ │ │ ├── lut2hex.cc │ │ │ │ └── msg2hex.cc │ │ │ ├── doc │ │ │ │ ├── 93c06.pdf │ │ │ │ ├── HD63701 │ │ │ │ │ ├── HD63701V0.pdf │ │ │ │ │ └── HD63701Y0.pdf │ │ │ │ ├── T48 Integration Manual.pdf │ │ │ │ ├── UPD4701AC-NEC.pdf │ │ │ │ ├── audio.md │ │ │ │ ├── cheat-tutorial.md │ │ │ │ ├── cheat.md │ │ │ │ ├── cheat.png │ │ │ │ ├── cheat │ │ │ │ │ ├── cheatasm │ │ │ │ │ ├── cheatzip │ │ │ │ │ ├── ecofghtr.s │ │ │ │ │ ├── ghouls.s │ │ │ │ │ ├── led.s │ │ │ │ │ └── sf2hf.s │ │ │ │ ├── clocks.md │ │ │ │ ├── compilation.md │ │ │ │ ├── cpus.md │ │ │ │ ├── credits.md │ │ │ │ ├── debug.md │ │ │ │ ├── inputs.md │ │ │ │ ├── ip.md │ │ │ │ ├── keycodes.png │ │ │ │ ├── macros.md │ │ │ │ ├── mc8051 │ │ │ │ │ ├── comp_orig_ipcore.pdf │ │ │ │ │ ├── mc8051_overview.pdf │ │ │ │ │ └── mc8051_user_guide.pdf │ │ │ │ ├── mist.md │ │ │ │ ├── mist │ │ │ │ │ ├── 256Mb_sdr.pdf │ │ │ │ │ ├── cyc3_ciii51001.pdf │ │ │ │ │ ├── cyc3_ciii51004.pdf │ │ │ │ │ └── mist13_schematic.pdf │ │ │ │ ├── mister │ │ │ │ │ ├── cyclonev_io_timing_13_1.xls │ │ │ │ │ ├── mister_adc.pdf │ │ │ │ │ ├── mister_sdram.pdf │ │ │ │ │ └── mister_sdram_32.pdf │ │ │ │ ├── osd.md │ │ │ │ ├── sdram.md │ │ │ │ ├── sdram_adv.png │ │ │ │ ├── sdram_dly.png │ │ │ │ ├── sdram_timing.ods │ │ │ │ ├── sidi │ │ │ │ │ └── cyiv-51001.pdf │ │ │ │ ├── sim.md │ │ │ │ ├── uart.jpg │ │ │ │ └── video.md │ │ │ ├── hdl │ │ │ │ ├── cheat │ │ │ │ │ ├── jtframe_cheat.v │ │ │ │ │ └── jtframe_cheat_rom.v │ │ │ │ ├── clocking │ │ │ │ │ ├── fast_pll.f │ │ │ │ │ ├── fast_pll.v │ │ │ │ │ ├── jtframe_cen24.v │ │ │ │ │ ├── jtframe_cen48.v │ │ │ │ │ ├── jtframe_cen96.v │ │ │ │ │ ├── jtframe_cencross_strobe.v │ │ │ │ │ ├── jtframe_cendiv.v │ │ │ │ │ ├── jtframe_crossclk_cen.v │ │ │ │ │ ├── jtframe_frac_cen.v │ │ │ │ │ ├── jtframe_freqinfo.v │ │ │ │ │ ├── jtframe_pll20.ppf │ │ │ │ │ ├── jtframe_pll20.qip │ │ │ │ │ ├── jtframe_pll20.v │ │ │ │ │ ├── jtframe_rst_sync.v │ │ │ │ │ └── jtframe_sync.v │ │ │ │ ├── cpu │ │ │ │ │ ├── 8051 │ │ │ │ │ │ ├── LGPL.TXT │ │ │ │ │ │ ├── addsub_core_.vhd │ │ │ │ │ │ ├── addsub_core_struc.vhd │ │ │ │ │ │ ├── addsub_core_struc_cfg.vhd │ │ │ │ │ │ ├── addsub_cy_.vhd │ │ │ │ │ │ ├── addsub_cy_rtl.vhd │ │ │ │ │ │ ├── addsub_cy_rtl_cfg.vhd │ │ │ │ │ │ ├── addsub_ovcy_.vhd │ │ │ │ │ │ ├── addsub_ovcy_rtl.vhd │ │ │ │ │ │ ├── addsub_ovcy_rtl_cfg.vhd │ │ │ │ │ │ ├── alucore_.vhd │ │ │ │ │ │ ├── alucore_rtl.vhd │ │ │ │ │ │ ├── alucore_rtl_cfg.vhd │ │ │ │ │ │ ├── alumux_.vhd │ │ │ │ │ │ ├── alumux_rtl.vhd │ │ │ │ │ │ ├── alumux_rtl_cfg.vhd │ │ │ │ │ │ ├── comb_divider_.vhd │ │ │ │ │ │ ├── comb_divider_rtl.vhd │ │ │ │ │ │ ├── comb_divider_rtl_cfg.vhd │ │ │ │ │ │ ├── comb_mltplr_.vhd │ │ │ │ │ │ ├── comb_mltplr_rtl.vhd │ │ │ │ │ │ ├── comb_mltplr_rtl_cfg.vhd │ │ │ │ │ │ ├── control_fsm_.vhd │ │ │ │ │ │ ├── control_fsm_rtl.vhd │ │ │ │ │ │ ├── control_fsm_rtl_cfg.vhd │ │ │ │ │ │ ├── control_mem_.vhd │ │ │ │ │ │ ├── control_mem_rtl.vhd │ │ │ │ │ │ ├── control_mem_rtl_cfg.vhd │ │ │ │ │ │ ├── dcml_adjust_.vhd │ │ │ │ │ │ ├── dcml_adjust_rtl.vhd │ │ │ │ │ │ ├── dcml_adjust_rtl_cfg.vhd │ │ │ │ │ │ ├── dummy_8051.v │ │ │ │ │ │ ├── mc8051.f │ │ │ │ │ │ ├── mc8051.qip │ │ │ │ │ │ ├── mc8051.yaml │ │ │ │ │ │ ├── mc8051_alu_.vhd │ │ │ │ │ │ ├── mc8051_alu_struc.vhd │ │ │ │ │ │ ├── mc8051_alu_struc_cfg.vhd │ │ │ │ │ │ ├── mc8051_control_.vhd │ │ │ │ │ │ ├── mc8051_control_struc.vhd │ │ │ │ │ │ ├── mc8051_control_struc_cfg.vhd │ │ │ │ │ │ ├── mc8051_core_.vhd │ │ │ │ │ │ ├── mc8051_core_struc.vhd │ │ │ │ │ │ ├── mc8051_core_struc_cfg.vhd │ │ │ │ │ │ ├── mc8051_p.vhd │ │ │ │ │ │ ├── mc8051_siu_.vhd │ │ │ │ │ │ ├── mc8051_siu_rtl.vhd │ │ │ │ │ │ ├── mc8051_siu_rtl_cfg.vhd │ │ │ │ │ │ ├── mc8051_tmrctr_.vhd │ │ │ │ │ │ ├── mc8051_tmrctr_rtl.vhd │ │ │ │ │ │ └── mc8051_tmrctr_rtl_cfg.vhd │ │ │ │ │ ├── 63701 │ │ │ │ │ │ ├── HD63701_ALU.v │ │ │ │ │ │ ├── HD63701_CORE.v │ │ │ │ │ │ ├── HD63701_EXEC.v │ │ │ │ │ │ ├── HD63701_MCODE.i │ │ │ │ │ │ ├── HD63701_MCROM.v │ │ │ │ │ │ ├── HD63701_SEQ.v │ │ │ │ │ │ ├── HD63701_defs.i │ │ │ │ │ │ ├── jt63701.f │ │ │ │ │ │ └── jt63701.v │ │ │ │ │ ├── 6801_core.sv │ │ │ │ │ ├── j68 │ │ │ │ │ │ ├── cpu_j68.v │ │ │ │ │ │ ├── j68.qip │ │ │ │ │ │ ├── j68.yaml │ │ │ │ │ │ ├── j68_addsub_32.v │ │ │ │ │ │ ├── j68_alu.v │ │ │ │ │ │ ├── j68_dec.mem │ │ │ │ │ │ ├── j68_dec_c.mem │ │ │ │ │ │ ├── j68_decode.v │ │ │ │ │ │ ├── j68_decode_rom.v │ │ │ │ │ │ ├── j68_dpram_2048x20.v │ │ │ │ │ │ ├── j68_flags.v │ │ │ │ │ │ ├── j68_loop.v │ │ │ │ │ │ ├── j68_mem_io.v │ │ │ │ │ │ ├── j68_ram_c.mem │ │ │ │ │ │ ├── j68_test.v │ │ │ │ │ │ └── jtframe_j68.v │ │ │ │ │ ├── jtframe_6801mcu.v │ │ │ │ │ ├── jtframe_68kdma.v │ │ │ │ │ ├── jtframe_68kdtack.v │ │ │ │ │ ├── jtframe_68kramcs.v │ │ │ │ │ ├── jtframe_8751mcu.v │ │ │ │ │ ├── jtframe_kabuki.v │ │ │ │ │ ├── jtframe_m68k.f │ │ │ │ │ ├── jtframe_m68k.qip │ │ │ │ │ ├── jtframe_m68k.v │ │ │ │ │ ├── jtframe_m68k.yaml │ │ │ │ │ ├── jtframe_sys6809.v │ │ │ │ │ ├── jtframe_virq.v │ │ │ │ │ ├── jtframe_z80.v │ │ │ │ │ ├── jtframe_z80.yaml │ │ │ │ │ ├── jtframe_z80wait.v │ │ │ │ │ ├── mc6502 │ │ │ │ │ │ ├── LICENSE │ │ │ │ │ │ ├── MC6502.v │ │ │ │ │ │ ├── MC6502Accumulator.v │ │ │ │ │ │ ├── MC6502Common.vh │ │ │ │ │ │ ├── MC6502ExecutionController.v │ │ │ │ │ │ ├── MC6502InstructionDecode.v │ │ │ │ │ │ ├── MC6502InterruptLogic.v │ │ │ │ │ │ ├── MC6502MemoryController.v │ │ │ │ │ │ ├── MC6502ProcessorStatusRegister.v │ │ │ │ │ │ ├── MC6502RegisterFile.v │ │ │ │ │ │ ├── MC6502Shifter.v │ │ │ │ │ │ ├── mc6502.f │ │ │ │ │ │ └── mc6502.qip │ │ │ │ │ ├── mc6809i.v │ │ │ │ │ ├── pauloblaze │ │ │ │ │ │ ├── LICENSE │ │ │ │ │ │ ├── alu.vhd │ │ │ │ │ │ ├── decoder.vhd │ │ │ │ │ │ ├── io_module.vhd │ │ │ │ │ │ ├── op_codes.vhd │ │ │ │ │ │ ├── pauloBlaze.vhd │ │ │ │ │ │ ├── pauloblaze.f │ │ │ │ │ │ ├── pauloblaze.qip │ │ │ │ │ │ ├── program_counter.vhd │ │ │ │ │ │ └── regFile.vhd │ │ │ │ │ ├── t48 │ │ │ │ │ │ ├── alu-c.vhd │ │ │ │ │ │ ├── alu.vhd │ │ │ │ │ │ ├── alu_pack-p.vhd │ │ │ │ │ │ ├── bus_mux-c.vhd │ │ │ │ │ │ ├── bus_mux.vhd │ │ │ │ │ │ ├── clock_ctrl-c.vhd │ │ │ │ │ │ ├── clock_ctrl.vhd │ │ │ │ │ │ ├── cond_branch-c.vhd │ │ │ │ │ │ ├── cond_branch.vhd │ │ │ │ │ │ ├── cond_branch_pack-p.vhd │ │ │ │ │ │ ├── db_bus-c.vhd │ │ │ │ │ │ ├── db_bus.vhd │ │ │ │ │ │ ├── decoder-c.vhd │ │ │ │ │ │ ├── decoder.vhd │ │ │ │ │ │ ├── decoder_pack-p.vhd │ │ │ │ │ │ ├── dmem_ctrl-c.vhd │ │ │ │ │ │ ├── dmem_ctrl.vhd │ │ │ │ │ │ ├── dmem_ctrl_pack-p.vhd │ │ │ │ │ │ ├── int-c.vhd │ │ │ │ │ │ ├── int.vhd │ │ │ │ │ │ ├── p1-c.vhd │ │ │ │ │ │ ├── p1.vhd │ │ │ │ │ │ ├── p2-c.vhd │ │ │ │ │ │ ├── p2.vhd │ │ │ │ │ │ ├── pmem_ctrl-c.vhd │ │ │ │ │ │ ├── pmem_ctrl.vhd │ │ │ │ │ │ ├── pmem_ctrl_pack-p.vhd │ │ │ │ │ │ ├── psw-c.vhd │ │ │ │ │ │ ├── psw.vhd │ │ │ │ │ │ ├── system │ │ │ │ │ │ │ ├── generic_ram_ena-c.vhd │ │ │ │ │ │ │ ├── generic_ram_ena.vhd │ │ │ │ │ │ │ ├── lpm_ram_dq.vhd │ │ │ │ │ │ │ ├── lpm_rom.vhd │ │ │ │ │ │ │ ├── t48_rom-e.vhd │ │ │ │ │ │ │ ├── t48_rom-struct-a.vhd │ │ │ │ │ │ │ ├── t48_rom-struct-c.vhd │ │ │ │ │ │ │ ├── t48_system_comp_pack-p.vhd │ │ │ │ │ │ │ ├── t49_rom-e.vhd │ │ │ │ │ │ │ ├── t49_rom-struct-a.vhd │ │ │ │ │ │ │ ├── t49_rom-struct-c.vhd │ │ │ │ │ │ │ ├── t8039-c.vhd │ │ │ │ │ │ │ ├── t8039.vhd │ │ │ │ │ │ │ ├── t8039_notri-c.vhd │ │ │ │ │ │ │ ├── t8039_notri.vhd │ │ │ │ │ │ │ ├── t8048-c.vhd │ │ │ │ │ │ │ ├── t8048.vhd │ │ │ │ │ │ │ ├── t8048_notri-c.vhd │ │ │ │ │ │ │ ├── t8048_notri.vhd │ │ │ │ │ │ │ ├── t8050_wb-c.vhd │ │ │ │ │ │ │ ├── t8050_wb.vhd │ │ │ │ │ │ │ ├── wb_master-c.vhd │ │ │ │ │ │ │ └── wb_master.vhd │ │ │ │ │ │ ├── t48.f │ │ │ │ │ │ ├── t48.qip │ │ │ │ │ │ ├── t48.yaml │ │ │ │ │ │ ├── t48_comp_pack-p.vhd │ │ │ │ │ │ ├── t48_core-c.vhd │ │ │ │ │ │ ├── t48_core.vhd │ │ │ │ │ │ ├── t48_core_comp_pack-p.vhd │ │ │ │ │ │ ├── t48_pack-p.vhd │ │ │ │ │ │ ├── t48_tb_pack-p.vhd │ │ │ │ │ │ ├── timer-c.vhd │ │ │ │ │ │ └── timer.vhd │ │ │ │ │ ├── t65 │ │ │ │ │ │ ├── T65.vhd │ │ │ │ │ │ ├── T65_ALU.vhd │ │ │ │ │ │ ├── T65_MCode.vhd │ │ │ │ │ │ └── T65_Pack.vhd │ │ │ │ │ ├── t80 │ │ │ │ │ │ ├── T80.qip │ │ │ │ │ │ ├── T80.vhd │ │ │ │ │ │ ├── T80.yaml │ │ │ │ │ │ ├── T80_ALU.vhd │ │ │ │ │ │ ├── T80_MCode.vhd │ │ │ │ │ │ ├── T80_Reg.vhd │ │ │ │ │ │ ├── T80pa.vhd │ │ │ │ │ │ └── T80s.vhd │ │ │ │ │ ├── t8243 │ │ │ │ │ │ ├── t8243-c.vhd │ │ │ │ │ │ ├── t8243.f │ │ │ │ │ │ ├── t8243.qip │ │ │ │ │ │ ├── t8243.vhd │ │ │ │ │ │ ├── t8243.yaml │ │ │ │ │ │ ├── t8243_comp_pack-p.vhd │ │ │ │ │ │ ├── t8243_core-c.vhd │ │ │ │ │ │ ├── t8243_core.vhd │ │ │ │ │ │ ├── t8243_sync_notri-c.vhd │ │ │ │ │ │ └── t8243_sync_notri.vhd │ │ │ │ │ └── tv80 │ │ │ │ │ │ ├── tv80.f │ │ │ │ │ │ ├── tv80_alu.v │ │ │ │ │ │ ├── tv80_core.v │ │ │ │ │ │ ├── tv80_mcode.v │ │ │ │ │ │ ├── tv80_reg.v │ │ │ │ │ │ ├── tv80n.v │ │ │ │ │ │ ├── tv80s.qip │ │ │ │ │ │ └── tv80s.v │ │ │ │ ├── jt74.v │ │ │ │ ├── jtframe.qip │ │ │ │ ├── jtframe.yaml │ │ │ │ ├── jtframe_board.v │ │ │ │ ├── jtframe_debug.v │ │ │ │ ├── jtframe_dip.v │ │ │ │ ├── jtframe_enlarger.v │ │ │ │ ├── jtframe_ff.v │ │ │ │ ├── jtframe_led.v │ │ │ │ ├── jtframe_reset.v │ │ │ │ ├── jtframe_sh.v │ │ │ │ ├── jtframe_sort.v │ │ │ │ ├── jtframe_uart.v │ │ │ │ ├── jtframe_z80wait.v │ │ │ │ ├── keyboard │ │ │ │ │ ├── jt4701.v │ │ │ │ │ ├── jtframe_4wayjoy.v │ │ │ │ │ ├── jtframe_inputs.v │ │ │ │ │ ├── jtframe_keyboard.v │ │ │ │ │ ├── jtframe_mouse.v │ │ │ │ │ ├── jtframe_paddle.v │ │ │ │ │ ├── ps2_intf.vhd │ │ │ │ │ └── ps2_intf.vhd.bak │ │ │ │ ├── ram │ │ │ │ │ ├── jtframe_dual_nvram.v │ │ │ │ │ ├── jtframe_dual_nvram16.v │ │ │ │ │ ├── jtframe_dual_ram.v │ │ │ │ │ ├── jtframe_dual_ram16.v │ │ │ │ │ ├── jtframe_mmr.v │ │ │ │ │ ├── jtframe_obj_buffer.v │ │ │ │ │ ├── jtframe_prom.v │ │ │ │ │ ├── jtframe_ram.v │ │ │ │ │ └── jtframe_ram16.v │ │ │ │ ├── sdram │ │ │ │ │ ├── jtframe_dwnld.v │ │ │ │ │ ├── jtframe_gatecen.v │ │ │ │ │ ├── jtframe_ram2_6slots.v │ │ │ │ │ ├── jtframe_ram_2slots.v │ │ │ │ │ ├── jtframe_ram_3slots.v │ │ │ │ │ ├── jtframe_ram_4slots.v │ │ │ │ │ ├── jtframe_ram_5slots.v │ │ │ │ │ ├── jtframe_ram_rq.v │ │ │ │ │ ├── jtframe_rom.f │ │ │ │ │ ├── jtframe_rom.v │ │ │ │ │ ├── jtframe_rom_1slot.v │ │ │ │ │ ├── jtframe_rom_2slots.v │ │ │ │ │ ├── jtframe_rom_3slots.v │ │ │ │ │ ├── jtframe_rom_4slots.v │ │ │ │ │ ├── jtframe_rom_5slots.v │ │ │ │ │ ├── jtframe_rom_sync.v │ │ │ │ │ ├── jtframe_romflex.v │ │ │ │ │ ├── jtframe_romrq.v │ │ │ │ │ ├── jtframe_sdram.v │ │ │ │ │ ├── jtframe_sdram64.f │ │ │ │ │ ├── jtframe_sdram64.qip │ │ │ │ │ ├── jtframe_sdram64.v │ │ │ │ │ ├── jtframe_sdram64.yaml │ │ │ │ │ ├── jtframe_sdram64_bank.v │ │ │ │ │ ├── jtframe_sdram64_init.v │ │ │ │ │ ├── jtframe_sdram64_latch.v │ │ │ │ │ ├── jtframe_sdram64_rfsh.v │ │ │ │ │ ├── jtframe_sdram_bank.f │ │ │ │ │ ├── jtframe_sdram_bank.qip │ │ │ │ │ ├── jtframe_sdram_bank.v │ │ │ │ │ ├── jtframe_sdram_bank.yaml │ │ │ │ │ ├── jtframe_sdram_bank_core.v │ │ │ │ │ ├── jtframe_sdram_bank_mux.v │ │ │ │ │ ├── jtframe_sdram_mux.v │ │ │ │ │ ├── jtframe_sdram_rq.v │ │ │ │ │ ├── jtframe_sdram_stats.v │ │ │ │ │ └── jtframe_shadow.v │ │ │ │ ├── sound │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── fir_coeff.c │ │ │ │ │ ├── firjt49.m │ │ │ │ │ ├── hifi_1bit_dac.v │ │ │ │ │ ├── hybrid_pwm_sd.v │ │ │ │ │ ├── jtframe_dcrm.v │ │ │ │ │ ├── jtframe_fir.v │ │ │ │ │ ├── jtframe_fir2.m │ │ │ │ │ ├── jtframe_fir2.v │ │ │ │ │ ├── jtframe_fir3.m │ │ │ │ │ ├── jtframe_fir4.m │ │ │ │ │ ├── jtframe_fir_mono.v │ │ │ │ │ ├── jtframe_jt49_filters.qip │ │ │ │ │ ├── jtframe_jt49_filters.v │ │ │ │ │ ├── jtframe_jt49_filters.yaml │ │ │ │ │ ├── jtframe_mixer.v │ │ │ │ │ ├── jtframe_pole.v │ │ │ │ │ ├── jtframe_uprate2_fir.f │ │ │ │ │ ├── jtframe_uprate2_fir.qip │ │ │ │ │ ├── jtframe_uprate2_fir.v │ │ │ │ │ ├── jtframe_uprate2_fir.yaml │ │ │ │ │ └── jtframe_uprate3_fir.v │ │ │ │ ├── ver │ │ │ │ │ ├── W25Q32JV.v │ │ │ │ │ ├── altera_mf.v │ │ │ │ │ ├── fast_pll.f │ │ │ │ │ ├── fast_pll.v │ │ │ │ │ ├── game_test.v │ │ │ │ │ ├── jtframe_din_check.v │ │ │ │ │ ├── jtframe_romrq_rdy_check.v │ │ │ │ │ ├── jtframe_simwr_68k.v │ │ │ │ │ ├── mist_dump.v │ │ │ │ │ ├── mister_dump.v │ │ │ │ │ ├── mister_harness.v │ │ │ │ │ ├── mt48lc16m16a2.v │ │ │ │ │ ├── noise_gen.v │ │ │ │ │ ├── quick_sdram.v │ │ │ │ │ ├── sim.f │ │ │ │ │ ├── sim_mister.f │ │ │ │ │ ├── spitx.v │ │ │ │ │ ├── test.cpp │ │ │ │ │ ├── test_harness.v │ │ │ │ │ └── video_dump.v │ │ │ │ └── video │ │ │ │ │ ├── jtframe_avatar.v │ │ │ │ │ ├── jtframe_blank.v │ │ │ │ │ ├── jtframe_charmsg.v │ │ │ │ │ ├── jtframe_credits.v │ │ │ │ │ ├── jtframe_hsize.v │ │ │ │ │ ├── jtframe_linebuf.v │ │ │ │ │ ├── jtframe_pxl.v │ │ │ │ │ ├── jtframe_resync.v │ │ │ │ │ ├── jtframe_scan2x.v │ │ │ │ │ ├── jtframe_scan2xsl.v │ │ │ │ │ ├── jtframe_tilebuf.v │ │ │ │ │ ├── jtframe_tilemap.v │ │ │ │ │ ├── jtframe_vtimer.v │ │ │ │ │ └── jtframe_wirebw.v │ │ │ ├── syn │ │ │ │ └── jtframe_2308 │ │ │ │ │ ├── adc_test.sdc │ │ │ │ │ ├── adc_test.v │ │ │ │ │ ├── makefile │ │ │ │ │ ├── set_pins.tcl │ │ │ │ │ └── source.qip │ │ │ ├── target │ │ │ │ └── mister │ │ │ │ │ ├── cfgstr │ │ │ │ │ ├── hps_io.v │ │ │ │ │ ├── hq2x_dummy.sv │ │ │ │ │ ├── joydb15.v │ │ │ │ │ ├── jtframe_2308.v │ │ │ │ │ ├── jtframe_emu.sv │ │ │ │ │ ├── jtframe_joymux.v │ │ │ │ │ ├── jtframe_mister.sv │ │ │ │ │ ├── jtframe_mister_dwnld.v │ │ │ │ │ ├── jtframe_mr_ddrmux.v │ │ │ │ │ ├── jtframe_tape.v │ │ │ │ │ ├── mister.f │ │ │ │ │ ├── mister.qip │ │ │ │ │ ├── mister.qpf │ │ │ │ │ ├── mister.qsf │ │ │ │ │ ├── mister_full_test.sv │ │ │ │ │ ├── mister_pll24.v │ │ │ │ │ ├── mister_pll48.v │ │ │ │ │ ├── mister_test.v │ │ │ │ │ ├── pll6144 │ │ │ │ │ ├── jtframe_pll6144.bsf │ │ │ │ │ ├── jtframe_pll6144.cmp │ │ │ │ │ ├── jtframe_pll6144.ppf │ │ │ │ │ ├── jtframe_pll6144.qip │ │ │ │ │ ├── jtframe_pll6144.sip │ │ │ │ │ ├── jtframe_pll6144.spd │ │ │ │ │ ├── jtframe_pll6144.v │ │ │ │ │ ├── jtframe_pll6144 │ │ │ │ │ │ ├── jtframe_pll6144_0002.qip │ │ │ │ │ │ └── jtframe_pll6144_0002.v │ │ │ │ │ └── jtframe_pll6144_sim.f │ │ │ │ │ ├── pll6293 │ │ │ │ │ ├── jtframe_pll6293.bsf │ │ │ │ │ ├── jtframe_pll6293.cmp │ │ │ │ │ ├── jtframe_pll6293.ppf │ │ │ │ │ ├── jtframe_pll6293.qip │ │ │ │ │ ├── jtframe_pll6293.sip │ │ │ │ │ ├── jtframe_pll6293.v │ │ │ │ │ ├── jtframe_pll6293_0002.qip │ │ │ │ │ ├── jtframe_pll6293_0002.v │ │ │ │ │ └── ren.sh │ │ │ │ │ ├── pll6671 │ │ │ │ │ ├── jtframe_pll6671.bsf │ │ │ │ │ ├── jtframe_pll6671.cmp │ │ │ │ │ ├── jtframe_pll6671.ppf │ │ │ │ │ ├── jtframe_pll6671.qip │ │ │ │ │ ├── jtframe_pll6671.sip │ │ │ │ │ ├── jtframe_pll6671.spd │ │ │ │ │ ├── jtframe_pll6671.v │ │ │ │ │ └── jtframe_pll6671 │ │ │ │ │ │ ├── jtframe_pll6671_0002.qip │ │ │ │ │ │ └── jtframe_pll6671_0002.v │ │ │ │ │ ├── plls16 │ │ │ │ │ ├── plls16.bsf │ │ │ │ │ ├── plls16.cmp │ │ │ │ │ ├── plls16.ppf │ │ │ │ │ ├── plls16.qip │ │ │ │ │ ├── plls16.sip │ │ │ │ │ ├── plls16.v │ │ │ │ │ ├── plls16_0002.qip │ │ │ │ │ └── plls16_0002.v │ │ │ │ │ ├── slew_rate.tcl │ │ │ │ │ ├── sync_fix.sv │ │ │ │ │ └── sys │ │ │ │ │ ├── alsa.sv │ │ │ │ │ ├── arcade_video.v │ │ │ │ │ ├── ascal.vhd │ │ │ │ │ ├── audio_out.v │ │ │ │ │ ├── build_id.tcl │ │ │ │ │ ├── ddr_svc.sv │ │ │ │ │ ├── f2sdram_safe_terminator.sv │ │ │ │ │ ├── gamma_corr.sv │ │ │ │ │ ├── hdmi_config.sv │ │ │ │ │ ├── hps_io.v │ │ │ │ │ ├── hq2x.sv │ │ │ │ │ ├── i2c.v │ │ │ │ │ ├── i2s.v │ │ │ │ │ ├── iir_filter.v │ │ │ │ │ ├── ltc2308.sv │ │ │ │ │ ├── math.sv │ │ │ │ │ ├── mcp23009.sv │ │ │ │ │ ├── mister_clksync.v │ │ │ │ │ ├── mt32pi.sv │ │ │ │ │ ├── osd.sv │ │ │ │ │ ├── pll.13.qip │ │ │ │ │ ├── pll.bsf │ │ │ │ │ ├── pll.cmp │ │ │ │ │ ├── pll.ppf │ │ │ │ │ ├── pll.qip │ │ │ │ │ ├── pll.sip │ │ │ │ │ ├── pll.spd │ │ │ │ │ ├── pll.v │ │ │ │ │ ├── pll │ │ │ │ │ ├── pll_0002.qip │ │ │ │ │ └── pll_0002.v │ │ │ │ │ ├── pll_audio.13.qip │ │ │ │ │ ├── pll_audio.qip │ │ │ │ │ ├── pll_audio.v │ │ │ │ │ ├── pll_audio │ │ │ │ │ ├── pll_audio_0002.qip │ │ │ │ │ └── pll_audio_0002.v │ │ │ │ │ ├── pll_cfg.qip │ │ │ │ │ ├── pll_cfg.v │ │ │ │ │ ├── pll_cfg │ │ │ │ │ ├── altera_pll_reconfig_core.v │ │ │ │ │ └── altera_pll_reconfig_top.v │ │ │ │ │ ├── pll_hdmi.13.qip │ │ │ │ │ ├── pll_hdmi.qip │ │ │ │ │ ├── pll_hdmi.v │ │ │ │ │ ├── pll_hdmi │ │ │ │ │ ├── pll_hdmi_0002.qip │ │ │ │ │ ├── pll_hdmi_0002.v │ │ │ │ │ └── pll_hdmi_0002_q13.qip │ │ │ │ │ ├── pll_hdmi_adj.vhd │ │ │ │ │ ├── pll_hdmi_cfg.qip │ │ │ │ │ ├── pll_hdmi_q13.qip │ │ │ │ │ ├── pll_q13.qip │ │ │ │ │ ├── pll_q17.qip │ │ │ │ │ ├── pll_q20.qip │ │ │ │ │ ├── pll_q22.qip │ │ │ │ │ ├── pll_sim.f │ │ │ │ │ ├── scandoubler.v │ │ │ │ │ ├── scanlines.v │ │ │ │ │ ├── sd_card.sv │ │ │ │ │ ├── sdram_clk48.sdc │ │ │ │ │ ├── sdram_clk96.sdc │ │ │ │ │ ├── shadowmask.sv │ │ │ │ │ ├── sigma_delta_dac.v │ │ │ │ │ ├── spdif.v │ │ │ │ │ ├── sys.qip │ │ │ │ │ ├── sys.tcl │ │ │ │ │ ├── sys_analog.tcl │ │ │ │ │ ├── sys_dual_sdram.tcl │ │ │ │ │ ├── sys_nosdram.qip │ │ │ │ │ ├── sys_q13.qip │ │ │ │ │ ├── sys_top.sdc │ │ │ │ │ ├── sys_top.v │ │ │ │ │ ├── sys_top_nosdram.sdc │ │ │ │ │ ├── sysmem.sv │ │ │ │ │ ├── vga_out.sv │ │ │ │ │ ├── video_cleaner.sv │ │ │ │ │ ├── video_freak.sv │ │ │ │ │ ├── video_freezer.sv │ │ │ │ │ └── video_mixer.sv │ │ │ └── ver │ │ │ │ ├── cen │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── cheatdwn │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ │ ├── credits │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── fir8 │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── hsize │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ │ ├── jt4701 │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── jt63701 │ │ │ │ └── go.sh │ │ │ │ ├── jtframe_2308 │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── kabuki │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── mister_dwnld │ │ │ │ ├── go │ │ │ │ └── test.v │ │ │ │ ├── mixer │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── neptuno_dataio │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ │ ├── osd │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── pole │ │ │ │ ├── go │ │ │ │ └── test.cc │ │ │ │ ├── romrq │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── scan2x │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── sdram_bank │ │ │ │ ├── Makefile │ │ │ │ ├── filter.txt │ │ │ │ ├── go.sh │ │ │ │ ├── long.sh │ │ │ │ ├── randhex.py │ │ │ │ ├── report.sh │ │ │ │ └── test.v │ │ │ │ ├── sdram_bank64 │ │ │ │ ├── cmd.txt │ │ │ │ ├── prog_test │ │ │ │ │ ├── sim.sh │ │ │ │ │ └── test.v │ │ │ │ ├── romrq_dst │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── sim.sh │ │ │ │ │ └── test.v │ │ │ │ └── rw_test │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── long.sh │ │ │ │ │ ├── report.sh │ │ │ │ │ ├── short.sh │ │ │ │ │ ├── sim.sh │ │ │ │ │ └── test.v │ │ │ │ ├── sdram_bank_core │ │ │ │ ├── Makefile │ │ │ │ ├── go.sh │ │ │ │ ├── randhex.py │ │ │ │ ├── sdram_cmd.txt │ │ │ │ └── test.v │ │ │ │ ├── vtimer │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ └── wirebw │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ └── raizing_video │ │ │ ├── double_bank │ │ │ ├── AFBK_CT2.v │ │ │ ├── raizing_gcu.v │ │ │ └── raizing_video.v │ │ │ ├── hvsync_generator.v │ │ │ ├── raizing_colmix.v │ │ │ ├── raizing_extratext.v │ │ │ ├── raizing_obj.v │ │ │ ├── raizing_pal.v │ │ │ ├── raizing_scroll.v │ │ │ ├── raizing_video_double_bank.qip │ │ │ ├── raizing_video_single_bank.qip │ │ │ └── single_bank │ │ │ ├── AFBK_CT2.v │ │ │ ├── raizing_gcu.v │ │ │ └── raizing_video.v │ ├── rtl │ │ ├── pll.qip │ │ ├── raizingpll.bsf │ │ ├── raizingpll.cmp │ │ ├── raizingpll.ppf │ │ ├── raizingpll.qip │ │ ├── raizingpll.sip │ │ ├── raizingpll.spd │ │ ├── raizingpll.v │ │ ├── raizingpll │ │ │ ├── raizingpll_0002.qip │ │ │ └── raizingpll_0002.v │ │ ├── raizingpll_sim.f │ │ └── raizingpll_sim │ │ │ ├── aldec │ │ │ └── rivierapro_setup.tcl │ │ │ ├── cadence │ │ │ ├── cds.lib │ │ │ ├── hdl.var │ │ │ └── ncsim_setup.sh │ │ │ ├── mentor │ │ │ └── msim_setup.tcl │ │ │ ├── raizingpll.vo │ │ │ └── synopsys │ │ │ ├── vcs │ │ │ └── vcs_setup.sh │ │ │ └── vcsmx │ │ │ ├── synopsys_sim.setup │ │ │ └── vcsmx_setup.sh │ ├── snowbro2.qpf │ ├── snowbro2.qsf │ └── sys_top.sdc ├── tekipaki │ ├── .gitignore │ ├── LICENSE │ ├── build_id.v │ ├── c5_pin_model_dump.txt │ ├── cfgstr │ │ ├── cfgstr_tekipaki.hex │ │ ├── cfgstr_tekipaki.txt │ │ └── cfgstr_tekipaki_smb.txt │ ├── font0.hex │ ├── game.qip │ ├── hdl │ │ ├── tekipaki.def │ │ ├── tekipaki.qip │ │ ├── tekipaki_clock.v │ │ ├── tekipaki_cpu.v │ │ ├── tekipaki_game.v │ │ ├── tekipaki_sdram.v │ │ └── tekipaki_sound.v │ ├── jt6295_up4.hex │ ├── jt6295_up4_soft.hex │ ├── modules │ │ ├── fx68k │ │ │ ├── LICENSE │ │ │ ├── README.md │ │ │ ├── altera_regs.v │ │ │ ├── fx68k.sv │ │ │ ├── fx68k.txt │ │ │ ├── fx68kAlu.sv │ │ │ ├── microrom.mem │ │ │ ├── nanorom.mem │ │ │ └── uaddrPla.sv │ │ ├── jtframe │ │ │ ├── .github │ │ │ │ └── FUNDING.yml │ │ │ ├── .gitignore │ │ │ ├── .gitmodules │ │ │ ├── LICENSE │ │ │ ├── README.md │ │ │ ├── asm │ │ │ │ └── z80 │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── TinyBasic_2.0g.asm │ │ │ │ │ ├── jtTinyBasic.asm │ │ │ │ │ └── tiny_basic_instructions.pdf │ │ │ ├── bin │ │ │ │ ├── avatar.py │ │ │ │ ├── bin2m │ │ │ │ ├── bin2png.py │ │ │ │ ├── conv.m │ │ │ │ ├── dump.m │ │ │ │ ├── font0.pf │ │ │ │ ├── git-prompt.sh │ │ │ │ ├── jtblaster │ │ │ │ ├── jtcfgstr │ │ │ │ ├── jtcfgstr.go │ │ │ │ ├── jtcore │ │ │ │ ├── jtdef.go │ │ │ │ ├── jtfiles.go │ │ │ │ ├── jtioreg.awk │ │ │ │ ├── jtsdram │ │ │ │ ├── logo128.png │ │ │ │ ├── lut2hex │ │ │ │ ├── msg2hex │ │ │ │ ├── post-commit │ │ │ │ ├── pre-commit │ │ │ │ ├── rmdup.sh │ │ │ │ └── setprj.sh │ │ │ ├── cc │ │ │ │ ├── Makefile │ │ │ │ ├── bin2m.cc │ │ │ │ ├── lut2hex.cc │ │ │ │ └── msg2hex.cc │ │ │ ├── doc │ │ │ │ ├── 93c06.pdf │ │ │ │ ├── HD63701 │ │ │ │ │ ├── HD63701V0.pdf │ │ │ │ │ └── HD63701Y0.pdf │ │ │ │ ├── T48 Integration Manual.pdf │ │ │ │ ├── UPD4701AC-NEC.pdf │ │ │ │ ├── audio.md │ │ │ │ ├── cheat-tutorial.md │ │ │ │ ├── cheat.md │ │ │ │ ├── cheat.png │ │ │ │ ├── cheat │ │ │ │ │ ├── cheatasm │ │ │ │ │ ├── cheatzip │ │ │ │ │ ├── ecofghtr.s │ │ │ │ │ ├── ghouls.s │ │ │ │ │ ├── led.s │ │ │ │ │ └── sf2hf.s │ │ │ │ ├── clocks.md │ │ │ │ ├── compilation.md │ │ │ │ ├── cpus.md │ │ │ │ ├── credits.md │ │ │ │ ├── debug.md │ │ │ │ ├── inputs.md │ │ │ │ ├── ip.md │ │ │ │ ├── keycodes.png │ │ │ │ ├── macros.md │ │ │ │ ├── mc8051 │ │ │ │ │ ├── comp_orig_ipcore.pdf │ │ │ │ │ ├── mc8051_overview.pdf │ │ │ │ │ └── mc8051_user_guide.pdf │ │ │ │ ├── mist.md │ │ │ │ ├── mist │ │ │ │ │ ├── 256Mb_sdr.pdf │ │ │ │ │ ├── cyc3_ciii51001.pdf │ │ │ │ │ ├── cyc3_ciii51004.pdf │ │ │ │ │ └── mist13_schematic.pdf │ │ │ │ ├── mister │ │ │ │ │ ├── cyclonev_io_timing_13_1.xls │ │ │ │ │ ├── mister_adc.pdf │ │ │ │ │ ├── mister_sdram.pdf │ │ │ │ │ └── mister_sdram_32.pdf │ │ │ │ ├── osd.md │ │ │ │ ├── sdram.md │ │ │ │ ├── sdram_adv.png │ │ │ │ ├── sdram_dly.png │ │ │ │ ├── sdram_timing.ods │ │ │ │ ├── sidi │ │ │ │ │ └── cyiv-51001.pdf │ │ │ │ ├── sim.md │ │ │ │ ├── uart.jpg │ │ │ │ └── video.md │ │ │ ├── hdl │ │ │ │ ├── cheat │ │ │ │ │ ├── jtframe_cheat.v │ │ │ │ │ └── jtframe_cheat_rom.v │ │ │ │ ├── clocking │ │ │ │ │ ├── fast_pll.f │ │ │ │ │ ├── fast_pll.v │ │ │ │ │ ├── jtframe_cen24.v │ │ │ │ │ ├── jtframe_cen48.v │ │ │ │ │ ├── jtframe_cen96.v │ │ │ │ │ ├── jtframe_cencross_strobe.v │ │ │ │ │ ├── jtframe_cendiv.v │ │ │ │ │ ├── jtframe_crossclk_cen.v │ │ │ │ │ ├── jtframe_frac_cen.v │ │ │ │ │ ├── jtframe_freqinfo.v │ │ │ │ │ ├── jtframe_pll20.ppf │ │ │ │ │ ├── jtframe_pll20.qip │ │ │ │ │ ├── jtframe_pll20.v │ │ │ │ │ ├── jtframe_rst_sync.v │ │ │ │ │ └── jtframe_sync.v │ │ │ │ ├── cpu │ │ │ │ │ ├── 8051 │ │ │ │ │ │ ├── LGPL.TXT │ │ │ │ │ │ ├── addsub_core_.vhd │ │ │ │ │ │ ├── addsub_core_struc.vhd │ │ │ │ │ │ ├── addsub_core_struc_cfg.vhd │ │ │ │ │ │ ├── addsub_cy_.vhd │ │ │ │ │ │ ├── addsub_cy_rtl.vhd │ │ │ │ │ │ ├── addsub_cy_rtl_cfg.vhd │ │ │ │ │ │ ├── addsub_ovcy_.vhd │ │ │ │ │ │ ├── addsub_ovcy_rtl.vhd │ │ │ │ │ │ ├── addsub_ovcy_rtl_cfg.vhd │ │ │ │ │ │ ├── alucore_.vhd │ │ │ │ │ │ ├── alucore_rtl.vhd │ │ │ │ │ │ ├── alucore_rtl_cfg.vhd │ │ │ │ │ │ ├── alumux_.vhd │ │ │ │ │ │ ├── alumux_rtl.vhd │ │ │ │ │ │ ├── alumux_rtl_cfg.vhd │ │ │ │ │ │ ├── comb_divider_.vhd │ │ │ │ │ │ ├── comb_divider_rtl.vhd │ │ │ │ │ │ ├── comb_divider_rtl_cfg.vhd │ │ │ │ │ │ ├── comb_mltplr_.vhd │ │ │ │ │ │ ├── comb_mltplr_rtl.vhd │ │ │ │ │ │ ├── comb_mltplr_rtl_cfg.vhd │ │ │ │ │ │ ├── control_fsm_.vhd │ │ │ │ │ │ ├── control_fsm_rtl.vhd │ │ │ │ │ │ ├── control_fsm_rtl_cfg.vhd │ │ │ │ │ │ ├── control_mem_.vhd │ │ │ │ │ │ ├── control_mem_rtl.vhd │ │ │ │ │ │ ├── control_mem_rtl_cfg.vhd │ │ │ │ │ │ ├── dcml_adjust_.vhd │ │ │ │ │ │ ├── dcml_adjust_rtl.vhd │ │ │ │ │ │ ├── dcml_adjust_rtl_cfg.vhd │ │ │ │ │ │ ├── dummy_8051.v │ │ │ │ │ │ ├── mc8051.f │ │ │ │ │ │ ├── mc8051.qip │ │ │ │ │ │ ├── mc8051.yaml │ │ │ │ │ │ ├── mc8051_alu_.vhd │ │ │ │ │ │ ├── mc8051_alu_struc.vhd │ │ │ │ │ │ ├── mc8051_alu_struc_cfg.vhd │ │ │ │ │ │ ├── mc8051_control_.vhd │ │ │ │ │ │ ├── mc8051_control_struc.vhd │ │ │ │ │ │ ├── mc8051_control_struc_cfg.vhd │ │ │ │ │ │ ├── mc8051_core_.vhd │ │ │ │ │ │ ├── mc8051_core_struc.vhd │ │ │ │ │ │ ├── mc8051_core_struc_cfg.vhd │ │ │ │ │ │ ├── mc8051_p.vhd │ │ │ │ │ │ ├── mc8051_siu_.vhd │ │ │ │ │ │ ├── mc8051_siu_rtl.vhd │ │ │ │ │ │ ├── mc8051_siu_rtl_cfg.vhd │ │ │ │ │ │ ├── mc8051_tmrctr_.vhd │ │ │ │ │ │ ├── mc8051_tmrctr_rtl.vhd │ │ │ │ │ │ └── mc8051_tmrctr_rtl_cfg.vhd │ │ │ │ │ ├── 63701 │ │ │ │ │ │ ├── HD63701_ALU.v │ │ │ │ │ │ ├── HD63701_CORE.v │ │ │ │ │ │ ├── HD63701_EXEC.v │ │ │ │ │ │ ├── HD63701_MCODE.i │ │ │ │ │ │ ├── HD63701_MCROM.v │ │ │ │ │ │ ├── HD63701_SEQ.v │ │ │ │ │ │ ├── HD63701_defs.i │ │ │ │ │ │ ├── jt63701.f │ │ │ │ │ │ └── jt63701.v │ │ │ │ │ ├── 6801_core.sv │ │ │ │ │ ├── j68 │ │ │ │ │ │ ├── cpu_j68.v │ │ │ │ │ │ ├── j68.qip │ │ │ │ │ │ ├── j68.yaml │ │ │ │ │ │ ├── j68_addsub_32.v │ │ │ │ │ │ ├── j68_alu.v │ │ │ │ │ │ ├── j68_dec.mem │ │ │ │ │ │ ├── j68_dec_c.mem │ │ │ │ │ │ ├── j68_decode.v │ │ │ │ │ │ ├── j68_decode_rom.v │ │ │ │ │ │ ├── j68_dpram_2048x20.v │ │ │ │ │ │ ├── j68_flags.v │ │ │ │ │ │ ├── j68_loop.v │ │ │ │ │ │ ├── j68_mem_io.v │ │ │ │ │ │ ├── j68_ram_c.mem │ │ │ │ │ │ ├── j68_test.v │ │ │ │ │ │ └── jtframe_j68.v │ │ │ │ │ ├── jtframe_6801mcu.v │ │ │ │ │ ├── jtframe_68kdma.v │ │ │ │ │ ├── jtframe_68kdtack.v │ │ │ │ │ ├── jtframe_68kramcs.v │ │ │ │ │ ├── jtframe_8751mcu.v │ │ │ │ │ ├── jtframe_kabuki.v │ │ │ │ │ ├── jtframe_m68k.f │ │ │ │ │ ├── jtframe_m68k.qip │ │ │ │ │ ├── jtframe_m68k.v │ │ │ │ │ ├── jtframe_m68k.yaml │ │ │ │ │ ├── jtframe_sys6809.v │ │ │ │ │ ├── jtframe_virq.v │ │ │ │ │ ├── jtframe_z80.v │ │ │ │ │ ├── jtframe_z80.yaml │ │ │ │ │ ├── jtframe_z80wait.v │ │ │ │ │ ├── mc6502 │ │ │ │ │ │ ├── LICENSE │ │ │ │ │ │ ├── MC6502.v │ │ │ │ │ │ ├── MC6502Accumulator.v │ │ │ │ │ │ ├── MC6502Common.vh │ │ │ │ │ │ ├── MC6502ExecutionController.v │ │ │ │ │ │ ├── MC6502InstructionDecode.v │ │ │ │ │ │ ├── MC6502InterruptLogic.v │ │ │ │ │ │ ├── MC6502MemoryController.v │ │ │ │ │ │ ├── MC6502ProcessorStatusRegister.v │ │ │ │ │ │ ├── MC6502RegisterFile.v │ │ │ │ │ │ ├── MC6502Shifter.v │ │ │ │ │ │ ├── mc6502.f │ │ │ │ │ │ └── mc6502.qip │ │ │ │ │ ├── mc6809i.v │ │ │ │ │ ├── pauloblaze │ │ │ │ │ │ ├── LICENSE │ │ │ │ │ │ ├── alu.vhd │ │ │ │ │ │ ├── decoder.vhd │ │ │ │ │ │ ├── io_module.vhd │ │ │ │ │ │ ├── op_codes.vhd │ │ │ │ │ │ ├── pauloBlaze.vhd │ │ │ │ │ │ ├── pauloblaze.f │ │ │ │ │ │ ├── pauloblaze.qip │ │ │ │ │ │ ├── program_counter.vhd │ │ │ │ │ │ └── regFile.vhd │ │ │ │ │ ├── t48 │ │ │ │ │ │ ├── alu-c.vhd │ │ │ │ │ │ ├── alu.vhd │ │ │ │ │ │ ├── alu_pack-p.vhd │ │ │ │ │ │ ├── bus_mux-c.vhd │ │ │ │ │ │ ├── bus_mux.vhd │ │ │ │ │ │ ├── clock_ctrl-c.vhd │ │ │ │ │ │ ├── clock_ctrl.vhd │ │ │ │ │ │ ├── cond_branch-c.vhd │ │ │ │ │ │ ├── cond_branch.vhd │ │ │ │ │ │ ├── cond_branch_pack-p.vhd │ │ │ │ │ │ ├── db_bus-c.vhd │ │ │ │ │ │ ├── db_bus.vhd │ │ │ │ │ │ ├── decoder-c.vhd │ │ │ │ │ │ ├── decoder.vhd │ │ │ │ │ │ ├── decoder_pack-p.vhd │ │ │ │ │ │ ├── dmem_ctrl-c.vhd │ │ │ │ │ │ ├── dmem_ctrl.vhd │ │ │ │ │ │ ├── dmem_ctrl_pack-p.vhd │ │ │ │ │ │ ├── int-c.vhd │ │ │ │ │ │ ├── int.vhd │ │ │ │ │ │ ├── p1-c.vhd │ │ │ │ │ │ ├── p1.vhd │ │ │ │ │ │ ├── p2-c.vhd │ │ │ │ │ │ ├── p2.vhd │ │ │ │ │ │ ├── pmem_ctrl-c.vhd │ │ │ │ │ │ ├── pmem_ctrl.vhd │ │ │ │ │ │ ├── pmem_ctrl_pack-p.vhd │ │ │ │ │ │ ├── psw-c.vhd │ │ │ │ │ │ ├── psw.vhd │ │ │ │ │ │ ├── system │ │ │ │ │ │ │ ├── generic_ram_ena-c.vhd │ │ │ │ │ │ │ ├── generic_ram_ena.vhd │ │ │ │ │ │ │ ├── lpm_ram_dq.vhd │ │ │ │ │ │ │ ├── lpm_rom.vhd │ │ │ │ │ │ │ ├── t48_rom-e.vhd │ │ │ │ │ │ │ ├── t48_rom-struct-a.vhd │ │ │ │ │ │ │ ├── t48_rom-struct-c.vhd │ │ │ │ │ │ │ ├── t48_system_comp_pack-p.vhd │ │ │ │ │ │ │ ├── t49_rom-e.vhd │ │ │ │ │ │ │ ├── t49_rom-struct-a.vhd │ │ │ │ │ │ │ ├── t49_rom-struct-c.vhd │ │ │ │ │ │ │ ├── t8039-c.vhd │ │ │ │ │ │ │ ├── t8039.vhd │ │ │ │ │ │ │ ├── t8039_notri-c.vhd │ │ │ │ │ │ │ ├── t8039_notri.vhd │ │ │ │ │ │ │ ├── t8048-c.vhd │ │ │ │ │ │ │ ├── t8048.vhd │ │ │ │ │ │ │ ├── t8048_notri-c.vhd │ │ │ │ │ │ │ ├── t8048_notri.vhd │ │ │ │ │ │ │ ├── t8050_wb-c.vhd │ │ │ │ │ │ │ ├── t8050_wb.vhd │ │ │ │ │ │ │ ├── wb_master-c.vhd │ │ │ │ │ │ │ └── wb_master.vhd │ │ │ │ │ │ ├── t48.f │ │ │ │ │ │ ├── t48.qip │ │ │ │ │ │ ├── t48.yaml │ │ │ │ │ │ ├── t48_comp_pack-p.vhd │ │ │ │ │ │ ├── t48_core-c.vhd │ │ │ │ │ │ ├── t48_core.vhd │ │ │ │ │ │ ├── t48_core_comp_pack-p.vhd │ │ │ │ │ │ ├── t48_pack-p.vhd │ │ │ │ │ │ ├── t48_tb_pack-p.vhd │ │ │ │ │ │ ├── timer-c.vhd │ │ │ │ │ │ └── timer.vhd │ │ │ │ │ ├── t65 │ │ │ │ │ │ ├── T65.vhd │ │ │ │ │ │ ├── T65_ALU.vhd │ │ │ │ │ │ ├── T65_MCode.vhd │ │ │ │ │ │ └── T65_Pack.vhd │ │ │ │ │ ├── t80 │ │ │ │ │ │ ├── T80.qip │ │ │ │ │ │ ├── T80.vhd │ │ │ │ │ │ ├── T80.yaml │ │ │ │ │ │ ├── T80_ALU.vhd │ │ │ │ │ │ ├── T80_MCode.vhd │ │ │ │ │ │ ├── T80_Reg.vhd │ │ │ │ │ │ ├── T80pa.vhd │ │ │ │ │ │ └── T80s.vhd │ │ │ │ │ ├── t8243 │ │ │ │ │ │ ├── t8243-c.vhd │ │ │ │ │ │ ├── t8243.f │ │ │ │ │ │ ├── t8243.qip │ │ │ │ │ │ ├── t8243.vhd │ │ │ │ │ │ ├── t8243.yaml │ │ │ │ │ │ ├── t8243_comp_pack-p.vhd │ │ │ │ │ │ ├── t8243_core-c.vhd │ │ │ │ │ │ ├── t8243_core.vhd │ │ │ │ │ │ ├── t8243_sync_notri-c.vhd │ │ │ │ │ │ └── t8243_sync_notri.vhd │ │ │ │ │ └── tv80 │ │ │ │ │ │ ├── tv80.f │ │ │ │ │ │ ├── tv80_alu.v │ │ │ │ │ │ ├── tv80_core.v │ │ │ │ │ │ ├── tv80_mcode.v │ │ │ │ │ │ ├── tv80_reg.v │ │ │ │ │ │ ├── tv80n.v │ │ │ │ │ │ ├── tv80s.qip │ │ │ │ │ │ └── tv80s.v │ │ │ │ ├── jt74.v │ │ │ │ ├── jtframe.qip │ │ │ │ ├── jtframe.yaml │ │ │ │ ├── jtframe_board.v │ │ │ │ ├── jtframe_debug.v │ │ │ │ ├── jtframe_dip.v │ │ │ │ ├── jtframe_enlarger.v │ │ │ │ ├── jtframe_ff.v │ │ │ │ ├── jtframe_led.v │ │ │ │ ├── jtframe_reset.v │ │ │ │ ├── jtframe_sh.v │ │ │ │ ├── jtframe_sort.v │ │ │ │ ├── jtframe_uart.v │ │ │ │ ├── jtframe_z80wait.v │ │ │ │ ├── keyboard │ │ │ │ │ ├── jt4701.v │ │ │ │ │ ├── jtframe_4wayjoy.v │ │ │ │ │ ├── jtframe_inputs.v │ │ │ │ │ ├── jtframe_keyboard.v │ │ │ │ │ ├── jtframe_mouse.v │ │ │ │ │ ├── jtframe_paddle.v │ │ │ │ │ ├── ps2_intf.vhd │ │ │ │ │ └── ps2_intf.vhd.bak │ │ │ │ ├── ram │ │ │ │ │ ├── jtframe_dual_nvram.v │ │ │ │ │ ├── jtframe_dual_nvram16.v │ │ │ │ │ ├── jtframe_dual_ram.v │ │ │ │ │ ├── jtframe_dual_ram16.v │ │ │ │ │ ├── jtframe_mmr.v │ │ │ │ │ ├── jtframe_obj_buffer.v │ │ │ │ │ ├── jtframe_prom.v │ │ │ │ │ ├── jtframe_ram.v │ │ │ │ │ └── jtframe_ram16.v │ │ │ │ ├── sdram │ │ │ │ │ ├── jtframe_dwnld.v │ │ │ │ │ ├── jtframe_gatecen.v │ │ │ │ │ ├── jtframe_ram2_6slots.v │ │ │ │ │ ├── jtframe_ram_2slots.v │ │ │ │ │ ├── jtframe_ram_3slots.v │ │ │ │ │ ├── jtframe_ram_4slots.v │ │ │ │ │ ├── jtframe_ram_5slots.v │ │ │ │ │ ├── jtframe_ram_rq.v │ │ │ │ │ ├── jtframe_rom.f │ │ │ │ │ ├── jtframe_rom.v │ │ │ │ │ ├── jtframe_rom_1slot.v │ │ │ │ │ ├── jtframe_rom_2slots.v │ │ │ │ │ ├── jtframe_rom_3slots.v │ │ │ │ │ ├── jtframe_rom_4slots.v │ │ │ │ │ ├── jtframe_rom_5slots.v │ │ │ │ │ ├── jtframe_rom_sync.v │ │ │ │ │ ├── jtframe_romflex.v │ │ │ │ │ ├── jtframe_romrq.v │ │ │ │ │ ├── jtframe_sdram.v │ │ │ │ │ ├── jtframe_sdram64.f │ │ │ │ │ ├── jtframe_sdram64.qip │ │ │ │ │ ├── jtframe_sdram64.v │ │ │ │ │ ├── jtframe_sdram64.yaml │ │ │ │ │ ├── jtframe_sdram64_bank.v │ │ │ │ │ ├── jtframe_sdram64_init.v │ │ │ │ │ ├── jtframe_sdram64_latch.v │ │ │ │ │ ├── jtframe_sdram64_rfsh.v │ │ │ │ │ ├── jtframe_sdram_bank.f │ │ │ │ │ ├── jtframe_sdram_bank.qip │ │ │ │ │ ├── jtframe_sdram_bank.v │ │ │ │ │ ├── jtframe_sdram_bank.yaml │ │ │ │ │ ├── jtframe_sdram_bank_core.v │ │ │ │ │ ├── jtframe_sdram_bank_mux.v │ │ │ │ │ ├── jtframe_sdram_mux.v │ │ │ │ │ ├── jtframe_sdram_rq.v │ │ │ │ │ ├── jtframe_sdram_stats.v │ │ │ │ │ └── jtframe_shadow.v │ │ │ │ ├── sound │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── fir_coeff.c │ │ │ │ │ ├── firjt49.m │ │ │ │ │ ├── hifi_1bit_dac.v │ │ │ │ │ ├── hybrid_pwm_sd.v │ │ │ │ │ ├── jtframe_dcrm.v │ │ │ │ │ ├── jtframe_fir.v │ │ │ │ │ ├── jtframe_fir2.m │ │ │ │ │ ├── jtframe_fir2.v │ │ │ │ │ ├── jtframe_fir3.m │ │ │ │ │ ├── jtframe_fir4.m │ │ │ │ │ ├── jtframe_fir_mono.v │ │ │ │ │ ├── jtframe_jt49_filters.qip │ │ │ │ │ ├── jtframe_jt49_filters.v │ │ │ │ │ ├── jtframe_jt49_filters.yaml │ │ │ │ │ ├── jtframe_mixer.v │ │ │ │ │ ├── jtframe_pole.v │ │ │ │ │ ├── jtframe_uprate2_fir.f │ │ │ │ │ ├── jtframe_uprate2_fir.qip │ │ │ │ │ ├── jtframe_uprate2_fir.v │ │ │ │ │ ├── jtframe_uprate2_fir.yaml │ │ │ │ │ └── jtframe_uprate3_fir.v │ │ │ │ ├── ver │ │ │ │ │ ├── W25Q32JV.v │ │ │ │ │ ├── altera_mf.v │ │ │ │ │ ├── fast_pll.f │ │ │ │ │ ├── fast_pll.v │ │ │ │ │ ├── game_test.v │ │ │ │ │ ├── jtframe_din_check.v │ │ │ │ │ ├── jtframe_romrq_rdy_check.v │ │ │ │ │ ├── jtframe_simwr_68k.v │ │ │ │ │ ├── mist_dump.v │ │ │ │ │ ├── mister_dump.v │ │ │ │ │ ├── mister_harness.v │ │ │ │ │ ├── mt48lc16m16a2.v │ │ │ │ │ ├── noise_gen.v │ │ │ │ │ ├── quick_sdram.v │ │ │ │ │ ├── sim.f │ │ │ │ │ ├── sim_mister.f │ │ │ │ │ ├── spitx.v │ │ │ │ │ ├── test.cpp │ │ │ │ │ ├── test_harness.v │ │ │ │ │ └── video_dump.v │ │ │ │ └── video │ │ │ │ │ ├── jtframe_avatar.v │ │ │ │ │ ├── jtframe_blank.v │ │ │ │ │ ├── jtframe_charmsg.v │ │ │ │ │ ├── jtframe_credits.v │ │ │ │ │ ├── jtframe_hsize.v │ │ │ │ │ ├── jtframe_linebuf.v │ │ │ │ │ ├── jtframe_pxl.v │ │ │ │ │ ├── jtframe_resync.v │ │ │ │ │ ├── jtframe_scan2x.v │ │ │ │ │ ├── jtframe_scan2xsl.v │ │ │ │ │ ├── jtframe_tilebuf.v │ │ │ │ │ ├── jtframe_tilemap.v │ │ │ │ │ ├── jtframe_vtimer.v │ │ │ │ │ └── jtframe_wirebw.v │ │ │ ├── syn │ │ │ │ └── jtframe_2308 │ │ │ │ │ ├── adc_test.sdc │ │ │ │ │ ├── adc_test.v │ │ │ │ │ ├── makefile │ │ │ │ │ ├── set_pins.tcl │ │ │ │ │ └── source.qip │ │ │ ├── target │ │ │ │ └── mister │ │ │ │ │ ├── cfgstr │ │ │ │ │ ├── hps_io.v │ │ │ │ │ ├── hq2x_dummy.sv │ │ │ │ │ ├── joydb15.v │ │ │ │ │ ├── jtframe_2308.v │ │ │ │ │ ├── jtframe_emu.sv │ │ │ │ │ ├── jtframe_joymux.v │ │ │ │ │ ├── jtframe_mister.sv │ │ │ │ │ ├── jtframe_mister_dwnld.v │ │ │ │ │ ├── jtframe_mr_ddrmux.v │ │ │ │ │ ├── jtframe_tape.v │ │ │ │ │ ├── mister.f │ │ │ │ │ ├── mister.qip │ │ │ │ │ ├── mister.qpf │ │ │ │ │ ├── mister.qsf │ │ │ │ │ ├── mister_full_test.sv │ │ │ │ │ ├── mister_pll24.v │ │ │ │ │ ├── mister_pll48.v │ │ │ │ │ ├── mister_test.v │ │ │ │ │ ├── pll6144 │ │ │ │ │ ├── jtframe_pll6144.bsf │ │ │ │ │ ├── jtframe_pll6144.cmp │ │ │ │ │ ├── jtframe_pll6144.ppf │ │ │ │ │ ├── jtframe_pll6144.qip │ │ │ │ │ ├── jtframe_pll6144.sip │ │ │ │ │ ├── jtframe_pll6144.spd │ │ │ │ │ ├── jtframe_pll6144.v │ │ │ │ │ ├── jtframe_pll6144 │ │ │ │ │ │ ├── jtframe_pll6144_0002.qip │ │ │ │ │ │ └── jtframe_pll6144_0002.v │ │ │ │ │ └── jtframe_pll6144_sim.f │ │ │ │ │ ├── pll6293 │ │ │ │ │ ├── jtframe_pll6293.bsf │ │ │ │ │ ├── jtframe_pll6293.cmp │ │ │ │ │ ├── jtframe_pll6293.ppf │ │ │ │ │ ├── jtframe_pll6293.qip │ │ │ │ │ ├── jtframe_pll6293.sip │ │ │ │ │ ├── jtframe_pll6293.v │ │ │ │ │ ├── jtframe_pll6293_0002.qip │ │ │ │ │ ├── jtframe_pll6293_0002.v │ │ │ │ │ └── ren.sh │ │ │ │ │ ├── pll6671 │ │ │ │ │ ├── jtframe_pll6671.bsf │ │ │ │ │ ├── jtframe_pll6671.cmp │ │ │ │ │ ├── jtframe_pll6671.ppf │ │ │ │ │ ├── jtframe_pll6671.qip │ │ │ │ │ ├── jtframe_pll6671.sip │ │ │ │ │ ├── jtframe_pll6671.spd │ │ │ │ │ ├── jtframe_pll6671.v │ │ │ │ │ └── jtframe_pll6671 │ │ │ │ │ │ ├── jtframe_pll6671_0002.qip │ │ │ │ │ │ └── jtframe_pll6671_0002.v │ │ │ │ │ ├── plls16 │ │ │ │ │ ├── plls16.bsf │ │ │ │ │ ├── plls16.cmp │ │ │ │ │ ├── plls16.ppf │ │ │ │ │ ├── plls16.qip │ │ │ │ │ ├── plls16.sip │ │ │ │ │ ├── plls16.v │ │ │ │ │ ├── plls16_0002.qip │ │ │ │ │ └── plls16_0002.v │ │ │ │ │ ├── slew_rate.tcl │ │ │ │ │ ├── sync_fix.sv │ │ │ │ │ └── sys │ │ │ │ │ ├── alsa.sv │ │ │ │ │ ├── arcade_video.v │ │ │ │ │ ├── ascal.vhd │ │ │ │ │ ├── audio_out.v │ │ │ │ │ ├── build_id.tcl │ │ │ │ │ ├── ddr_svc.sv │ │ │ │ │ ├── f2sdram_safe_terminator.sv │ │ │ │ │ ├── gamma_corr.sv │ │ │ │ │ ├── hdmi_config.sv │ │ │ │ │ ├── hps_io.v │ │ │ │ │ ├── hq2x.sv │ │ │ │ │ ├── i2c.v │ │ │ │ │ ├── i2s.v │ │ │ │ │ ├── iir_filter.v │ │ │ │ │ ├── ltc2308.sv │ │ │ │ │ ├── math.sv │ │ │ │ │ ├── mcp23009.sv │ │ │ │ │ ├── mister_clksync.v │ │ │ │ │ ├── mt32pi.sv │ │ │ │ │ ├── osd.sv │ │ │ │ │ ├── pll.13.qip │ │ │ │ │ ├── pll.bsf │ │ │ │ │ ├── pll.cmp │ │ │ │ │ ├── pll.ppf │ │ │ │ │ ├── pll.qip │ │ │ │ │ ├── pll.sip │ │ │ │ │ ├── pll.spd │ │ │ │ │ ├── pll.v │ │ │ │ │ ├── pll │ │ │ │ │ ├── pll_0002.qip │ │ │ │ │ └── pll_0002.v │ │ │ │ │ ├── pll_audio.13.qip │ │ │ │ │ ├── pll_audio.qip │ │ │ │ │ ├── pll_audio.v │ │ │ │ │ ├── pll_audio │ │ │ │ │ ├── pll_audio_0002.qip │ │ │ │ │ └── pll_audio_0002.v │ │ │ │ │ ├── pll_cfg.qip │ │ │ │ │ ├── pll_cfg.v │ │ │ │ │ ├── pll_cfg │ │ │ │ │ ├── altera_pll_reconfig_core.v │ │ │ │ │ └── altera_pll_reconfig_top.v │ │ │ │ │ ├── pll_hdmi.13.qip │ │ │ │ │ ├── pll_hdmi.qip │ │ │ │ │ ├── pll_hdmi.v │ │ │ │ │ ├── pll_hdmi │ │ │ │ │ ├── pll_hdmi_0002.qip │ │ │ │ │ ├── pll_hdmi_0002.v │ │ │ │ │ └── pll_hdmi_0002_q13.qip │ │ │ │ │ ├── pll_hdmi_adj.vhd │ │ │ │ │ ├── pll_hdmi_cfg.qip │ │ │ │ │ ├── pll_hdmi_q13.qip │ │ │ │ │ ├── pll_q13.qip │ │ │ │ │ ├── pll_q17.qip │ │ │ │ │ ├── pll_q20.qip │ │ │ │ │ ├── pll_q22.qip │ │ │ │ │ ├── pll_sim.f │ │ │ │ │ ├── scandoubler.v │ │ │ │ │ ├── scanlines.v │ │ │ │ │ ├── sd_card.sv │ │ │ │ │ ├── sdram_clk48.sdc │ │ │ │ │ ├── sdram_clk96.sdc │ │ │ │ │ ├── shadowmask.sv │ │ │ │ │ ├── sigma_delta_dac.v │ │ │ │ │ ├── spdif.v │ │ │ │ │ ├── sys.qip │ │ │ │ │ ├── sys.tcl │ │ │ │ │ ├── sys_analog.tcl │ │ │ │ │ ├── sys_dual_sdram.tcl │ │ │ │ │ ├── sys_nosdram.qip │ │ │ │ │ ├── sys_q13.qip │ │ │ │ │ ├── sys_top.sdc │ │ │ │ │ ├── sys_top.v │ │ │ │ │ ├── sys_top_nosdram.sdc │ │ │ │ │ ├── sysmem.sv │ │ │ │ │ ├── vga_out.sv │ │ │ │ │ ├── video_cleaner.sv │ │ │ │ │ ├── video_freak.sv │ │ │ │ │ ├── video_freezer.sv │ │ │ │ │ └── video_mixer.sv │ │ │ └── ver │ │ │ │ ├── cen │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── cheatdwn │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ │ ├── credits │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── fir8 │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── hsize │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ │ ├── jt4701 │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── jt63701 │ │ │ │ └── go.sh │ │ │ │ ├── jtframe_2308 │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── kabuki │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── mister_dwnld │ │ │ │ ├── go │ │ │ │ └── test.v │ │ │ │ ├── mixer │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── neptuno_dataio │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ │ ├── osd │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── pole │ │ │ │ ├── go │ │ │ │ └── test.cc │ │ │ │ ├── romrq │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── scan2x │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ ├── sdram_bank │ │ │ │ ├── Makefile │ │ │ │ ├── filter.txt │ │ │ │ ├── go.sh │ │ │ │ ├── long.sh │ │ │ │ ├── randhex.py │ │ │ │ ├── report.sh │ │ │ │ └── test.v │ │ │ │ ├── sdram_bank64 │ │ │ │ ├── cmd.txt │ │ │ │ ├── prog_test │ │ │ │ │ ├── sim.sh │ │ │ │ │ └── test.v │ │ │ │ ├── romrq_dst │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── sim.sh │ │ │ │ │ └── test.v │ │ │ │ └── rw_test │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── long.sh │ │ │ │ │ ├── report.sh │ │ │ │ │ ├── short.sh │ │ │ │ │ ├── sim.sh │ │ │ │ │ └── test.v │ │ │ │ ├── sdram_bank_core │ │ │ │ ├── Makefile │ │ │ │ ├── go.sh │ │ │ │ ├── randhex.py │ │ │ │ ├── sdram_cmd.txt │ │ │ │ └── test.v │ │ │ │ ├── vtimer │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ │ │ └── wirebw │ │ │ │ ├── go.sh │ │ │ │ └── test.v │ │ ├── jtopl │ │ │ ├── LICENSE │ │ │ ├── README.md │ │ │ ├── doc │ │ │ │ ├── Makefile │ │ │ │ ├── Y8950 app notes.pdf │ │ │ │ ├── Y8950 datasheet.pdf │ │ │ │ ├── YM2413.pdf │ │ │ │ ├── YM3812 datasheet.pdf │ │ │ │ ├── Yamaha YM3812 Application Manual.pdf │ │ │ │ ├── lfo_count.cc │ │ │ │ ├── notes.ods │ │ │ │ ├── opl3.c │ │ │ │ ├── opl3.h │ │ │ │ ├── opll.c │ │ │ │ ├── opll.h │ │ │ │ ├── opll_patches.c │ │ │ │ └── ym2413 app notes.pdf │ │ │ ├── hdl │ │ │ │ ├── common.yaml │ │ │ │ ├── jt2413.v │ │ │ │ ├── jt2413.yaml │ │ │ │ ├── jt26.qip │ │ │ │ ├── jt26.yaml │ │ │ │ ├── jtopl.v │ │ │ │ ├── jtopl.yaml │ │ │ │ ├── jtopl2.qip │ │ │ │ ├── jtopl2.v │ │ │ │ ├── jtopl2.yaml │ │ │ │ ├── jtopl_acc.v │ │ │ │ ├── jtopl_csr.v │ │ │ │ ├── jtopl_div.v │ │ │ │ ├── jtopl_eg.v │ │ │ │ ├── jtopl_eg_cnt.v │ │ │ │ ├── jtopl_eg_comb.v │ │ │ │ ├── jtopl_eg_ctrl.v │ │ │ │ ├── jtopl_eg_final.v │ │ │ │ ├── jtopl_eg_pure.v │ │ │ │ ├── jtopl_eg_step.v │ │ │ │ ├── jtopl_exprom.v │ │ │ │ ├── jtopl_lfo.v │ │ │ │ ├── jtopl_logsin.v │ │ │ │ ├── jtopl_mmr.v │ │ │ │ ├── jtopl_noise.v │ │ │ │ ├── jtopl_op.v │ │ │ │ ├── jtopl_pg.v │ │ │ │ ├── jtopl_pg_comb.v │ │ │ │ ├── jtopl_pg_inc.v │ │ │ │ ├── jtopl_pg_rhy.v │ │ │ │ ├── jtopl_pg_sum.v │ │ │ │ ├── jtopl_pm.v │ │ │ │ ├── jtopl_reg.v │ │ │ │ ├── jtopl_reg_ch.v │ │ │ │ ├── jtopl_sh.v │ │ │ │ ├── jtopl_sh_rst.v │ │ │ │ ├── jtopl_single_acc.v │ │ │ │ ├── jtopl_slot_cnt.v │ │ │ │ ├── jtopl_timers.v │ │ │ │ ├── jtopll.yaml │ │ │ │ ├── jtopll_mmr.v │ │ │ │ └── jtopll_reg.v │ │ │ ├── jt2413.qip │ │ │ ├── jt26.qip │ │ │ ├── jtopl2.qip │ │ │ └── ver │ │ │ │ ├── jtopl_eg │ │ │ │ ├── sweep.cpp │ │ │ │ ├── sweep.sh │ │ │ │ └── sweep.v │ │ │ │ ├── jtopl_eg_comb_tb │ │ │ │ ├── README │ │ │ │ ├── go │ │ │ │ ├── sim.sh │ │ │ │ ├── test.cpp │ │ │ │ ├── test.v │ │ │ │ └── tests │ │ │ │ │ └── attack.tst │ │ │ │ └── verilator │ │ │ │ ├── VGMParser.cpp │ │ │ │ ├── VGMParser.hpp │ │ │ │ ├── WaveWritter.cpp │ │ │ │ ├── WaveWritter.hpp │ │ │ │ ├── feature.hpp │ │ │ │ ├── mmr.lut │ │ │ │ ├── sim.sh │ │ │ │ ├── test.cpp │ │ │ │ └── tests │ │ │ │ ├── am.jtt │ │ │ │ ├── fb.jtt │ │ │ │ ├── fnum.jtt │ │ │ │ ├── keycode.jtt │ │ │ │ ├── ksl1.jtt │ │ │ │ ├── ksl2.jtt │ │ │ │ ├── ksl3.jtt │ │ │ │ ├── mod.jtt │ │ │ │ ├── noteG.jtt │ │ │ │ ├── perc.jtt │ │ │ │ ├── rates.jtt │ │ │ │ ├── slots.jtt │ │ │ │ ├── slots_mod.jtt │ │ │ │ ├── timers.jtt │ │ │ │ ├── tl.jtt │ │ │ │ ├── tone.jtt │ │ │ │ ├── tone_w1.jtt │ │ │ │ ├── tone_w2.jtt │ │ │ │ ├── tone_w3.jtt │ │ │ │ └── vib.jtt │ │ └── raizing_video │ │ │ ├── double_bank │ │ │ ├── AFBK_CT2.v │ │ │ ├── raizing_gcu.v │ │ │ └── raizing_video.v │ │ │ ├── hvsync_generator.v │ │ │ ├── raizing_colmix.v │ │ │ ├── raizing_extratext.v │ │ │ ├── raizing_obj.v │ │ │ ├── raizing_pal.v │ │ │ ├── raizing_scroll.v │ │ │ ├── raizing_video_double_bank.qip │ │ │ ├── raizing_video_single_bank.qip │ │ │ └── single_bank │ │ │ ├── AFBK_CT2.v │ │ │ ├── raizing_gcu.v │ │ │ └── raizing_video.v │ ├── rtl │ │ ├── pll.qip │ │ ├── raizingpll.bsf │ │ ├── raizingpll.cmp │ │ ├── raizingpll.ppf │ │ ├── raizingpll.qip │ │ ├── raizingpll.sip │ │ ├── raizingpll.spd │ │ ├── raizingpll.v │ │ ├── raizingpll │ │ │ ├── raizingpll_0002.qip │ │ │ └── raizingpll_0002.v │ │ ├── raizingpll_sim.f │ │ └── raizingpll_sim │ │ │ ├── aldec │ │ │ └── rivierapro_setup.tcl │ │ │ ├── cadence │ │ │ ├── cds.lib │ │ │ ├── hdl.var │ │ │ └── ncsim_setup.sh │ │ │ ├── mentor │ │ │ └── msim_setup.tcl │ │ │ ├── raizingpll.vo │ │ │ └── synopsys │ │ │ ├── vcs │ │ │ └── vcs_setup.sh │ │ │ └── vcsmx │ │ │ ├── synopsys_sim.setup │ │ │ └── vcsmx_setup.sh │ ├── sys_top.sdc │ ├── tekipaki.qpf │ └── tekipaki.qsf └── truxton2 │ ├── .gitignore │ ├── LICENSE │ ├── build_id.v │ ├── c5_pin_model_dump.txt │ ├── cfgstr │ ├── cfgstr_truxton2.hex │ ├── cfgstr_truxton2.txt │ └── cfgstr_truxton2_smb.txt │ ├── font0.hex │ ├── game.qip │ ├── hdl │ ├── truxton2.def │ ├── truxton2.qip │ ├── truxton2_clock.v │ ├── truxton2_cpu.v │ ├── truxton2_game.v │ ├── truxton2_sdram.v │ └── truxton2_sound.v │ ├── jt6295_up4.hex │ ├── jt6295_up4_soft.hex │ ├── modules │ ├── fx68k │ │ ├── LICENSE │ │ ├── README.md │ │ ├── altera_regs.v │ │ ├── fx68k.sv │ │ ├── fx68k.txt │ │ ├── fx68kAlu.sv │ │ ├── microrom.mem │ │ ├── nanorom.mem │ │ └── uaddrPla.sv │ ├── jt51 │ │ ├── .github │ │ │ └── FUNDING.yml │ │ ├── .gitignore │ │ ├── LICENSE │ │ ├── README.md │ │ ├── bin │ │ │ ├── graf │ │ │ ├── makefile │ │ │ └── ymx2ym │ │ ├── cc │ │ │ ├── Makefile │ │ │ ├── phinc.cc │ │ │ ├── print_con.cc │ │ │ ├── tables.cc │ │ │ └── tables.h │ │ ├── doc │ │ │ ├── FPGA usage Spartan 3.txt │ │ │ ├── envelope.ods │ │ │ ├── fir.ods │ │ │ ├── jt51 datasheet.pdf │ │ │ ├── opm.c │ │ │ ├── opm.h │ │ │ └── yamaha │ │ │ │ ├── ym2151_app_notes.pdf │ │ │ │ └── ym2151_datasheet.pdf │ │ ├── hdl │ │ │ ├── deprecated │ │ │ │ └── jt51_sh2.v │ │ │ ├── filter │ │ │ │ ├── jt51_dac2.v │ │ │ │ ├── jt51_fir.v │ │ │ │ ├── jt51_fir4.v │ │ │ │ ├── jt51_fir8.v │ │ │ │ ├── jt51_fir_ram.v │ │ │ │ ├── jt51_interpol.v │ │ │ │ └── jt51_sincf.v │ │ │ ├── jt51.f │ │ │ ├── jt51.qip │ │ │ ├── jt51.v │ │ │ ├── jt51.yaml │ │ │ ├── jt51_acc.v │ │ │ ├── jt51_csr_op.v │ │ │ ├── jt51_eg.v │ │ │ ├── jt51_exp2lin.v │ │ │ ├── jt51_exprom.v │ │ │ ├── jt51_kon.v │ │ │ ├── jt51_lfo.v │ │ │ ├── jt51_lin2exp.v │ │ │ ├── jt51_mmr.v │ │ │ ├── jt51_mod.v │ │ │ ├── jt51_noise.v │ │ │ ├── jt51_noise_lfsr.v │ │ │ ├── jt51_op.v │ │ │ ├── jt51_pg.v │ │ │ ├── jt51_phinc_rom.v │ │ │ ├── jt51_phrom.v │ │ │ ├── jt51_pm.v │ │ │ ├── jt51_reg.v │ │ │ ├── jt51_reg_ch.v │ │ │ ├── jt51_sh.v │ │ │ └── jt51_timers.v │ │ └── ver │ │ │ ├── cmd_dump │ │ │ ├── WaveWritter.cpp │ │ │ ├── WaveWritter.hpp │ │ │ ├── gather.f │ │ │ ├── go.sh │ │ │ ├── test.cpp │ │ │ ├── test.v │ │ │ └── test_cmd.txt │ │ │ ├── common │ │ │ ├── basic.f │ │ │ ├── dump.vh │ │ │ ├── fir.f │ │ │ ├── jt51_test.vh │ │ │ ├── sep32.v │ │ │ ├── sep32_cnt.v │ │ │ └── sim.f │ │ │ ├── jt51_con │ │ │ ├── config.vlt │ │ │ ├── go │ │ │ ├── inputs.cc │ │ │ ├── jt51_test.v │ │ │ ├── makefile │ │ │ ├── test_all │ │ │ └── test_rand │ │ │ ├── jt51_interpol_tb │ │ │ ├── go │ │ │ ├── inputs.cc │ │ │ └── jt51_interpol_tb.v │ │ │ ├── jt51_lfo │ │ │ ├── go │ │ │ └── test.cc │ │ │ ├── jt51_lfo_am │ │ │ ├── go │ │ │ ├── inputs.cc │ │ │ ├── inputs.vh │ │ │ ├── jt51_test.v │ │ │ ├── jt51_test.vh │ │ │ └── makefile │ │ │ ├── jt51_mmr │ │ │ ├── config.vlt │ │ │ ├── go │ │ │ └── inputs.cc │ │ │ ├── jt51_noise │ │ │ ├── go │ │ │ └── test.cc │ │ │ ├── jt51_pg │ │ │ ├── fnum.c │ │ │ ├── go │ │ │ ├── opm.c │ │ │ ├── opm.h │ │ │ ├── tables.cc │ │ │ ├── tables.h │ │ │ └── test.cc │ │ │ ├── jt51_pg_meas │ │ │ ├── basic.f │ │ │ ├── go │ │ │ └── jt51_test.v │ │ │ ├── jt51_pm │ │ │ ├── sim.sh │ │ │ └── test.cc │ │ │ ├── top │ │ │ ├── cmd.hex │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ └── verilator │ │ │ ├── VGMParser.cpp │ │ │ ├── VGMParser.hpp │ │ │ ├── WaveWritter.cpp │ │ │ ├── WaveWritter.hpp │ │ │ ├── blanka.vgz │ │ │ ├── cmp.h │ │ │ ├── dd.vgz │ │ │ ├── dd2.vgz │ │ │ ├── feature.hpp │ │ │ ├── gather.f │ │ │ ├── ghouls.vgz │ │ │ ├── keep.sh │ │ │ ├── kon.jtt │ │ │ ├── lfo-test.sh │ │ │ ├── lfo.jtt │ │ │ ├── noise.jtt │ │ │ ├── opm.c │ │ │ ├── opm.h │ │ │ ├── ref.h │ │ │ ├── sim.sh │ │ │ ├── test.cpp │ │ │ ├── test.vgm │ │ │ ├── vcdwr.cc │ │ │ ├── vcdwr.h │ │ │ ├── wagon.vgz │ │ │ └── willow.vgm │ ├── jt6295 │ │ ├── .github │ │ │ └── FUNDING.yml │ │ ├── LICENSE │ │ ├── README.md │ │ ├── cc │ │ │ ├── Makefile │ │ │ └── okidump.c │ │ ├── doc │ │ │ └── okim6295.pdf │ │ ├── hdl │ │ │ ├── jt12_comb.v │ │ │ ├── jt12_interpol.v │ │ │ ├── jt6295.f │ │ │ ├── jt6295.qip │ │ │ ├── jt6295.v │ │ │ ├── jt6295_acc.v │ │ │ ├── jt6295_adpcm.v │ │ │ ├── jt6295_ctrl.v │ │ │ ├── jt6295_rom.v │ │ │ ├── jt6295_serial.v │ │ │ ├── jt6295_sh_rst.v │ │ │ ├── jt6295_timing.v │ │ │ ├── jt6295_up4.hex │ │ │ ├── jt6295_up4_soft.hex │ │ │ └── jtframe_fir4.m │ │ └── ver │ │ │ ├── adpcm │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ └── top │ │ │ ├── go.sh │ │ │ ├── phrase.sh │ │ │ ├── test.f │ │ │ └── test.v │ ├── jtframe │ │ ├── .github │ │ │ └── FUNDING.yml │ │ ├── .gitignore │ │ ├── .gitmodules │ │ ├── LICENSE │ │ ├── README.md │ │ ├── asm │ │ │ └── z80 │ │ │ │ ├── Makefile │ │ │ │ ├── TinyBasic_2.0g.asm │ │ │ │ ├── jtTinyBasic.asm │ │ │ │ └── tiny_basic_instructions.pdf │ │ ├── bin │ │ │ ├── avatar.py │ │ │ ├── bin2m │ │ │ ├── bin2png.py │ │ │ ├── conv.m │ │ │ ├── dump.m │ │ │ ├── font0.pf │ │ │ ├── git-prompt.sh │ │ │ ├── jtblaster │ │ │ ├── jtcfgstr │ │ │ ├── jtcfgstr.go │ │ │ ├── jtcore │ │ │ ├── jtdef.go │ │ │ ├── jtfiles.go │ │ │ ├── jtioreg.awk │ │ │ ├── jtsdram │ │ │ ├── logo128.png │ │ │ ├── lut2hex │ │ │ ├── msg2hex │ │ │ ├── post-commit │ │ │ ├── pre-commit │ │ │ ├── rmdup.sh │ │ │ └── setprj.sh │ │ ├── cc │ │ │ ├── Makefile │ │ │ ├── bin2m.cc │ │ │ ├── lut2hex.cc │ │ │ └── msg2hex.cc │ │ ├── doc │ │ │ ├── 93c06.pdf │ │ │ ├── HD63701 │ │ │ │ ├── HD63701V0.pdf │ │ │ │ └── HD63701Y0.pdf │ │ │ ├── T48 Integration Manual.pdf │ │ │ ├── UPD4701AC-NEC.pdf │ │ │ ├── audio.md │ │ │ ├── cheat-tutorial.md │ │ │ ├── cheat.md │ │ │ ├── cheat.png │ │ │ ├── cheat │ │ │ │ ├── cheatasm │ │ │ │ ├── cheatzip │ │ │ │ ├── ecofghtr.s │ │ │ │ ├── ghouls.s │ │ │ │ ├── led.s │ │ │ │ └── sf2hf.s │ │ │ ├── clocks.md │ │ │ ├── compilation.md │ │ │ ├── cpus.md │ │ │ ├── credits.md │ │ │ ├── debug.md │ │ │ ├── inputs.md │ │ │ ├── ip.md │ │ │ ├── keycodes.png │ │ │ ├── macros.md │ │ │ ├── mc8051 │ │ │ │ ├── comp_orig_ipcore.pdf │ │ │ │ ├── mc8051_overview.pdf │ │ │ │ └── mc8051_user_guide.pdf │ │ │ ├── mist.md │ │ │ ├── mist │ │ │ │ ├── 256Mb_sdr.pdf │ │ │ │ ├── cyc3_ciii51001.pdf │ │ │ │ ├── cyc3_ciii51004.pdf │ │ │ │ └── mist13_schematic.pdf │ │ │ ├── mister │ │ │ │ ├── cyclonev_io_timing_13_1.xls │ │ │ │ ├── mister_adc.pdf │ │ │ │ ├── mister_sdram.pdf │ │ │ │ └── mister_sdram_32.pdf │ │ │ ├── osd.md │ │ │ ├── sdram.md │ │ │ ├── sdram_adv.png │ │ │ ├── sdram_dly.png │ │ │ ├── sdram_timing.ods │ │ │ ├── sidi │ │ │ │ └── cyiv-51001.pdf │ │ │ ├── sim.md │ │ │ ├── uart.jpg │ │ │ └── video.md │ │ ├── hdl │ │ │ ├── cheat │ │ │ │ ├── jtframe_cheat.v │ │ │ │ └── jtframe_cheat_rom.v │ │ │ ├── clocking │ │ │ │ ├── fast_pll.f │ │ │ │ ├── fast_pll.v │ │ │ │ ├── jtframe_cen24.v │ │ │ │ ├── jtframe_cen48.v │ │ │ │ ├── jtframe_cen96.v │ │ │ │ ├── jtframe_cencross_strobe.v │ │ │ │ ├── jtframe_cendiv.v │ │ │ │ ├── jtframe_crossclk_cen.v │ │ │ │ ├── jtframe_frac_cen.v │ │ │ │ ├── jtframe_freqinfo.v │ │ │ │ ├── jtframe_pll20.ppf │ │ │ │ ├── jtframe_pll20.qip │ │ │ │ ├── jtframe_pll20.v │ │ │ │ ├── jtframe_rst_sync.v │ │ │ │ └── jtframe_sync.v │ │ │ ├── cpu │ │ │ │ ├── 8051 │ │ │ │ │ ├── LGPL.TXT │ │ │ │ │ ├── addsub_core_.vhd │ │ │ │ │ ├── addsub_core_struc.vhd │ │ │ │ │ ├── addsub_core_struc_cfg.vhd │ │ │ │ │ ├── addsub_cy_.vhd │ │ │ │ │ ├── addsub_cy_rtl.vhd │ │ │ │ │ ├── addsub_cy_rtl_cfg.vhd │ │ │ │ │ ├── addsub_ovcy_.vhd │ │ │ │ │ ├── addsub_ovcy_rtl.vhd │ │ │ │ │ ├── addsub_ovcy_rtl_cfg.vhd │ │ │ │ │ ├── alucore_.vhd │ │ │ │ │ ├── alucore_rtl.vhd │ │ │ │ │ ├── alucore_rtl_cfg.vhd │ │ │ │ │ ├── alumux_.vhd │ │ │ │ │ ├── alumux_rtl.vhd │ │ │ │ │ ├── alumux_rtl_cfg.vhd │ │ │ │ │ ├── comb_divider_.vhd │ │ │ │ │ ├── comb_divider_rtl.vhd │ │ │ │ │ ├── comb_divider_rtl_cfg.vhd │ │ │ │ │ ├── comb_mltplr_.vhd │ │ │ │ │ ├── comb_mltplr_rtl.vhd │ │ │ │ │ ├── comb_mltplr_rtl_cfg.vhd │ │ │ │ │ ├── control_fsm_.vhd │ │ │ │ │ ├── control_fsm_rtl.vhd │ │ │ │ │ ├── control_fsm_rtl_cfg.vhd │ │ │ │ │ ├── control_mem_.vhd │ │ │ │ │ ├── control_mem_rtl.vhd │ │ │ │ │ ├── control_mem_rtl_cfg.vhd │ │ │ │ │ ├── dcml_adjust_.vhd │ │ │ │ │ ├── dcml_adjust_rtl.vhd │ │ │ │ │ ├── dcml_adjust_rtl_cfg.vhd │ │ │ │ │ ├── dummy_8051.v │ │ │ │ │ ├── mc8051.f │ │ │ │ │ ├── mc8051.qip │ │ │ │ │ ├── mc8051.yaml │ │ │ │ │ ├── mc8051_alu_.vhd │ │ │ │ │ ├── mc8051_alu_struc.vhd │ │ │ │ │ ├── mc8051_alu_struc_cfg.vhd │ │ │ │ │ ├── mc8051_control_.vhd │ │ │ │ │ ├── mc8051_control_struc.vhd │ │ │ │ │ ├── mc8051_control_struc_cfg.vhd │ │ │ │ │ ├── mc8051_core_.vhd │ │ │ │ │ ├── mc8051_core_struc.vhd │ │ │ │ │ ├── mc8051_core_struc_cfg.vhd │ │ │ │ │ ├── mc8051_p.vhd │ │ │ │ │ ├── mc8051_siu_.vhd │ │ │ │ │ ├── mc8051_siu_rtl.vhd │ │ │ │ │ ├── mc8051_siu_rtl_cfg.vhd │ │ │ │ │ ├── mc8051_tmrctr_.vhd │ │ │ │ │ ├── mc8051_tmrctr_rtl.vhd │ │ │ │ │ └── mc8051_tmrctr_rtl_cfg.vhd │ │ │ │ ├── 63701 │ │ │ │ │ ├── HD63701_ALU.v │ │ │ │ │ ├── HD63701_CORE.v │ │ │ │ │ ├── HD63701_EXEC.v │ │ │ │ │ ├── HD63701_MCODE.i │ │ │ │ │ ├── HD63701_MCROM.v │ │ │ │ │ ├── HD63701_SEQ.v │ │ │ │ │ ├── HD63701_defs.i │ │ │ │ │ ├── jt63701.f │ │ │ │ │ └── jt63701.v │ │ │ │ ├── 6801_core.sv │ │ │ │ ├── j68 │ │ │ │ │ ├── cpu_j68.v │ │ │ │ │ ├── j68.qip │ │ │ │ │ ├── j68.yaml │ │ │ │ │ ├── j68_addsub_32.v │ │ │ │ │ ├── j68_alu.v │ │ │ │ │ ├── j68_dec.mem │ │ │ │ │ ├── j68_dec_c.mem │ │ │ │ │ ├── j68_decode.v │ │ │ │ │ ├── j68_decode_rom.v │ │ │ │ │ ├── j68_dpram_2048x20.v │ │ │ │ │ ├── j68_flags.v │ │ │ │ │ ├── j68_loop.v │ │ │ │ │ ├── j68_mem_io.v │ │ │ │ │ ├── j68_ram_c.mem │ │ │ │ │ ├── j68_test.v │ │ │ │ │ └── jtframe_j68.v │ │ │ │ ├── jtframe_6801mcu.v │ │ │ │ ├── jtframe_68kdma.v │ │ │ │ ├── jtframe_68kdtack.v │ │ │ │ ├── jtframe_68kramcs.v │ │ │ │ ├── jtframe_8751mcu.v │ │ │ │ ├── jtframe_kabuki.v │ │ │ │ ├── jtframe_m68k.f │ │ │ │ ├── jtframe_m68k.qip │ │ │ │ ├── jtframe_m68k.v │ │ │ │ ├── jtframe_m68k.yaml │ │ │ │ ├── jtframe_sys6809.v │ │ │ │ ├── jtframe_virq.v │ │ │ │ ├── jtframe_z80.v │ │ │ │ ├── jtframe_z80.yaml │ │ │ │ ├── jtframe_z80wait.v │ │ │ │ ├── mc6502 │ │ │ │ │ ├── LICENSE │ │ │ │ │ ├── MC6502.v │ │ │ │ │ ├── MC6502Accumulator.v │ │ │ │ │ ├── MC6502Common.vh │ │ │ │ │ ├── MC6502ExecutionController.v │ │ │ │ │ ├── MC6502InstructionDecode.v │ │ │ │ │ ├── MC6502InterruptLogic.v │ │ │ │ │ ├── MC6502MemoryController.v │ │ │ │ │ ├── MC6502ProcessorStatusRegister.v │ │ │ │ │ ├── MC6502RegisterFile.v │ │ │ │ │ ├── MC6502Shifter.v │ │ │ │ │ ├── mc6502.f │ │ │ │ │ └── mc6502.qip │ │ │ │ ├── mc6809i.v │ │ │ │ ├── pauloblaze │ │ │ │ │ ├── LICENSE │ │ │ │ │ ├── alu.vhd │ │ │ │ │ ├── decoder.vhd │ │ │ │ │ ├── io_module.vhd │ │ │ │ │ ├── op_codes.vhd │ │ │ │ │ ├── pauloBlaze.vhd │ │ │ │ │ ├── pauloblaze.f │ │ │ │ │ ├── pauloblaze.qip │ │ │ │ │ ├── program_counter.vhd │ │ │ │ │ └── regFile.vhd │ │ │ │ ├── t48 │ │ │ │ │ ├── alu-c.vhd │ │ │ │ │ ├── alu.vhd │ │ │ │ │ ├── alu_pack-p.vhd │ │ │ │ │ ├── bus_mux-c.vhd │ │ │ │ │ ├── bus_mux.vhd │ │ │ │ │ ├── clock_ctrl-c.vhd │ │ │ │ │ ├── clock_ctrl.vhd │ │ │ │ │ ├── cond_branch-c.vhd │ │ │ │ │ ├── cond_branch.vhd │ │ │ │ │ ├── cond_branch_pack-p.vhd │ │ │ │ │ ├── db_bus-c.vhd │ │ │ │ │ ├── db_bus.vhd │ │ │ │ │ ├── decoder-c.vhd │ │ │ │ │ ├── decoder.vhd │ │ │ │ │ ├── decoder_pack-p.vhd │ │ │ │ │ ├── dmem_ctrl-c.vhd │ │ │ │ │ ├── dmem_ctrl.vhd │ │ │ │ │ ├── dmem_ctrl_pack-p.vhd │ │ │ │ │ ├── int-c.vhd │ │ │ │ │ ├── int.vhd │ │ │ │ │ ├── p1-c.vhd │ │ │ │ │ ├── p1.vhd │ │ │ │ │ ├── p2-c.vhd │ │ │ │ │ ├── p2.vhd │ │ │ │ │ ├── pmem_ctrl-c.vhd │ │ │ │ │ ├── pmem_ctrl.vhd │ │ │ │ │ ├── pmem_ctrl_pack-p.vhd │ │ │ │ │ ├── psw-c.vhd │ │ │ │ │ ├── psw.vhd │ │ │ │ │ ├── system │ │ │ │ │ │ ├── generic_ram_ena-c.vhd │ │ │ │ │ │ ├── generic_ram_ena.vhd │ │ │ │ │ │ ├── lpm_ram_dq.vhd │ │ │ │ │ │ ├── lpm_rom.vhd │ │ │ │ │ │ ├── t48_rom-e.vhd │ │ │ │ │ │ ├── t48_rom-struct-a.vhd │ │ │ │ │ │ ├── t48_rom-struct-c.vhd │ │ │ │ │ │ ├── t48_system_comp_pack-p.vhd │ │ │ │ │ │ ├── t49_rom-e.vhd │ │ │ │ │ │ ├── t49_rom-struct-a.vhd │ │ │ │ │ │ ├── t49_rom-struct-c.vhd │ │ │ │ │ │ ├── t8039-c.vhd │ │ │ │ │ │ ├── t8039.vhd │ │ │ │ │ │ ├── t8039_notri-c.vhd │ │ │ │ │ │ ├── t8039_notri.vhd │ │ │ │ │ │ ├── t8048-c.vhd │ │ │ │ │ │ ├── t8048.vhd │ │ │ │ │ │ ├── t8048_notri-c.vhd │ │ │ │ │ │ ├── t8048_notri.vhd │ │ │ │ │ │ ├── t8050_wb-c.vhd │ │ │ │ │ │ ├── t8050_wb.vhd │ │ │ │ │ │ ├── wb_master-c.vhd │ │ │ │ │ │ └── wb_master.vhd │ │ │ │ │ ├── t48.f │ │ │ │ │ ├── t48.qip │ │ │ │ │ ├── t48.yaml │ │ │ │ │ ├── t48_comp_pack-p.vhd │ │ │ │ │ ├── t48_core-c.vhd │ │ │ │ │ ├── t48_core.vhd │ │ │ │ │ ├── t48_core_comp_pack-p.vhd │ │ │ │ │ ├── t48_pack-p.vhd │ │ │ │ │ ├── t48_tb_pack-p.vhd │ │ │ │ │ ├── timer-c.vhd │ │ │ │ │ └── timer.vhd │ │ │ │ ├── t65 │ │ │ │ │ ├── T65.vhd │ │ │ │ │ ├── T65_ALU.vhd │ │ │ │ │ ├── T65_MCode.vhd │ │ │ │ │ └── T65_Pack.vhd │ │ │ │ ├── t80 │ │ │ │ │ ├── T80.qip │ │ │ │ │ ├── T80.vhd │ │ │ │ │ ├── T80.yaml │ │ │ │ │ ├── T80_ALU.vhd │ │ │ │ │ ├── T80_MCode.vhd │ │ │ │ │ ├── T80_Reg.vhd │ │ │ │ │ ├── T80pa.vhd │ │ │ │ │ └── T80s.vhd │ │ │ │ ├── t8243 │ │ │ │ │ ├── t8243-c.vhd │ │ │ │ │ ├── t8243.f │ │ │ │ │ ├── t8243.qip │ │ │ │ │ ├── t8243.vhd │ │ │ │ │ ├── t8243.yaml │ │ │ │ │ ├── t8243_comp_pack-p.vhd │ │ │ │ │ ├── t8243_core-c.vhd │ │ │ │ │ ├── t8243_core.vhd │ │ │ │ │ ├── t8243_sync_notri-c.vhd │ │ │ │ │ └── t8243_sync_notri.vhd │ │ │ │ └── tv80 │ │ │ │ │ ├── tv80.f │ │ │ │ │ ├── tv80_alu.v │ │ │ │ │ ├── tv80_core.v │ │ │ │ │ ├── tv80_mcode.v │ │ │ │ │ ├── tv80_reg.v │ │ │ │ │ ├── tv80n.v │ │ │ │ │ ├── tv80s.qip │ │ │ │ │ └── tv80s.v │ │ │ ├── jt74.v │ │ │ ├── jtframe.qip │ │ │ ├── jtframe.yaml │ │ │ ├── jtframe_board.v │ │ │ ├── jtframe_debug.v │ │ │ ├── jtframe_dip.v │ │ │ ├── jtframe_enlarger.v │ │ │ ├── jtframe_ff.v │ │ │ ├── jtframe_led.v │ │ │ ├── jtframe_reset.v │ │ │ ├── jtframe_sh.v │ │ │ ├── jtframe_sort.v │ │ │ ├── jtframe_uart.v │ │ │ ├── jtframe_z80wait.v │ │ │ ├── keyboard │ │ │ │ ├── jt4701.v │ │ │ │ ├── jtframe_4wayjoy.v │ │ │ │ ├── jtframe_inputs.v │ │ │ │ ├── jtframe_keyboard.v │ │ │ │ ├── jtframe_mouse.v │ │ │ │ ├── jtframe_paddle.v │ │ │ │ ├── ps2_intf.vhd │ │ │ │ └── ps2_intf.vhd.bak │ │ │ ├── ram │ │ │ │ ├── jtframe_dual_nvram.v │ │ │ │ ├── jtframe_dual_nvram16.v │ │ │ │ ├── jtframe_dual_ram.v │ │ │ │ ├── jtframe_dual_ram16.v │ │ │ │ ├── jtframe_mmr.v │ │ │ │ ├── jtframe_obj_buffer.v │ │ │ │ ├── jtframe_prom.v │ │ │ │ ├── jtframe_ram.v │ │ │ │ └── jtframe_ram16.v │ │ │ ├── sdram │ │ │ │ ├── jtframe_dwnld.v │ │ │ │ ├── jtframe_gatecen.v │ │ │ │ ├── jtframe_ram2_6slots.v │ │ │ │ ├── jtframe_ram_2slots.v │ │ │ │ ├── jtframe_ram_3slots.v │ │ │ │ ├── jtframe_ram_4slots.v │ │ │ │ ├── jtframe_ram_5slots.v │ │ │ │ ├── jtframe_ram_rq.v │ │ │ │ ├── jtframe_rom.f │ │ │ │ ├── jtframe_rom.v │ │ │ │ ├── jtframe_rom_1slot.v │ │ │ │ ├── jtframe_rom_2slots.v │ │ │ │ ├── jtframe_rom_3slots.v │ │ │ │ ├── jtframe_rom_4slots.v │ │ │ │ ├── jtframe_rom_5slots.v │ │ │ │ ├── jtframe_rom_sync.v │ │ │ │ ├── jtframe_romflex.v │ │ │ │ ├── jtframe_romrq.v │ │ │ │ ├── jtframe_sdram.v │ │ │ │ ├── jtframe_sdram64.f │ │ │ │ ├── jtframe_sdram64.qip │ │ │ │ ├── jtframe_sdram64.v │ │ │ │ ├── jtframe_sdram64.yaml │ │ │ │ ├── jtframe_sdram64_bank.v │ │ │ │ ├── jtframe_sdram64_init.v │ │ │ │ ├── jtframe_sdram64_latch.v │ │ │ │ ├── jtframe_sdram64_rfsh.v │ │ │ │ ├── jtframe_sdram_bank.f │ │ │ │ ├── jtframe_sdram_bank.qip │ │ │ │ ├── jtframe_sdram_bank.v │ │ │ │ ├── jtframe_sdram_bank.yaml │ │ │ │ ├── jtframe_sdram_bank_core.v │ │ │ │ ├── jtframe_sdram_bank_mux.v │ │ │ │ ├── jtframe_sdram_mux.v │ │ │ │ ├── jtframe_sdram_rq.v │ │ │ │ ├── jtframe_sdram_stats.v │ │ │ │ └── jtframe_shadow.v │ │ │ ├── sound │ │ │ │ ├── Makefile │ │ │ │ ├── fir_coeff.c │ │ │ │ ├── firjt49.m │ │ │ │ ├── hifi_1bit_dac.v │ │ │ │ ├── hybrid_pwm_sd.v │ │ │ │ ├── jtframe_dcrm.v │ │ │ │ ├── jtframe_fir.v │ │ │ │ ├── jtframe_fir2.m │ │ │ │ ├── jtframe_fir2.v │ │ │ │ ├── jtframe_fir3.m │ │ │ │ ├── jtframe_fir4.m │ │ │ │ ├── jtframe_fir_mono.v │ │ │ │ ├── jtframe_jt49_filters.qip │ │ │ │ ├── jtframe_jt49_filters.v │ │ │ │ ├── jtframe_jt49_filters.yaml │ │ │ │ ├── jtframe_mixer.v │ │ │ │ ├── jtframe_pole.v │ │ │ │ ├── jtframe_uprate2_fir.f │ │ │ │ ├── jtframe_uprate2_fir.qip │ │ │ │ ├── jtframe_uprate2_fir.v │ │ │ │ ├── jtframe_uprate2_fir.yaml │ │ │ │ └── jtframe_uprate3_fir.v │ │ │ ├── ver │ │ │ │ ├── W25Q32JV.v │ │ │ │ ├── altera_mf.v │ │ │ │ ├── fast_pll.f │ │ │ │ ├── fast_pll.v │ │ │ │ ├── game_test.v │ │ │ │ ├── jtframe_din_check.v │ │ │ │ ├── jtframe_romrq_rdy_check.v │ │ │ │ ├── jtframe_simwr_68k.v │ │ │ │ ├── mist_dump.v │ │ │ │ ├── mister_dump.v │ │ │ │ ├── mister_harness.v │ │ │ │ ├── mt48lc16m16a2.v │ │ │ │ ├── noise_gen.v │ │ │ │ ├── quick_sdram.v │ │ │ │ ├── sim.f │ │ │ │ ├── sim_mister.f │ │ │ │ ├── spitx.v │ │ │ │ ├── test.cpp │ │ │ │ ├── test_harness.v │ │ │ │ └── video_dump.v │ │ │ └── video │ │ │ │ ├── jtframe_avatar.v │ │ │ │ ├── jtframe_blank.v │ │ │ │ ├── jtframe_charmsg.v │ │ │ │ ├── jtframe_credits.v │ │ │ │ ├── jtframe_hsize.v │ │ │ │ ├── jtframe_linebuf.v │ │ │ │ ├── jtframe_pxl.v │ │ │ │ ├── jtframe_resync.v │ │ │ │ ├── jtframe_scan2x.v │ │ │ │ ├── jtframe_scan2xsl.v │ │ │ │ ├── jtframe_tilebuf.v │ │ │ │ ├── jtframe_tilemap.v │ │ │ │ ├── jtframe_vtimer.v │ │ │ │ └── jtframe_wirebw.v │ │ ├── syn │ │ │ └── jtframe_2308 │ │ │ │ ├── adc_test.sdc │ │ │ │ ├── adc_test.v │ │ │ │ ├── makefile │ │ │ │ ├── set_pins.tcl │ │ │ │ └── source.qip │ │ ├── target │ │ │ └── mister │ │ │ │ ├── cfgstr │ │ │ │ ├── hps_io.v │ │ │ │ ├── hq2x_dummy.sv │ │ │ │ ├── joydb15.v │ │ │ │ ├── jtframe_2308.v │ │ │ │ ├── jtframe_emu.sv │ │ │ │ ├── jtframe_joymux.v │ │ │ │ ├── jtframe_mister.sv │ │ │ │ ├── jtframe_mister_dwnld.v │ │ │ │ ├── jtframe_mr_ddrmux.v │ │ │ │ ├── jtframe_tape.v │ │ │ │ ├── mister.f │ │ │ │ ├── mister.qip │ │ │ │ ├── mister.qpf │ │ │ │ ├── mister.qsf │ │ │ │ ├── mister_full_test.sv │ │ │ │ ├── mister_pll24.v │ │ │ │ ├── mister_pll48.v │ │ │ │ ├── mister_test.v │ │ │ │ ├── pll6144 │ │ │ │ ├── jtframe_pll6144.bsf │ │ │ │ ├── jtframe_pll6144.cmp │ │ │ │ ├── jtframe_pll6144.ppf │ │ │ │ ├── jtframe_pll6144.qip │ │ │ │ ├── jtframe_pll6144.sip │ │ │ │ ├── jtframe_pll6144.spd │ │ │ │ ├── jtframe_pll6144.v │ │ │ │ ├── jtframe_pll6144 │ │ │ │ │ ├── jtframe_pll6144_0002.qip │ │ │ │ │ └── jtframe_pll6144_0002.v │ │ │ │ └── jtframe_pll6144_sim.f │ │ │ │ ├── pll6293 │ │ │ │ ├── jtframe_pll6293.bsf │ │ │ │ ├── jtframe_pll6293.cmp │ │ │ │ ├── jtframe_pll6293.ppf │ │ │ │ ├── jtframe_pll6293.qip │ │ │ │ ├── jtframe_pll6293.sip │ │ │ │ ├── jtframe_pll6293.v │ │ │ │ ├── jtframe_pll6293_0002.qip │ │ │ │ ├── jtframe_pll6293_0002.v │ │ │ │ └── ren.sh │ │ │ │ ├── pll6671 │ │ │ │ ├── jtframe_pll6671.bsf │ │ │ │ ├── jtframe_pll6671.cmp │ │ │ │ ├── jtframe_pll6671.ppf │ │ │ │ ├── jtframe_pll6671.qip │ │ │ │ ├── jtframe_pll6671.sip │ │ │ │ ├── jtframe_pll6671.spd │ │ │ │ ├── jtframe_pll6671.v │ │ │ │ └── jtframe_pll6671 │ │ │ │ │ ├── jtframe_pll6671_0002.qip │ │ │ │ │ └── jtframe_pll6671_0002.v │ │ │ │ ├── plls16 │ │ │ │ ├── plls16.bsf │ │ │ │ ├── plls16.cmp │ │ │ │ ├── plls16.ppf │ │ │ │ ├── plls16.qip │ │ │ │ ├── plls16.sip │ │ │ │ ├── plls16.v │ │ │ │ ├── plls16_0002.qip │ │ │ │ └── plls16_0002.v │ │ │ │ ├── slew_rate.tcl │ │ │ │ ├── sync_fix.sv │ │ │ │ └── sys │ │ │ │ ├── alsa.sv │ │ │ │ ├── arcade_video.v │ │ │ │ ├── ascal.vhd │ │ │ │ ├── audio_out.v │ │ │ │ ├── build_id.tcl │ │ │ │ ├── ddr_svc.sv │ │ │ │ ├── f2sdram_safe_terminator.sv │ │ │ │ ├── gamma_corr.sv │ │ │ │ ├── hdmi_config.sv │ │ │ │ ├── hps_io.v │ │ │ │ ├── hq2x.sv │ │ │ │ ├── i2c.v │ │ │ │ ├── i2s.v │ │ │ │ ├── iir_filter.v │ │ │ │ ├── ltc2308.sv │ │ │ │ ├── math.sv │ │ │ │ ├── mcp23009.sv │ │ │ │ ├── mister_clksync.v │ │ │ │ ├── mt32pi.sv │ │ │ │ ├── osd.sv │ │ │ │ ├── pll.13.qip │ │ │ │ ├── pll.bsf │ │ │ │ ├── pll.cmp │ │ │ │ ├── pll.ppf │ │ │ │ ├── pll.qip │ │ │ │ ├── pll.sip │ │ │ │ ├── pll.spd │ │ │ │ ├── pll.v │ │ │ │ ├── pll │ │ │ │ ├── pll_0002.qip │ │ │ │ └── pll_0002.v │ │ │ │ ├── pll_audio.13.qip │ │ │ │ ├── pll_audio.qip │ │ │ │ ├── pll_audio.v │ │ │ │ ├── pll_audio │ │ │ │ ├── pll_audio_0002.qip │ │ │ │ └── pll_audio_0002.v │ │ │ │ ├── pll_cfg.qip │ │ │ │ ├── pll_cfg.v │ │ │ │ ├── pll_cfg │ │ │ │ ├── altera_pll_reconfig_core.v │ │ │ │ └── altera_pll_reconfig_top.v │ │ │ │ ├── pll_hdmi.13.qip │ │ │ │ ├── pll_hdmi.qip │ │ │ │ ├── pll_hdmi.v │ │ │ │ ├── pll_hdmi │ │ │ │ ├── pll_hdmi_0002.qip │ │ │ │ ├── pll_hdmi_0002.v │ │ │ │ └── pll_hdmi_0002_q13.qip │ │ │ │ ├── pll_hdmi_adj.vhd │ │ │ │ ├── pll_hdmi_cfg.qip │ │ │ │ ├── pll_hdmi_q13.qip │ │ │ │ ├── pll_q13.qip │ │ │ │ ├── pll_q17.qip │ │ │ │ ├── pll_q20.qip │ │ │ │ ├── pll_q22.qip │ │ │ │ ├── pll_sim.f │ │ │ │ ├── scandoubler.v │ │ │ │ ├── scanlines.v │ │ │ │ ├── sd_card.sv │ │ │ │ ├── sdram_clk48.sdc │ │ │ │ ├── sdram_clk96.sdc │ │ │ │ ├── shadowmask.sv │ │ │ │ ├── sigma_delta_dac.v │ │ │ │ ├── spdif.v │ │ │ │ ├── sys.qip │ │ │ │ ├── sys.tcl │ │ │ │ ├── sys_analog.tcl │ │ │ │ ├── sys_dual_sdram.tcl │ │ │ │ ├── sys_nosdram.qip │ │ │ │ ├── sys_q13.qip │ │ │ │ ├── sys_top.sdc │ │ │ │ ├── sys_top.v │ │ │ │ ├── sys_top_nosdram.sdc │ │ │ │ ├── sysmem.sv │ │ │ │ ├── vga_out.sv │ │ │ │ ├── video_cleaner.sv │ │ │ │ ├── video_freak.sv │ │ │ │ ├── video_freezer.sv │ │ │ │ └── video_mixer.sv │ │ └── ver │ │ │ ├── cen │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ ├── cheatdwn │ │ │ ├── sim.sh │ │ │ └── test.v │ │ │ ├── credits │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ ├── fir8 │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ ├── hsize │ │ │ ├── sim.sh │ │ │ └── test.v │ │ │ ├── jt4701 │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ ├── jt63701 │ │ │ └── go.sh │ │ │ ├── jtframe_2308 │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ ├── kabuki │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ ├── mister_dwnld │ │ │ ├── go │ │ │ └── test.v │ │ │ ├── mixer │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ ├── neptuno_dataio │ │ │ ├── sim.sh │ │ │ └── test.v │ │ │ ├── osd │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ ├── pole │ │ │ ├── go │ │ │ └── test.cc │ │ │ ├── romrq │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ ├── scan2x │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ ├── sdram_bank │ │ │ ├── Makefile │ │ │ ├── filter.txt │ │ │ ├── go.sh │ │ │ ├── long.sh │ │ │ ├── randhex.py │ │ │ ├── report.sh │ │ │ └── test.v │ │ │ ├── sdram_bank64 │ │ │ ├── cmd.txt │ │ │ ├── prog_test │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ ├── romrq_dst │ │ │ │ ├── Makefile │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ └── rw_test │ │ │ │ ├── Makefile │ │ │ │ ├── long.sh │ │ │ │ ├── report.sh │ │ │ │ ├── short.sh │ │ │ │ ├── sim.sh │ │ │ │ └── test.v │ │ │ ├── sdram_bank_core │ │ │ ├── Makefile │ │ │ ├── go.sh │ │ │ ├── randhex.py │ │ │ ├── sdram_cmd.txt │ │ │ └── test.v │ │ │ ├── vtimer │ │ │ ├── go.sh │ │ │ └── test.v │ │ │ └── wirebw │ │ │ ├── go.sh │ │ │ └── test.v │ └── raizing_video │ │ ├── double_bank │ │ ├── AFBK_CT2.v │ │ ├── raizing_gcu.v │ │ └── raizing_video.v │ │ ├── hvsync_generator.v │ │ ├── raizing_colmix.v │ │ ├── raizing_extratext.v │ │ ├── raizing_obj.v │ │ ├── raizing_pal.v │ │ ├── raizing_scroll.v │ │ ├── raizing_video_double_bank.qip │ │ ├── raizing_video_single_bank.qip │ │ └── single_bank │ │ ├── AFBK_CT2.v │ │ ├── raizing_gcu.v │ │ └── raizing_video.v │ ├── rtl │ ├── pll.qip │ ├── raizingpll.bsf │ ├── raizingpll.cmp │ ├── raizingpll.ppf │ ├── raizingpll.qip │ ├── raizingpll.sip │ ├── raizingpll.spd │ ├── raizingpll.v │ ├── raizingpll │ │ ├── raizingpll_0002.qip │ │ └── raizingpll_0002.v │ ├── raizingpll_sim.f │ └── raizingpll_sim │ │ ├── aldec │ │ └── rivierapro_setup.tcl │ │ ├── cadence │ │ ├── cds.lib │ │ ├── hdl.var │ │ └── ncsim_setup.sh │ │ ├── mentor │ │ └── msim_setup.tcl │ │ ├── raizingpll.vo │ │ └── synopsys │ │ ├── vcs │ │ └── vcs_setup.sh │ │ └── vcsmx │ │ ├── synopsys_sim.setup │ │ └── vcsmx_setup.sh │ ├── sys_top.sdc │ ├── truxton2.qpf │ └── truxton2.qsf └── releases ├── Pipi & Bibis - Whoopee!! (Z80 sound cpu, set 1).mra ├── Snow Bros. 2 - With New Elves - Otenki Paradise (Hanafram).mra ├── Teki Paki.mra ├── Truxton II - Tatsujin Oh.mra ├── _alternatives ├── _Pipi & Bibis │ ├── Pipi & Bibis - Whoopee!! (Teki Paki hardware).mra │ └── Pipi & Bibis - Whoopee!! (Z80 sound cpu, set 2).mra └── _Truxton II │ └── Truxton II - Tatsujin Oh [New Version].mra ├── pipibibs_20221225.rbf ├── pipibibs_20240517.rbf ├── snowbro2_20220904.rbf ├── snowbro2_20221225.rbf ├── snowbro2_20240517.rbf ├── tekipaki_20240518.rbf ├── truxton2_20220819.rbf ├── truxton2_20220902.rbf ├── truxton2_20221225.rbf ├── truxton2_20230119.rbf └── truxton2_20240517.rbf /.gitignore: -------------------------------------------------------------------------------- 1 | incremental_db 2 | output_files 3 | db 4 | jtag.cdf 5 | *.qws 6 | -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/LICENSE -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/README.md -------------------------------------------------------------------------------- /cores/pipibibs/.gitignore: -------------------------------------------------------------------------------- 1 | incremental_db 2 | output_files 3 | db 4 | jtag.cdf 5 | *.qws 6 | -------------------------------------------------------------------------------- /cores/pipibibs/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/LICENSE -------------------------------------------------------------------------------- /cores/pipibibs/build_id.v: -------------------------------------------------------------------------------- 1 | `define BUILD_DATE "240517" -------------------------------------------------------------------------------- /cores/pipibibs/c5_pin_model_dump.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/c5_pin_model_dump.txt -------------------------------------------------------------------------------- /cores/pipibibs/cfgstr/cfgstr_pipibibs.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/cfgstr/cfgstr_pipibibs.hex -------------------------------------------------------------------------------- /cores/pipibibs/cfgstr/cfgstr_pipibibs.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/cfgstr/cfgstr_pipibibs.txt -------------------------------------------------------------------------------- /cores/pipibibs/cfgstr/cfgstr_pipibibs_smb.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/cfgstr/cfgstr_pipibibs_smb.txt -------------------------------------------------------------------------------- /cores/pipibibs/font0.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/font0.hex -------------------------------------------------------------------------------- /cores/pipibibs/game.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/game.qip -------------------------------------------------------------------------------- /cores/pipibibs/hdl/pipibibs.def: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/hdl/pipibibs.def -------------------------------------------------------------------------------- /cores/pipibibs/hdl/pipibibs.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/hdl/pipibibs.qip -------------------------------------------------------------------------------- /cores/pipibibs/hdl/pipibibs_clock.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/hdl/pipibibs_clock.v -------------------------------------------------------------------------------- /cores/pipibibs/hdl/pipibibs_cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/hdl/pipibibs_cpu.v -------------------------------------------------------------------------------- /cores/pipibibs/hdl/pipibibs_game.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/hdl/pipibibs_game.v -------------------------------------------------------------------------------- /cores/pipibibs/hdl/pipibibs_sdram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/hdl/pipibibs_sdram.v -------------------------------------------------------------------------------- /cores/pipibibs/hdl/pipibibs_sound.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/hdl/pipibibs_sound.v -------------------------------------------------------------------------------- /cores/pipibibs/jt6295_up4.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/jt6295_up4.hex -------------------------------------------------------------------------------- /cores/pipibibs/jt6295_up4_soft.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/jt6295_up4_soft.hex -------------------------------------------------------------------------------- /cores/pipibibs/modules/fx68k/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/fx68k/LICENSE -------------------------------------------------------------------------------- /cores/pipibibs/modules/fx68k/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/fx68k/README.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/fx68k/altera_regs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/fx68k/altera_regs.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/fx68k/fx68k.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/fx68k/fx68k.sv -------------------------------------------------------------------------------- /cores/pipibibs/modules/fx68k/fx68k.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/fx68k/fx68k.txt -------------------------------------------------------------------------------- /cores/pipibibs/modules/fx68k/fx68kAlu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/fx68k/fx68kAlu.sv -------------------------------------------------------------------------------- /cores/pipibibs/modules/fx68k/microrom.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/fx68k/microrom.mem -------------------------------------------------------------------------------- /cores/pipibibs/modules/fx68k/nanorom.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/fx68k/nanorom.mem -------------------------------------------------------------------------------- /cores/pipibibs/modules/fx68k/uaddrPla.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/fx68k/uaddrPla.sv -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/.gitignore -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/.gitmodules: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/LICENSE -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/README.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/asm/z80/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/asm/z80/Makefile -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/avatar.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/avatar.py -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/bin2m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/bin2m -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/bin2png.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/bin2png.py -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/conv.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/conv.m -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/dump.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/dump.m -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/font0.pf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/font0.pf -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/git-prompt.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/git-prompt.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/jtblaster: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/jtblaster -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/jtcfgstr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/jtcfgstr -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/jtcfgstr.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/jtcfgstr.go -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/jtcore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/jtcore -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/jtdef.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/jtdef.go -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/jtfiles.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/jtfiles.go -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/jtioreg.awk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/jtioreg.awk -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/jtsdram: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/jtsdram -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/logo128.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/logo128.png -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/lut2hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/lut2hex -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/msg2hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/msg2hex -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/post-commit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/post-commit -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/pre-commit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/pre-commit -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/rmdup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/rmdup.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/bin/setprj.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/bin/setprj.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/cc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/cc/Makefile -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/cc/bin2m.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/cc/bin2m.cc -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/cc/lut2hex.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/cc/lut2hex.cc -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/cc/msg2hex.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/cc/msg2hex.cc -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/93c06.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/93c06.pdf -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/audio.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/audio.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/cheat.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/cheat.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/cheat.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/cheat.png -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/cheat/cheatasm: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | opbasm -6 -x -m 1024 $* 3 | -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/cheat/led.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/cheat/led.s -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/cheat/sf2hf.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/cheat/sf2hf.s -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/clocks.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/clocks.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/cpus.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/cpus.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/credits.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/credits.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/debug.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/debug.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/inputs.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/inputs.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/ip.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/ip.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/keycodes.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/keycodes.png -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/macros.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/macros.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/mist.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/mist.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/osd.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/osd.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/sdram.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/sdram.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/sdram_adv.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/sdram_adv.png -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/sdram_dly.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/sdram_dly.png -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/sim.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/sim.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/uart.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/uart.jpg -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/doc/video.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/doc/video.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/clocking/fast_pll.f: -------------------------------------------------------------------------------- 1 | fast_pll.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/cpu/mc6809i.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/cpu/mc6809i.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/cpu/t48/t48.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/cpu/t48/t48.f -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/jt74.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/jt74.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/jtframe.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/jtframe.qip -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/jtframe.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/jtframe.yaml -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/jtframe_dip.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/jtframe_dip.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/jtframe_ff.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/jtframe_ff.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/jtframe_led.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/jtframe_led.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/jtframe_sh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/jtframe_sh.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/ver/fast_pll.f: -------------------------------------------------------------------------------- 1 | fast_pll.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/ver/sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/ver/sim.f -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/ver/spitx.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/ver/spitx.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/hdl/ver/test.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/hdl/ver/test.cpp -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/syn/jtframe_2308/adc_test.sdc: -------------------------------------------------------------------------------- 1 | create_clock -period "50.0 MHz" [get_ports FPGA_CLK1_50] 2 | 3 | -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/target/mister/sys/pll_sim.f: -------------------------------------------------------------------------------- 1 | pll_sim/pll.vo 2 | -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/cen/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/cen/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/cen/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/cen/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/credits/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/credits/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/fir8/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/fir8/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/fir8/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/fir8/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/hsize/sim.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/hsize/sim.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/hsize/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/hsize/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/jt4701/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/jt4701/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/jt4701/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/jt4701/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/jt63701/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/jt63701/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/kabuki/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/kabuki/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/kabuki/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/kabuki/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/mixer/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/mixer/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/mixer/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/mixer/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/osd/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/osd/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/osd/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/osd/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/pole/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/pole/go -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/pole/test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/pole/test.cc -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/romrq/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/romrq/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/romrq/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/romrq/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/scan2x/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/scan2x/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/scan2x/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/scan2x/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/sdram_bank/Makefile: -------------------------------------------------------------------------------- 1 | ../sdram_bank_core/Makefile -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/sdram_bank/filter.txt: -------------------------------------------------------------------------------- 1 | 01 AC 2 | 02 PO 3 | 04 RD 4 | 08 W0 5 | 10 W1 6 | 20 D0 7 | 40 D1 8 | 80 CH -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/sdram_bank/randhex.py: -------------------------------------------------------------------------------- 1 | ../sdram_bank_core/randhex.py -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/vtimer/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/vtimer/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/vtimer/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/vtimer/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/wirebw/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/wirebw/go.sh -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtframe/ver/wirebw/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtframe/ver/wirebw/test.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/LICENSE -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/README.md -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/doc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/doc/Makefile -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/doc/YM2413.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/doc/YM2413.pdf -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/doc/lfo_count.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/doc/lfo_count.cc -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/doc/notes.ods: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/doc/notes.ods -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/doc/opl3.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/doc/opl3.c -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/doc/opl3.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/doc/opl3.h -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/doc/opll.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/doc/opll.c -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/doc/opll.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/doc/opll.h -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/doc/opll_patches.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/doc/opll_patches.c -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/common.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/common.yaml -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jt2413.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jt2413.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jt2413.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jt2413.yaml -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jt26.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jt26.qip -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jt26.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jt26.yaml -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl.yaml: -------------------------------------------------------------------------------- 1 | jt26.yaml -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl2.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl2.qip -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl2.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl2.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl2.yaml -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_acc.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_csr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_csr.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_div.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_div.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_eg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_eg.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_eg_cnt.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_eg_cnt.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_eg_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_eg_comb.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_eg_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_eg_ctrl.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_eg_pure.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_eg_pure.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_eg_step.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_eg_step.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_exprom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_exprom.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_lfo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_lfo.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_logsin.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_logsin.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_mmr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_mmr.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_noise.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_noise.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_op.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_pg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_pg.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_pg_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_pg_comb.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_pg_inc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_pg_inc.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_pg_rhy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_pg_rhy.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_pg_sum.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_pg_sum.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_pm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_pm.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_reg.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_reg_ch.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_reg_ch.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_sh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_sh.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_sh_rst.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_sh_rst.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopl_timers.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopl_timers.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopll.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopll.yaml -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopll_mmr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopll_mmr.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/hdl/jtopll_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/hdl/jtopll_reg.v -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/jt2413.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/jt2413.qip -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/jt26.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/jt26.qip -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/jtopl2.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/modules/jtopl/jtopl2.qip -------------------------------------------------------------------------------- /cores/pipibibs/modules/jtopl/ver/verilator/tests/timers.jtt: -------------------------------------------------------------------------------- 1 | $2,1F 2 | $3,80 3 | $4,83 4 | wait 1000 -------------------------------------------------------------------------------- /cores/pipibibs/pipibibs.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/pipibibs.qpf -------------------------------------------------------------------------------- /cores/pipibibs/pipibibs.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/pipibibs.qsf -------------------------------------------------------------------------------- /cores/pipibibs/rtl/pll.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name QIP_FILE rtl/raizingpll.qip -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/rtl/raizingpll.bsf -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/rtl/raizingpll.cmp -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll.ppf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/rtl/raizingpll.ppf -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/rtl/raizingpll.qip -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll.sip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/rtl/raizingpll.sip -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/rtl/raizingpll.spd -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/rtl/raizingpll.v -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll/raizingpll_0002.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/rtl/raizingpll/raizingpll_0002.v -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll_sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/rtl/raizingpll_sim.f -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll_sim/cadence/hdl.var: -------------------------------------------------------------------------------- 1 | 2 | DEFINE WORK work 3 | -------------------------------------------------------------------------------- /cores/pipibibs/rtl/raizingpll_sim/raizingpll.vo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/rtl/raizingpll_sim/raizingpll.vo -------------------------------------------------------------------------------- /cores/pipibibs/sys_top.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/pipibibs/sys_top.sdc -------------------------------------------------------------------------------- /cores/snowbro2/.gitignore: -------------------------------------------------------------------------------- 1 | incremental_db 2 | output_files 3 | db 4 | jtag.cdf 5 | *.qws 6 | -------------------------------------------------------------------------------- /cores/snowbro2/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/LICENSE -------------------------------------------------------------------------------- /cores/snowbro2/build_id.v: -------------------------------------------------------------------------------- 1 | `define BUILD_DATE "240517" -------------------------------------------------------------------------------- /cores/snowbro2/c5_pin_model_dump.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/c5_pin_model_dump.txt -------------------------------------------------------------------------------- /cores/snowbro2/cfgstr/cfgstr_snowbro2.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/cfgstr/cfgstr_snowbro2.hex -------------------------------------------------------------------------------- /cores/snowbro2/cfgstr/cfgstr_snowbro2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/cfgstr/cfgstr_snowbro2.txt -------------------------------------------------------------------------------- /cores/snowbro2/cfgstr/cfgstr_snowbro2_smb.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/cfgstr/cfgstr_snowbro2_smb.txt -------------------------------------------------------------------------------- /cores/snowbro2/font0.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/font0.hex -------------------------------------------------------------------------------- /cores/snowbro2/game.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/game.qip -------------------------------------------------------------------------------- /cores/snowbro2/hdl/snowbro2.def: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/hdl/snowbro2.def -------------------------------------------------------------------------------- /cores/snowbro2/hdl/snowbro2.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/hdl/snowbro2.qip -------------------------------------------------------------------------------- /cores/snowbro2/hdl/snowbro2_clock.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/hdl/snowbro2_clock.v -------------------------------------------------------------------------------- /cores/snowbro2/hdl/snowbro2_cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/hdl/snowbro2_cpu.v -------------------------------------------------------------------------------- /cores/snowbro2/hdl/snowbro2_game.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/hdl/snowbro2_game.v -------------------------------------------------------------------------------- /cores/snowbro2/hdl/snowbro2_sdram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/hdl/snowbro2_sdram.v -------------------------------------------------------------------------------- /cores/snowbro2/hdl/snowbro2_sound.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/hdl/snowbro2_sound.v -------------------------------------------------------------------------------- /cores/snowbro2/jt6295_up4.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/jt6295_up4.hex -------------------------------------------------------------------------------- /cores/snowbro2/jt6295_up4_soft.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/jt6295_up4_soft.hex -------------------------------------------------------------------------------- /cores/snowbro2/modules/fx68k/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/fx68k/LICENSE -------------------------------------------------------------------------------- /cores/snowbro2/modules/fx68k/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/fx68k/README.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/fx68k/altera_regs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/fx68k/altera_regs.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/fx68k/fx68k.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/fx68k/fx68k.sv -------------------------------------------------------------------------------- /cores/snowbro2/modules/fx68k/fx68k.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/fx68k/fx68k.txt -------------------------------------------------------------------------------- /cores/snowbro2/modules/fx68k/fx68kAlu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/fx68k/fx68kAlu.sv -------------------------------------------------------------------------------- /cores/snowbro2/modules/fx68k/microrom.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/fx68k/microrom.mem -------------------------------------------------------------------------------- /cores/snowbro2/modules/fx68k/nanorom.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/fx68k/nanorom.mem -------------------------------------------------------------------------------- /cores/snowbro2/modules/fx68k/uaddrPla.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/fx68k/uaddrPla.sv -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/.github/FUNDING.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/.github/FUNDING.yml -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/.gitignore -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/LICENSE -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/README.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/bin/graf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/bin/graf -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/bin/makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/bin/makefile -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/bin/ymx2ym: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/bin/ymx2ym -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/cc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/cc/Makefile -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/cc/phinc.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/cc/phinc.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/cc/print_con.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/cc/print_con.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/cc/tables.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/cc/tables.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/cc/tables.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/cc/tables.h -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/doc/envelope.ods: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/doc/envelope.ods -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/doc/fir.ods: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/doc/fir.ods -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/doc/opm.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/doc/opm.c -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/doc/opm.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/doc/opm.h -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51.f -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51.qip -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51.yaml -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_acc.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_csr_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_csr_op.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_eg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_eg.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_exp2lin.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_exp2lin.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_exprom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_exprom.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_kon.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_kon.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_lfo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_lfo.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_lin2exp.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_lin2exp.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_mmr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_mmr.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_mod.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_mod.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_noise.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_noise.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_op.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_pg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_pg.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_phinc_rom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_phinc_rom.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_phrom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_phrom.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_pm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_pm.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_reg.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_reg_ch.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_reg_ch.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_sh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_sh.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/hdl/jt51_timers.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/hdl/jt51_timers.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/cmd_dump/WaveWritter.cpp: -------------------------------------------------------------------------------- 1 | ../verilator/WaveWritter.cpp -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/cmd_dump/WaveWritter.hpp: -------------------------------------------------------------------------------- 1 | ../verilator/WaveWritter.hpp -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/cmd_dump/gather.f: -------------------------------------------------------------------------------- 1 | -F ../../hdl/jt51.f 2 | -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/cmd_dump/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/cmd_dump/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/cmd_dump/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/cmd_dump/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/common/basic.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/common/basic.f -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/common/dump.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/common/dump.vh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/common/fir.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/common/fir.f -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/common/sep32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/common/sep32.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/common/sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/common/sim.f -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_con/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_con/go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_lfo/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_lfo/go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_lfo/test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_lfo/test.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_lfo_am/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_lfo_am/go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_mmr/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_mmr/go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_noise/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_noise/go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_pg/fnum.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_pg/fnum.c -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_pg/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_pg/go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_pg/opm.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_pg/opm.c -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_pg/opm.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_pg/opm.h -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_pg/tables.cc: -------------------------------------------------------------------------------- 1 | ../../cc/tables.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_pg/tables.h: -------------------------------------------------------------------------------- 1 | ../../cc/tables.h -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_pg/test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_pg/test.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_pg_meas/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_pg_meas/go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_pm/sim.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_pm/sim.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/jt51_pm/test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/jt51_pm/test.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/top/cmd.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/top/cmd.hex -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/top/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/top/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/top/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/top/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/verilator/cmp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/verilator/cmp.h -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/verilator/dd.vgz: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/verilator/dd.vgz -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/verilator/gather.f: -------------------------------------------------------------------------------- 1 | -F ../../hdl/jt51.f 2 | -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/verilator/opm.c: -------------------------------------------------------------------------------- 1 | ../../doc/opm.c -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/verilator/opm.h: -------------------------------------------------------------------------------- 1 | ../../doc/opm.h -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/verilator/ref.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/verilator/ref.h -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt51/ver/verilator/sim.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt51/ver/verilator/sim.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/LICENSE -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/README.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/cc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/cc/Makefile -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/cc/okidump.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/cc/okidump.c -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/doc/okim6295.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/doc/okim6295.pdf -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/hdl/jt12_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/hdl/jt12_comb.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/hdl/jt6295.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/hdl/jt6295.f -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/hdl/jt6295.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/hdl/jt6295.qip -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/hdl/jt6295.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/hdl/jt6295.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/hdl/jt6295_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/hdl/jt6295_acc.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/hdl/jt6295_adpcm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/hdl/jt6295_adpcm.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/hdl/jt6295_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/hdl/jt6295_ctrl.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/hdl/jt6295_rom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/hdl/jt6295_rom.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/hdl/jt6295_up4.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/hdl/jt6295_up4.hex -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/hdl/jtframe_fir4.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/hdl/jtframe_fir4.m -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/ver/adpcm/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/ver/adpcm/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/ver/adpcm/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/ver/adpcm/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/ver/top/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/ver/top/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/ver/top/phrase.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/ver/top/phrase.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/ver/top/test.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/ver/top/test.f -------------------------------------------------------------------------------- /cores/snowbro2/modules/jt6295/ver/top/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jt6295/ver/top/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/.gitignore -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/.gitmodules: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/LICENSE -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/README.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/asm/z80/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/asm/z80/Makefile -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/avatar.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/avatar.py -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/bin2m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/bin2m -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/bin2png.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/bin2png.py -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/conv.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/conv.m -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/dump.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/dump.m -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/font0.pf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/font0.pf -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/git-prompt.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/git-prompt.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/jtblaster: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/jtblaster -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/jtcfgstr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/jtcfgstr -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/jtcfgstr.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/jtcfgstr.go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/jtcore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/jtcore -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/jtdef.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/jtdef.go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/jtfiles.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/jtfiles.go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/jtioreg.awk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/jtioreg.awk -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/jtsdram: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/jtsdram -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/logo128.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/logo128.png -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/lut2hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/lut2hex -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/msg2hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/msg2hex -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/post-commit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/post-commit -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/pre-commit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/pre-commit -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/rmdup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/rmdup.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/bin/setprj.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/bin/setprj.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/cc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/cc/Makefile -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/cc/bin2m.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/cc/bin2m.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/cc/lut2hex.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/cc/lut2hex.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/cc/msg2hex.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/cc/msg2hex.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/93c06.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/93c06.pdf -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/audio.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/audio.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/cheat.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/cheat.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/cheat.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/cheat.png -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/cheat/cheatasm: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | opbasm -6 -x -m 1024 $* 3 | -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/cheat/led.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/cheat/led.s -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/cheat/sf2hf.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/cheat/sf2hf.s -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/clocks.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/clocks.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/cpus.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/cpus.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/credits.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/credits.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/debug.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/debug.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/inputs.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/inputs.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/ip.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/ip.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/keycodes.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/keycodes.png -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/macros.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/macros.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/mist.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/mist.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/osd.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/osd.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/sdram.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/sdram.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/sdram_adv.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/sdram_adv.png -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/sdram_dly.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/sdram_dly.png -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/sim.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/sim.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/uart.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/uart.jpg -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/doc/video.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/doc/video.md -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/clocking/fast_pll.f: -------------------------------------------------------------------------------- 1 | fast_pll.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/cpu/mc6809i.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/cpu/mc6809i.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/cpu/t48/t48.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/cpu/t48/t48.f -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/jt74.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/jt74.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/jtframe.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/jtframe.qip -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/jtframe.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/jtframe.yaml -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/jtframe_dip.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/jtframe_dip.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/jtframe_ff.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/jtframe_ff.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/jtframe_led.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/jtframe_led.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/jtframe_sh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/jtframe_sh.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/ver/fast_pll.f: -------------------------------------------------------------------------------- 1 | fast_pll.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/ver/sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/ver/sim.f -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/ver/spitx.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/ver/spitx.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/hdl/ver/test.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/hdl/ver/test.cpp -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/syn/jtframe_2308/adc_test.sdc: -------------------------------------------------------------------------------- 1 | create_clock -period "50.0 MHz" [get_ports FPGA_CLK1_50] 2 | 3 | -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/target/mister/sys/pll_sim.f: -------------------------------------------------------------------------------- 1 | pll_sim/pll.vo 2 | -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/cen/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/cen/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/cen/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/cen/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/credits/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/credits/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/fir8/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/fir8/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/fir8/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/fir8/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/hsize/sim.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/hsize/sim.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/hsize/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/hsize/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/jt4701/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/jt4701/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/jt4701/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/jt4701/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/jt63701/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/jt63701/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/kabuki/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/kabuki/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/kabuki/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/kabuki/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/mixer/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/mixer/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/mixer/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/mixer/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/osd/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/osd/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/osd/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/osd/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/pole/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/pole/go -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/pole/test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/pole/test.cc -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/romrq/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/romrq/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/romrq/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/romrq/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/scan2x/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/scan2x/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/scan2x/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/scan2x/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/sdram_bank/Makefile: -------------------------------------------------------------------------------- 1 | ../sdram_bank_core/Makefile -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/sdram_bank/filter.txt: -------------------------------------------------------------------------------- 1 | 01 AC 2 | 02 PO 3 | 04 RD 4 | 08 W0 5 | 10 W1 6 | 20 D0 7 | 40 D1 8 | 80 CH -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/sdram_bank/randhex.py: -------------------------------------------------------------------------------- 1 | ../sdram_bank_core/randhex.py -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/vtimer/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/vtimer/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/vtimer/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/vtimer/test.v -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/wirebw/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/wirebw/go.sh -------------------------------------------------------------------------------- /cores/snowbro2/modules/jtframe/ver/wirebw/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/modules/jtframe/ver/wirebw/test.v -------------------------------------------------------------------------------- /cores/snowbro2/rtl/pll.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name QIP_FILE rtl/raizingpll.qip -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/rtl/raizingpll.bsf -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/rtl/raizingpll.cmp -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll.ppf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/rtl/raizingpll.ppf -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/rtl/raizingpll.qip -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll.sip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/rtl/raizingpll.sip -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/rtl/raizingpll.spd -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/rtl/raizingpll.v -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll/raizingpll_0002.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/rtl/raizingpll/raizingpll_0002.v -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll_sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/rtl/raizingpll_sim.f -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll_sim/cadence/hdl.var: -------------------------------------------------------------------------------- 1 | 2 | DEFINE WORK work 3 | -------------------------------------------------------------------------------- /cores/snowbro2/rtl/raizingpll_sim/raizingpll.vo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/rtl/raizingpll_sim/raizingpll.vo -------------------------------------------------------------------------------- /cores/snowbro2/snowbro2.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/snowbro2.qpf -------------------------------------------------------------------------------- /cores/snowbro2/snowbro2.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/snowbro2.qsf -------------------------------------------------------------------------------- /cores/snowbro2/sys_top.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/snowbro2/sys_top.sdc -------------------------------------------------------------------------------- /cores/tekipaki/.gitignore: -------------------------------------------------------------------------------- 1 | incremental_db 2 | output_files 3 | db 4 | jtag.cdf 5 | *.qws 6 | -------------------------------------------------------------------------------- /cores/tekipaki/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/LICENSE -------------------------------------------------------------------------------- /cores/tekipaki/build_id.v: -------------------------------------------------------------------------------- 1 | `define BUILD_DATE "240518" -------------------------------------------------------------------------------- /cores/tekipaki/c5_pin_model_dump.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/c5_pin_model_dump.txt -------------------------------------------------------------------------------- /cores/tekipaki/cfgstr/cfgstr_tekipaki.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/cfgstr/cfgstr_tekipaki.hex -------------------------------------------------------------------------------- /cores/tekipaki/cfgstr/cfgstr_tekipaki.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/cfgstr/cfgstr_tekipaki.txt -------------------------------------------------------------------------------- /cores/tekipaki/cfgstr/cfgstr_tekipaki_smb.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/cfgstr/cfgstr_tekipaki_smb.txt -------------------------------------------------------------------------------- /cores/tekipaki/font0.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/font0.hex -------------------------------------------------------------------------------- /cores/tekipaki/game.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/game.qip -------------------------------------------------------------------------------- /cores/tekipaki/hdl/tekipaki.def: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/hdl/tekipaki.def -------------------------------------------------------------------------------- /cores/tekipaki/hdl/tekipaki.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/hdl/tekipaki.qip -------------------------------------------------------------------------------- /cores/tekipaki/hdl/tekipaki_clock.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/hdl/tekipaki_clock.v -------------------------------------------------------------------------------- /cores/tekipaki/hdl/tekipaki_cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/hdl/tekipaki_cpu.v -------------------------------------------------------------------------------- /cores/tekipaki/hdl/tekipaki_game.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/hdl/tekipaki_game.v -------------------------------------------------------------------------------- /cores/tekipaki/hdl/tekipaki_sdram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/hdl/tekipaki_sdram.v -------------------------------------------------------------------------------- /cores/tekipaki/hdl/tekipaki_sound.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/hdl/tekipaki_sound.v -------------------------------------------------------------------------------- /cores/tekipaki/jt6295_up4.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/jt6295_up4.hex -------------------------------------------------------------------------------- /cores/tekipaki/jt6295_up4_soft.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/jt6295_up4_soft.hex -------------------------------------------------------------------------------- /cores/tekipaki/modules/fx68k/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/fx68k/LICENSE -------------------------------------------------------------------------------- /cores/tekipaki/modules/fx68k/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/fx68k/README.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/fx68k/altera_regs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/fx68k/altera_regs.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/fx68k/fx68k.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/fx68k/fx68k.sv -------------------------------------------------------------------------------- /cores/tekipaki/modules/fx68k/fx68k.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/fx68k/fx68k.txt -------------------------------------------------------------------------------- /cores/tekipaki/modules/fx68k/fx68kAlu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/fx68k/fx68kAlu.sv -------------------------------------------------------------------------------- /cores/tekipaki/modules/fx68k/microrom.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/fx68k/microrom.mem -------------------------------------------------------------------------------- /cores/tekipaki/modules/fx68k/nanorom.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/fx68k/nanorom.mem -------------------------------------------------------------------------------- /cores/tekipaki/modules/fx68k/uaddrPla.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/fx68k/uaddrPla.sv -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/.gitignore -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/.gitmodules: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/LICENSE -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/README.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/asm/z80/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/asm/z80/Makefile -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/avatar.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/avatar.py -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/bin2m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/bin2m -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/bin2png.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/bin2png.py -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/conv.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/conv.m -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/dump.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/dump.m -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/font0.pf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/font0.pf -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/git-prompt.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/git-prompt.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/jtblaster: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/jtblaster -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/jtcfgstr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/jtcfgstr -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/jtcfgstr.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/jtcfgstr.go -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/jtcore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/jtcore -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/jtdef.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/jtdef.go -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/jtfiles.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/jtfiles.go -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/jtioreg.awk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/jtioreg.awk -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/jtsdram: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/jtsdram -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/logo128.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/logo128.png -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/lut2hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/lut2hex -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/msg2hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/msg2hex -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/post-commit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/post-commit -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/pre-commit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/pre-commit -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/rmdup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/rmdup.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/bin/setprj.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/bin/setprj.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/cc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/cc/Makefile -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/cc/bin2m.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/cc/bin2m.cc -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/cc/lut2hex.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/cc/lut2hex.cc -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/cc/msg2hex.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/cc/msg2hex.cc -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/93c06.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/93c06.pdf -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/audio.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/audio.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/cheat.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/cheat.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/cheat.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/cheat.png -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/cheat/cheatasm: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | opbasm -6 -x -m 1024 $* 3 | -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/cheat/led.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/cheat/led.s -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/cheat/sf2hf.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/cheat/sf2hf.s -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/clocks.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/clocks.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/cpus.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/cpus.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/credits.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/credits.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/debug.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/debug.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/inputs.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/inputs.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/ip.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/ip.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/keycodes.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/keycodes.png -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/macros.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/macros.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/mist.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/mist.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/osd.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/osd.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/sdram.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/sdram.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/sdram_adv.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/sdram_adv.png -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/sdram_dly.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/sdram_dly.png -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/sim.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/sim.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/uart.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/uart.jpg -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/doc/video.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/doc/video.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/clocking/fast_pll.f: -------------------------------------------------------------------------------- 1 | fast_pll.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/cpu/mc6809i.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/cpu/mc6809i.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/cpu/t48/t48.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/cpu/t48/t48.f -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/jt74.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/jt74.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/jtframe.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/jtframe.qip -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/jtframe.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/jtframe.yaml -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/jtframe_dip.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/jtframe_dip.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/jtframe_ff.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/jtframe_ff.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/jtframe_led.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/jtframe_led.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/jtframe_sh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/jtframe_sh.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/ver/fast_pll.f: -------------------------------------------------------------------------------- 1 | fast_pll.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/ver/sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/ver/sim.f -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/ver/spitx.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/ver/spitx.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/hdl/ver/test.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/hdl/ver/test.cpp -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/syn/jtframe_2308/adc_test.sdc: -------------------------------------------------------------------------------- 1 | create_clock -period "50.0 MHz" [get_ports FPGA_CLK1_50] 2 | 3 | -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/target/mister/sys/pll_sim.f: -------------------------------------------------------------------------------- 1 | pll_sim/pll.vo 2 | -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/cen/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/cen/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/cen/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/cen/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/credits/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/credits/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/fir8/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/fir8/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/fir8/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/fir8/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/hsize/sim.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/hsize/sim.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/hsize/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/hsize/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/jt4701/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/jt4701/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/jt4701/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/jt4701/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/jt63701/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/jt63701/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/kabuki/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/kabuki/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/kabuki/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/kabuki/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/mixer/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/mixer/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/mixer/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/mixer/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/osd/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/osd/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/osd/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/osd/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/pole/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/pole/go -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/pole/test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/pole/test.cc -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/romrq/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/romrq/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/romrq/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/romrq/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/scan2x/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/scan2x/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/scan2x/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/scan2x/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/sdram_bank/Makefile: -------------------------------------------------------------------------------- 1 | ../sdram_bank_core/Makefile -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/sdram_bank/filter.txt: -------------------------------------------------------------------------------- 1 | 01 AC 2 | 02 PO 3 | 04 RD 4 | 08 W0 5 | 10 W1 6 | 20 D0 7 | 40 D1 8 | 80 CH -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/sdram_bank/randhex.py: -------------------------------------------------------------------------------- 1 | ../sdram_bank_core/randhex.py -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/vtimer/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/vtimer/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/vtimer/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/vtimer/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/wirebw/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/wirebw/go.sh -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtframe/ver/wirebw/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtframe/ver/wirebw/test.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/LICENSE -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/README.md -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/doc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/doc/Makefile -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/doc/YM2413.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/doc/YM2413.pdf -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/doc/lfo_count.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/doc/lfo_count.cc -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/doc/notes.ods: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/doc/notes.ods -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/doc/opl3.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/doc/opl3.c -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/doc/opl3.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/doc/opl3.h -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/doc/opll.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/doc/opll.c -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/doc/opll.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/doc/opll.h -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/doc/opll_patches.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/doc/opll_patches.c -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/common.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/common.yaml -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jt2413.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jt2413.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jt2413.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jt2413.yaml -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jt26.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jt26.qip -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jt26.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jt26.yaml -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl.yaml: -------------------------------------------------------------------------------- 1 | jt26.yaml -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl2.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl2.qip -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl2.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl2.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl2.yaml -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_acc.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_csr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_csr.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_div.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_div.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_eg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_eg.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_eg_cnt.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_eg_cnt.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_eg_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_eg_comb.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_eg_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_eg_ctrl.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_eg_pure.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_eg_pure.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_eg_step.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_eg_step.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_exprom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_exprom.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_lfo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_lfo.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_logsin.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_logsin.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_mmr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_mmr.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_noise.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_noise.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_op.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_pg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_pg.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_pg_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_pg_comb.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_pg_inc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_pg_inc.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_pg_rhy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_pg_rhy.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_pg_sum.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_pg_sum.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_pm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_pm.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_reg.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_reg_ch.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_reg_ch.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_sh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_sh.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_sh_rst.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_sh_rst.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopl_timers.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopl_timers.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopll.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopll.yaml -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopll_mmr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopll_mmr.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/hdl/jtopll_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/hdl/jtopll_reg.v -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/jt2413.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/jt2413.qip -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/jt26.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/jt26.qip -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/jtopl2.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/modules/jtopl/jtopl2.qip -------------------------------------------------------------------------------- /cores/tekipaki/modules/jtopl/ver/verilator/tests/timers.jtt: -------------------------------------------------------------------------------- 1 | $2,1F 2 | $3,80 3 | $4,83 4 | wait 1000 -------------------------------------------------------------------------------- /cores/tekipaki/rtl/pll.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name QIP_FILE rtl/raizingpll.qip -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/rtl/raizingpll.bsf -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/rtl/raizingpll.cmp -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll.ppf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/rtl/raizingpll.ppf -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/rtl/raizingpll.qip -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll.sip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/rtl/raizingpll.sip -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/rtl/raizingpll.spd -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/rtl/raizingpll.v -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll/raizingpll_0002.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/rtl/raizingpll/raizingpll_0002.v -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll_sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/rtl/raizingpll_sim.f -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll_sim/cadence/hdl.var: -------------------------------------------------------------------------------- 1 | 2 | DEFINE WORK work 3 | -------------------------------------------------------------------------------- /cores/tekipaki/rtl/raizingpll_sim/raizingpll.vo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/rtl/raizingpll_sim/raizingpll.vo -------------------------------------------------------------------------------- /cores/tekipaki/sys_top.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/sys_top.sdc -------------------------------------------------------------------------------- /cores/tekipaki/tekipaki.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/tekipaki.qpf -------------------------------------------------------------------------------- /cores/tekipaki/tekipaki.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/tekipaki/tekipaki.qsf -------------------------------------------------------------------------------- /cores/truxton2/.gitignore: -------------------------------------------------------------------------------- 1 | incremental_db 2 | output_files 3 | db 4 | jtag.cdf 5 | *.qws 6 | -------------------------------------------------------------------------------- /cores/truxton2/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/LICENSE -------------------------------------------------------------------------------- /cores/truxton2/build_id.v: -------------------------------------------------------------------------------- 1 | `define BUILD_DATE "240517" -------------------------------------------------------------------------------- /cores/truxton2/c5_pin_model_dump.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/c5_pin_model_dump.txt -------------------------------------------------------------------------------- /cores/truxton2/cfgstr/cfgstr_truxton2.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/cfgstr/cfgstr_truxton2.hex -------------------------------------------------------------------------------- /cores/truxton2/cfgstr/cfgstr_truxton2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/cfgstr/cfgstr_truxton2.txt -------------------------------------------------------------------------------- /cores/truxton2/cfgstr/cfgstr_truxton2_smb.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/cfgstr/cfgstr_truxton2_smb.txt -------------------------------------------------------------------------------- /cores/truxton2/font0.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/font0.hex -------------------------------------------------------------------------------- /cores/truxton2/game.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/game.qip -------------------------------------------------------------------------------- /cores/truxton2/hdl/truxton2.def: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/hdl/truxton2.def -------------------------------------------------------------------------------- /cores/truxton2/hdl/truxton2.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/hdl/truxton2.qip -------------------------------------------------------------------------------- /cores/truxton2/hdl/truxton2_clock.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/hdl/truxton2_clock.v -------------------------------------------------------------------------------- /cores/truxton2/hdl/truxton2_cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/hdl/truxton2_cpu.v -------------------------------------------------------------------------------- /cores/truxton2/hdl/truxton2_game.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/hdl/truxton2_game.v -------------------------------------------------------------------------------- /cores/truxton2/hdl/truxton2_sdram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/hdl/truxton2_sdram.v -------------------------------------------------------------------------------- /cores/truxton2/hdl/truxton2_sound.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/hdl/truxton2_sound.v -------------------------------------------------------------------------------- /cores/truxton2/jt6295_up4.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/jt6295_up4.hex -------------------------------------------------------------------------------- /cores/truxton2/jt6295_up4_soft.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/jt6295_up4_soft.hex -------------------------------------------------------------------------------- /cores/truxton2/modules/fx68k/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/fx68k/LICENSE -------------------------------------------------------------------------------- /cores/truxton2/modules/fx68k/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/fx68k/README.md -------------------------------------------------------------------------------- /cores/truxton2/modules/fx68k/altera_regs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/fx68k/altera_regs.v -------------------------------------------------------------------------------- /cores/truxton2/modules/fx68k/fx68k.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/fx68k/fx68k.sv -------------------------------------------------------------------------------- /cores/truxton2/modules/fx68k/fx68k.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/fx68k/fx68k.txt -------------------------------------------------------------------------------- /cores/truxton2/modules/fx68k/fx68kAlu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/fx68k/fx68kAlu.sv -------------------------------------------------------------------------------- /cores/truxton2/modules/fx68k/microrom.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/fx68k/microrom.mem -------------------------------------------------------------------------------- /cores/truxton2/modules/fx68k/nanorom.mem: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/fx68k/nanorom.mem -------------------------------------------------------------------------------- /cores/truxton2/modules/fx68k/uaddrPla.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/fx68k/uaddrPla.sv -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/.github/FUNDING.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/.github/FUNDING.yml -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/.gitignore -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/LICENSE -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/README.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/bin/graf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/bin/graf -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/bin/makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/bin/makefile -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/bin/ymx2ym: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/bin/ymx2ym -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/cc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/cc/Makefile -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/cc/phinc.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/cc/phinc.cc -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/cc/print_con.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/cc/print_con.cc -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/cc/tables.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/cc/tables.cc -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/cc/tables.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/cc/tables.h -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/doc/envelope.ods: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/doc/envelope.ods -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/doc/fir.ods: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/doc/fir.ods -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/doc/opm.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/doc/opm.c -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/doc/opm.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/doc/opm.h -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51.f -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51.qip -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51.yaml -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_acc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_acc.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_csr_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_csr_op.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_eg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_eg.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_exp2lin.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_exp2lin.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_exprom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_exprom.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_kon.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_kon.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_lfo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_lfo.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_lin2exp.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_lin2exp.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_mmr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_mmr.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_mod.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_mod.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_noise.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_noise.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_op.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_pg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_pg.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_phinc_rom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_phinc_rom.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_phrom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_phrom.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_pm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_pm.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_reg.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_reg_ch.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_reg_ch.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_sh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_sh.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/hdl/jt51_timers.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/hdl/jt51_timers.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/cmd_dump/WaveWritter.cpp: -------------------------------------------------------------------------------- 1 | ../verilator/WaveWritter.cpp -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/cmd_dump/WaveWritter.hpp: -------------------------------------------------------------------------------- 1 | ../verilator/WaveWritter.hpp -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/cmd_dump/gather.f: -------------------------------------------------------------------------------- 1 | -F ../../hdl/jt51.f 2 | -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/cmd_dump/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/cmd_dump/go.sh -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/cmd_dump/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/cmd_dump/test.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/common/basic.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/common/basic.f -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/common/dump.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/common/dump.vh -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/common/fir.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/common/fir.f -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/common/sep32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/common/sep32.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/common/sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/common/sim.f -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_con/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/jt51_con/go -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_lfo/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/jt51_lfo/go -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_lfo/test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/jt51_lfo/test.cc -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_lfo_am/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/jt51_lfo_am/go -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_mmr/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/jt51_mmr/go -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_noise/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/jt51_noise/go -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_pg/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/jt51_pg/go -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_pg/opm.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/jt51_pg/opm.c -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_pg/opm.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/jt51_pg/opm.h -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_pg/tables.cc: -------------------------------------------------------------------------------- 1 | ../../cc/tables.cc -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/jt51_pg/tables.h: -------------------------------------------------------------------------------- 1 | ../../cc/tables.h -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/top/cmd.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/top/cmd.hex -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/top/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/top/go.sh -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/top/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt51/ver/top/test.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/verilator/gather.f: -------------------------------------------------------------------------------- 1 | -F ../../hdl/jt51.f 2 | -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/verilator/opm.c: -------------------------------------------------------------------------------- 1 | ../../doc/opm.c -------------------------------------------------------------------------------- /cores/truxton2/modules/jt51/ver/verilator/opm.h: -------------------------------------------------------------------------------- 1 | ../../doc/opm.h -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/LICENSE -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/README.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/cc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/cc/Makefile -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/cc/okidump.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/cc/okidump.c -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/hdl/jt12_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/hdl/jt12_comb.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/hdl/jt6295.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/hdl/jt6295.f -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/hdl/jt6295.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/hdl/jt6295.qip -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/hdl/jt6295.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/hdl/jt6295.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/ver/adpcm/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/ver/adpcm/go.sh -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/ver/top/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/ver/top/go.sh -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/ver/top/test.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/ver/top/test.f -------------------------------------------------------------------------------- /cores/truxton2/modules/jt6295/ver/top/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jt6295/ver/top/test.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/.gitignore -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/.gitmodules: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/LICENSE -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/README.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/avatar.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/avatar.py -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/bin2m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/bin2m -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/bin2png.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/bin2png.py -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/conv.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/conv.m -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/dump.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/dump.m -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/font0.pf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/font0.pf -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/jtblaster: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/jtblaster -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/jtcfgstr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/jtcfgstr -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/jtcore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/jtcore -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/jtdef.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/jtdef.go -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/jtfiles.go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/jtfiles.go -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/jtsdram: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/jtsdram -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/lut2hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/lut2hex -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/msg2hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/msg2hex -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/pre-commit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/pre-commit -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/rmdup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/rmdup.sh -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/bin/setprj.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/bin/setprj.sh -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/cc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/cc/Makefile -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/cc/bin2m.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/cc/bin2m.cc -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/cc/lut2hex.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/cc/lut2hex.cc -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/cc/msg2hex.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/cc/msg2hex.cc -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/93c06.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/93c06.pdf -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/audio.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/audio.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/cheat.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/cheat.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/cheat.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/cheat.png -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/cheat/cheatasm: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | opbasm -6 -x -m 1024 $* 3 | -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/clocks.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/clocks.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/cpus.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/cpus.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/credits.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/credits.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/debug.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/debug.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/inputs.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/inputs.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/ip.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/ip.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/macros.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/macros.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/mist.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/mist.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/osd.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/osd.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/sdram.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/sdram.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/sim.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/sim.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/uart.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/uart.jpg -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/doc/video.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/doc/video.md -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/hdl/clocking/fast_pll.f: -------------------------------------------------------------------------------- 1 | fast_pll.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/hdl/jt74.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/hdl/jt74.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/hdl/ver/fast_pll.f: -------------------------------------------------------------------------------- 1 | fast_pll.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/hdl/ver/sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/hdl/ver/sim.f -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/syn/jtframe_2308/adc_test.sdc: -------------------------------------------------------------------------------- 1 | create_clock -period "50.0 MHz" [get_ports FPGA_CLK1_50] 2 | 3 | -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/target/mister/sys/pll_sim.f: -------------------------------------------------------------------------------- 1 | pll_sim/pll.vo 2 | -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/ver/cen/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/ver/cen/go.sh -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/ver/cen/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/ver/cen/test.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/ver/fir8/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/ver/fir8/go.sh -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/ver/osd/go.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/ver/osd/go.sh -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/ver/osd/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/ver/osd/test.v -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/ver/pole/go: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/modules/jtframe/ver/pole/go -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/ver/sdram_bank/Makefile: -------------------------------------------------------------------------------- 1 | ../sdram_bank_core/Makefile -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/ver/sdram_bank/filter.txt: -------------------------------------------------------------------------------- 1 | 01 AC 2 | 02 PO 3 | 04 RD 4 | 08 W0 5 | 10 W1 6 | 20 D0 7 | 40 D1 8 | 80 CH -------------------------------------------------------------------------------- /cores/truxton2/modules/jtframe/ver/sdram_bank/randhex.py: -------------------------------------------------------------------------------- 1 | ../sdram_bank_core/randhex.py -------------------------------------------------------------------------------- /cores/truxton2/rtl/pll.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name QIP_FILE rtl/raizingpll.qip -------------------------------------------------------------------------------- /cores/truxton2/rtl/raizingpll.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/rtl/raizingpll.bsf -------------------------------------------------------------------------------- /cores/truxton2/rtl/raizingpll.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/rtl/raizingpll.cmp -------------------------------------------------------------------------------- /cores/truxton2/rtl/raizingpll.ppf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/rtl/raizingpll.ppf -------------------------------------------------------------------------------- /cores/truxton2/rtl/raizingpll.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/rtl/raizingpll.qip -------------------------------------------------------------------------------- /cores/truxton2/rtl/raizingpll.sip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/rtl/raizingpll.sip -------------------------------------------------------------------------------- /cores/truxton2/rtl/raizingpll.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/rtl/raizingpll.spd -------------------------------------------------------------------------------- /cores/truxton2/rtl/raizingpll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/rtl/raizingpll.v -------------------------------------------------------------------------------- /cores/truxton2/rtl/raizingpll_sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/rtl/raizingpll_sim.f -------------------------------------------------------------------------------- /cores/truxton2/rtl/raizingpll_sim/cadence/hdl.var: -------------------------------------------------------------------------------- 1 | 2 | DEFINE WORK work 3 | -------------------------------------------------------------------------------- /cores/truxton2/sys_top.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/sys_top.sdc -------------------------------------------------------------------------------- /cores/truxton2/truxton2.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/truxton2.qpf -------------------------------------------------------------------------------- /cores/truxton2/truxton2.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/cores/truxton2/truxton2.qsf -------------------------------------------------------------------------------- /releases/Teki Paki.mra: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/Teki Paki.mra -------------------------------------------------------------------------------- /releases/Truxton II - Tatsujin Oh.mra: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/Truxton II - Tatsujin Oh.mra -------------------------------------------------------------------------------- /releases/pipibibs_20221225.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/pipibibs_20221225.rbf -------------------------------------------------------------------------------- /releases/pipibibs_20240517.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/pipibibs_20240517.rbf -------------------------------------------------------------------------------- /releases/snowbro2_20220904.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/snowbro2_20220904.rbf -------------------------------------------------------------------------------- /releases/snowbro2_20221225.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/snowbro2_20221225.rbf -------------------------------------------------------------------------------- /releases/snowbro2_20240517.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/snowbro2_20240517.rbf -------------------------------------------------------------------------------- /releases/tekipaki_20240518.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/tekipaki_20240518.rbf -------------------------------------------------------------------------------- /releases/truxton2_20220819.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/truxton2_20220819.rbf -------------------------------------------------------------------------------- /releases/truxton2_20220902.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/truxton2_20220902.rbf -------------------------------------------------------------------------------- /releases/truxton2_20221225.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/truxton2_20221225.rbf -------------------------------------------------------------------------------- /releases/truxton2_20230119.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/truxton2_20230119.rbf -------------------------------------------------------------------------------- /releases/truxton2_20240517.rbf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/atrac17/Toaplan2/HEAD/releases/truxton2_20240517.rbf --------------------------------------------------------------------------------