├── pong.v ├── vga_test.v ├── timer.v ├── xilinx_dual_port_ram_sync.v ├── font_test_top.v ├── text_screen_top.v ├── dot_top.v ├── font_test_gen.v ├── m100_counter.v ├── debounce.v ├── vga_sync.v ├── text_screen_gen.v ├── bitmap_gen.v ├── pong_top.v ├── pong_text.v ├── README.markdown ├── pong_graph.v └── font_rom.v /pong.v: -------------------------------------------------------------------------------- 1 | `include "font_rom.v" 2 | `include "font_test_gen.v" 3 | `include "font_test_top.v" 4 | `include "m100_counter.v" 5 | `include "pong_graph.v" 6 | `include "pong_text.v" 7 | `include "pong_top.v" 8 | `include "text_screen_gen.v" 9 | `include "text_screen_top.v" 10 | `include "timer.v" 11 | `include "bitmap_gen.v" 12 | `include "dot_top.v" 13 | `include "vga_sync.v" 14 | `include "vga_test.v" 15 | `include "xilinx_dual_port_ram_sync.v" 16 | `include "debounce.v" 17 | 18 | module pong(); 19 | pong_top pong(); 20 | endmodule 21 | -------------------------------------------------------------------------------- /vga_test.v: -------------------------------------------------------------------------------- 1 | // Listing 13.2 2 | module vga_test 3 | ( 4 | input wire clk, reset, 5 | input wire [2:0] sw, 6 | output wire hsync, vsync, 7 | output wire [2:0] rgb 8 | ); 9 | 10 | //signal declaration 11 | reg [2:0] rgb_reg; 12 | wire video_on; 13 | 14 | // instantiate vga sync circuit 15 | vga_sync vsync_unit 16 | (.clk(clk), .reset(reset), .hsync(hsync), .vsync(vsync), 17 | .video_on(video_on), .p_tick(), .pixel_x(), .pixel_y()); 18 | // rgb buffer 19 | always @(posedge clk, posedge reset) 20 | if (reset) 21 | rgb_reg <= 0; 22 | else 23 | rgb_reg <= sw; 24 | // output 25 | assign rgb = (video_on) ? rgb_reg : 3'b0; 26 | 27 | endmodule -------------------------------------------------------------------------------- /timer.v: -------------------------------------------------------------------------------- 1 | // Listing 14.9 2 | module timer 3 | ( 4 | input wire clk, reset, 5 | input wire timer_start, timer_tick, 6 | output wire timer_up 7 | ); 8 | 9 | // signal declaration 10 | reg [6:0] timer_reg, timer_next; 11 | 12 | // registers 13 | always @(posedge clk, posedge reset) 14 | if (reset) 15 | timer_reg <= 7'b1111111; 16 | else 17 | timer_reg <= timer_next; 18 | 19 | // next-state logic 20 | always @* 21 | if (timer_start) 22 | timer_next = 7'b1111111; 23 | else if ((timer_tick) && (timer_reg != 0)) 24 | timer_next = timer_reg - 1; 25 | else 26 | timer_next = timer_reg; 27 | // output 28 | assign timer_up = (timer_reg==0); 29 | 30 | endmodule 31 | -------------------------------------------------------------------------------- /xilinx_dual_port_ram_sync.v: -------------------------------------------------------------------------------- 1 | // Listing 12.4 2 | // Dual-port RAM with synchronous read 3 | // Modified from XST 8.1i v_rams_11 4 | 5 | module xilinx_dual_port_ram_sync 6 | #( 7 | parameter ADDR_WIDTH = 6, 8 | DATA_WIDTH = 8 9 | ) 10 | ( 11 | input wire clk, 12 | input wire we, 13 | input wire [ADDR_WIDTH-1:0] addr_a, addr_b, 14 | input wire [DATA_WIDTH-1:0] din_a, 15 | output wire [DATA_WIDTH-1:0] dout_a, dout_b 16 | ); 17 | 18 | // signal declaration 19 | reg [DATA_WIDTH-1:0] ram [2**ADDR_WIDTH-1:0]; 20 | reg [ADDR_WIDTH-1:0] addr_a_reg, addr_b_reg; 21 | 22 | // body 23 | always @(posedge clk) 24 | begin 25 | if (we) // write operation 26 | ram[addr_a] <= din_a; 27 | addr_a_reg <= addr_a; 28 | addr_b_reg <= addr_b; 29 | end 30 | // two read operations 31 | assign dout_a = ram[addr_a_reg]; 32 | assign dout_b = ram[addr_b_reg]; 33 | 34 | endmodule -------------------------------------------------------------------------------- /font_test_top.v: -------------------------------------------------------------------------------- 1 | // Listing 14.3 2 | module font_test_top 3 | ( 4 | input wire clk, reset, 5 | output wire hsync, vsync, 6 | output wire [2:0] rgb 7 | ); 8 | 9 | // signal declaration 10 | wire [9:0] pixel_x, pixel_y; 11 | wire video_on, pixel_tick; 12 | reg [2:0] rgb_reg; 13 | wire [2:0] rgb_next; 14 | 15 | // body 16 | // instantiate vga sync circuit 17 | vga_sync vsync_unit 18 | (.clk(clk), .reset(reset), .hsync(hsync), .vsync(vsync), 19 | .video_on(video_on), .p_tick(pixel_tick), 20 | .pixel_x(pixel_x), .pixel_y(pixel_y)); 21 | // font generation circuit 22 | font_test_gen font_gen_unit 23 | (.clk(clk), .video_on(video_on), .pixel_x(pixel_x), 24 | .pixel_y(pixel_y), .rgb_text(rgb_next)); 25 | // rgb buffer 26 | always @(posedge clk) 27 | if (pixel_tick) 28 | rgb_reg <= rgb_next; 29 | // output 30 | assign rgb = rgb_reg; 31 | 32 | endmodule 33 | 34 | -------------------------------------------------------------------------------- /text_screen_top.v: -------------------------------------------------------------------------------- 1 | // Listing 14.5 2 | module text_screen_top 3 | ( 4 | input wire clk, reset, 5 | input wire [2:0] btn, 6 | input wire [6:0] sw, 7 | output wire hsync, vsync, 8 | output wire [2:0] rgb 9 | ); 10 | 11 | // signal declaration 12 | wire [9:0] pixel_x, pixel_y; 13 | wire video_on, pixel_tick; 14 | reg [2:0] rgb_reg; 15 | wire [2:0] rgb_next; 16 | // body 17 | // instantiate vga sync circuit 18 | vga_sync vsync_unit 19 | (.clk(clk), .reset(reset), .hsync(hsync), .vsync(vsync), 20 | .video_on(video_on), .p_tick(pixel_tick), 21 | .pixel_x(pixel_x), .pixel_y(pixel_y)); 22 | // font generation circuit 23 | text_screen_gen text_gen_unit 24 | (.clk(clk), .reset(reset), .video_on(video_on), 25 | .btn(btn), .sw(sw), .pixel_x(pixel_x), 26 | .pixel_y(pixel_y), .text_rgb(rgb_next)); 27 | // rgb buffer 28 | always @(posedge clk) 29 | if (pixel_tick) 30 | rgb_reg <= rgb_next; 31 | // output 32 | assign rgb = rgb_reg; 33 | endmodule 34 | -------------------------------------------------------------------------------- /dot_top.v: -------------------------------------------------------------------------------- 1 | // Listing 13.8 2 | module dot_top 3 | ( 4 | input wire clk, reset, 5 | input wire [1:0] btn, 6 | input wire [2:0] sw, 7 | output wire hsync, vsync, 8 | output wire [2:0] rgb 9 | ); 10 | 11 | // signal declaration 12 | wire [9:0] pixel_x, pixel_y; 13 | wire video_on, pixel_tick; 14 | reg [2:0] rgb_reg; 15 | wire [2:0] rgb_next; 16 | 17 | // body 18 | // instantiate VGA sync circuit 19 | vga_sync vsync_unit 20 | (.clk(clk), .reset(reset), .hsync(hsync), .vsync(vsync), 21 | .video_on(video_on), .p_tick(pixel_tick), 22 | .pixel_x(pixel_x), .pixel_y(pixel_y)); 23 | 24 | // instantiate graphic generator 25 | bitmap_gen bitmap_unit 26 | (.clk(clk), .reset(reset), .btn(btn), .sw(sw), 27 | .video_on(video_on), .pix_x(pixel_x), 28 | .pix_y(pixel_y), .bit_rgb(rgb_next)); 29 | 30 | // rgb buffer 31 | always @(posedge clk) 32 | if (pixel_tick) 33 | rgb_reg <= rgb_next; 34 | // output 35 | assign rgb = rgb_reg; 36 | 37 | endmodule 38 | -------------------------------------------------------------------------------- /font_test_gen.v: -------------------------------------------------------------------------------- 1 | // Listing 14.2 2 | module font_test_gen 3 | ( 4 | input wire clk, 5 | input wire video_on, 6 | input wire [9:0] pixel_x, pixel_y, 7 | output reg [2:0] rgb_text 8 | ); 9 | 10 | // signal declaration 11 | wire [10:0] rom_addr; 12 | wire [6:0] char_addr; 13 | wire [3:0] row_addr; 14 | wire [2:0] bit_addr; 15 | wire [7:0] font_word; 16 | wire font_bit, text_bit_on; 17 | 18 | // body 19 | // instantiate font ROM 20 | font_rom font_unit 21 | (.clk(clk), .addr(rom_addr), .data(font_word)); 22 | // font ROM interface 23 | assign char_addr = {pixel_y[5:4], pixel_x[7:3]}; 24 | assign row_addr = pixel_y[3:0]; 25 | assign rom_addr = {char_addr, row_addr}; 26 | assign bit_addr = pixel_x[2:0]; 27 | assign font_bit = font_word[~bit_addr]; 28 | // "on" region limited to top-left corner 29 | assign text_bit_on = (pixel_x[9:8]==0 && pixel_y[9:6]==0) ? 30 | font_bit : 1'b0; 31 | // rgb multiplexing circuit 32 | always @* 33 | if (~video_on) 34 | rgb_text = 3'b000; // blank 35 | else 36 | if (text_bit_on) 37 | rgb_text = 3'b010; // green 38 | else 39 | rgb_text = 3'b000; // black 40 | 41 | endmodule 42 | -------------------------------------------------------------------------------- /m100_counter.v: -------------------------------------------------------------------------------- 1 | // Listing 14.8 2 | module m100_counter 3 | ( 4 | input wire clk, reset, 5 | input wire d_inc, d_clr, 6 | output wire [3:0] dig0, dig1 7 | ); 8 | 9 | // signal declaration 10 | reg [3:0] dig0_reg, dig1_reg, dig0_next, dig1_next; 11 | 12 | // registers 13 | always @(posedge clk, posedge reset) 14 | if (reset) 15 | begin 16 | dig1_reg <= 0; 17 | dig0_reg <= 0; 18 | end 19 | else 20 | begin 21 | dig1_reg <= dig1_next; 22 | dig0_reg <= dig0_next; 23 | end 24 | 25 | // next-state logic 26 | always @* 27 | begin 28 | dig0_next = dig0_reg; 29 | dig1_next = dig1_reg; 30 | if (d_clr) 31 | begin 32 | dig0_next = 0; 33 | dig1_next = 0; 34 | end 35 | else if (d_inc) 36 | if (dig0_reg==9) 37 | begin 38 | dig0_next = 0; 39 | if (dig1_reg==9) 40 | dig1_next = 0; 41 | else 42 | dig1_next = dig1_reg + 1; 43 | end 44 | else // dig0 not 9 45 | dig0_next = dig0_reg + 1; 46 | end 47 | // output 48 | assign dig0 = dig0_reg; 49 | assign dig1 = dig1_reg; 50 | 51 | endmodule 52 | -------------------------------------------------------------------------------- /debounce.v: -------------------------------------------------------------------------------- 1 | // Listing 6.2 2 | module debounce 3 | ( 4 | input wire clk, reset, 5 | input wire sw, 6 | output reg db_level, db_tick 7 | ); 8 | 9 | // symbolic state declaration 10 | localparam [1:0] 11 | zero = 2'b00, 12 | wait0 = 2'b01, 13 | one = 2'b10, 14 | wait1 = 2'b11; 15 | 16 | // number of counter bits (2^N * 20ns = 40ms) 17 | localparam N=21; 18 | 19 | // signal declaration 20 | reg [N-1:0] q_reg, q_next; 21 | reg [1:0] state_reg, state_next; 22 | 23 | // body 24 | // fsmd state & data registers 25 | always @(posedge clk, posedge reset) 26 | if (reset) 27 | begin 28 | state_reg <= zero; 29 | q_reg <= 0; 30 | end 31 | else 32 | begin 33 | state_reg <= state_next; 34 | q_reg <= q_next; 35 | end 36 | 37 | // next-state logic & data path functional units/routing 38 | always @* 39 | begin 40 | state_next = state_reg; // default state: the same 41 | q_next = q_reg; // default q: unchnaged 42 | db_tick = 1'b0; // default output: 0 43 | case (state_reg) 44 | zero: 45 | begin 46 | db_level = 1'b0; 47 | if (sw) 48 | begin 49 | state_next = wait1; 50 | q_next = {N{1'b1}}; // load 1..1 51 | end 52 | end 53 | wait1: 54 | begin 55 | db_level = 1'b0; 56 | if (sw) 57 | begin 58 | q_next = q_reg - 1; 59 | if (q_next==0) 60 | begin 61 | state_next = one; 62 | db_tick = 1'b1; 63 | end 64 | end 65 | else // sw==0 66 | state_next = zero; 67 | end 68 | one: 69 | begin 70 | db_level = 1'b1; 71 | if (~sw) 72 | begin 73 | state_next = wait0; 74 | q_next = {N{1'b1}}; // load 1..1 75 | end 76 | end 77 | wait0: 78 | begin 79 | db_level = 1'b1; 80 | if (~sw) 81 | begin 82 | q_next = q_reg - 1; 83 | if (q_next==0) 84 | state_next = zero; 85 | end 86 | else // sw==1 87 | state_next = one; 88 | 89 | end 90 | default: state_next = zero; 91 | endcase 92 | end 93 | 94 | endmodule 95 | -------------------------------------------------------------------------------- /vga_sync.v: -------------------------------------------------------------------------------- 1 | // Listing 13.1 2 | module vga_sync 3 | ( 4 | input wire clk, reset, 5 | output wire hsync, vsync, video_on, p_tick, 6 | output wire [9:0] pixel_x, pixel_y 7 | ); 8 | 9 | // constant declaration 10 | // VGA 640-by-480 sync parameters 11 | localparam HD = 640; // horizontal display area 12 | localparam HF = 48 ; // h. front (left) border 13 | localparam HB = 16 ; // h. back (right) border 14 | localparam HR = 96 ; // h. retrace 15 | localparam VD = 480; // vertical display area 16 | localparam VF = 10; // v. front (top) border 17 | localparam VB = 33; // v. back (bottom) border 18 | localparam VR = 2; // v. retrace 19 | 20 | // mod-2 counter 21 | reg mod2_reg; 22 | wire mod2_next; 23 | // sync counters 24 | reg [9:0] h_count_reg, h_count_next; 25 | reg [9:0] v_count_reg, v_count_next; 26 | // output buffer 27 | reg v_sync_reg, h_sync_reg; 28 | wire v_sync_next, h_sync_next; 29 | // status signal 30 | wire h_end, v_end, pixel_tick; 31 | 32 | // body 33 | // registers 34 | always @(posedge clk, posedge reset) 35 | if (reset) 36 | begin 37 | mod2_reg <= 1'b0; 38 | v_count_reg <= 0; 39 | h_count_reg <= 0; 40 | v_sync_reg <= 1'b0; 41 | h_sync_reg <= 1'b0; 42 | end 43 | else 44 | begin 45 | mod2_reg <= mod2_next; 46 | v_count_reg <= v_count_next; 47 | h_count_reg <= h_count_next; 48 | v_sync_reg <= v_sync_next; 49 | h_sync_reg <= h_sync_next; 50 | end 51 | 52 | // mod-2 circuit to generate 25 MHz enable tick 53 | assign mod2_next = ~mod2_reg; 54 | assign pixel_tick = mod2_reg; 55 | 56 | // status signals 57 | // end of horizontal counter (799) 58 | assign h_end = (h_count_reg==(HD+HF+HB+HR-1)); 59 | // end of vertical counter (524) 60 | assign v_end = (v_count_reg==(VD+VF+VB+VR-1)); 61 | 62 | // next-state logic of mod-800 horizontal sync counter 63 | always @* 64 | if (pixel_tick) // 25 MHz pulse 65 | if (h_end) 66 | h_count_next = 0; 67 | else 68 | h_count_next = h_count_reg + 1; 69 | else 70 | h_count_next = h_count_reg; 71 | 72 | // next-state logic of mod-525 vertical sync counter 73 | always @* 74 | if (pixel_tick & h_end) 75 | if (v_end) 76 | v_count_next = 0; 77 | else 78 | v_count_next = v_count_reg + 1; 79 | else 80 | v_count_next = v_count_reg; 81 | 82 | // horizontal and vertical sync, buffered to avoid glitch 83 | // h_sync_next asserted between 656 and 751 84 | assign h_sync_next = (h_count_reg>=(HD+HB) && 85 | h_count_reg<=(HD+HB+HR-1)); 86 | // vh_sync_next asserted between 490 and 491 87 | assign v_sync_next = (v_count_reg>=(VD+VB) && 88 | v_count_reg<=(VD+VB+VR-1)); 89 | 90 | // video on/off 91 | assign video_on = (h_count_reg paddlel_center) 89 | begin 90 | barl_y_next = barl_y_reg + 3; // move down 91 | if (barl_y_next + BARL_Y_SIZE >= MAX_Y) 92 | barl_y_next = MAX_Y - BARL_Y_SIZE; 93 | end 94 | else 95 | barl_y_next = barl_y_reg; // no move 96 | end 97 | else 98 | barl_y_next = barl_y_reg; // no move 99 | end 100 | else 101 | begin 102 | barl_y_next = barl_y_reg; // no move 103 | if (gra_still) // initial position of paddle 104 | barl_y_next = (MAX_Y-BARL_Y_SIZE)/2; 105 | else if (refr_tick) 106 | if (btn2[1] && (barl_y_b < (MAX_Y-1-BARL_V))) 107 | barl_y_next = barl_y_reg + BARL_V; // move down 108 | else if (btn2[0] && (barl_y_t > BARL_V)) 109 | barl_y_next = barl_y_reg - BARL_V; // move up 110 | end 111 | end 112 | 113 | To determine in which mode we are currently playing, we first check the value of ai_switch, which is mapped to one of the switches in the FPGA. When in AI mode, the paddle only moves once the ball has travelled one third of the way and the clock is raised. It is then determined where the ball currently is and whether the paddle needs to move up or down. In order to avoid the paddle going off the screen, we check if the top of the paddle is higher than the top of the screen and the bottom of the paddle lower than the screen bounds. If it is, we place the paddle in the topmost or bottommost position, depending on which of the boundaries was surpassed. 114 | 115 | As it can be seen in the implementation, the computer controlled paddle moves with a speed of 3 pixels per clock tick. We chose this speed because it is lower than the player’s (4 pixels per clock tick). This allows the player to win the AI in some situations making the game challenging and enjoyable. 116 | 117 | ## Animation 118 | 119 | In order to create the animated display result, registers are used to store the boundaries of the ball. The values of these registers are updated every time the screen of the VGA monitor refreshes. We need to determine how to change these values to make the game flow smooth and natural. 120 | We start with the ball moving at a constant speed. The direction of the ball changes when it hits the paddles, the bottom or top of the screen. We decompose the velocity into an x-component and a y-component, whose value can either be 2 or -2. The bounce motion of the ball is simulated by- when the ball hits the paddles, the x-component of the velocity flips its sign and the y-component stays the same; when the ball hits the top or the bottom of the screen, the y-component of the velocity flips its sign and the x-component does not change. 121 | This method works well except there is one drawback: because the ball always moves at 45 degrees angle, there is a repetitive pattern of where it hits the paddle every time it bounces. As it comes to be obvious to the players, the game becomes boring very fast. To make our game more unpredictable, therefore, more interesting, we implement a new method for the ball to bounce off the paddles. We are going to use the right paddle as an example. The paddle is divided into five regions as shown in Figure.1. 122 | 123 | ![Figure 1](http://i.imgur.com/uSsgH.png "Figure 1. Figure x-component of velocity changes depending on the impact regions of the paddle") 124 | 125 | When the ball hits the paddle, the y-component stays the same, but the x-component not only change its sign, but also change its magnitude depending on the impact region of the. For example, regardless of the velocity of the ball when it hits the paddle, as long as it hits at the outmost parts of the paddle, the x-component of the bounce speed becomes -4. -------------------------------------------------------------------------------- /pong_graph.v: -------------------------------------------------------------------------------- 1 | // Listing 14.7 2 | module pong_graph 3 | ( 4 | input wire clk, reset, 5 | input wire [1:0] btn1, 6 | input wire [1:0] btn2, 7 | input wire ai_switch, 8 | input wire [9:0] pix_x, pix_y, 9 | input wire gra_still, 10 | output wire graph_on, 11 | output reg hit, miss, 12 | output reg [2:0] graph_rgb 13 | ); 14 | 15 | // costant and signal declaration 16 | // x, y coordinates (0,0) to (639,479) 17 | localparam MAX_X = 640; 18 | localparam MAX_Y = 480; 19 | wire refr_tick; 20 | //-------------------------------------------- 21 | // vertical strip as a wall 22 | //-------------------------------------------- 23 | // wall left, right boundary 24 | //localparam WALL_X_L = 32; 25 | //localparam WALL_X_R = 35; 26 | //-------------------------------------------- 27 | // right vertical bar 28 | //-------------------------------------------- 29 | // bar left, right boundary 30 | localparam BARR_X_L = 600; 31 | localparam BARR_X_R = 603; 32 | // bar top, bottom boundary 33 | wire [9:0] barr_y_t, barr_y_b; 34 | localparam BARR_Y_SIZE = 72; 35 | // register to track top boundary (x position is fixed) 36 | reg [9:0] barr_y_reg, barr_y_next; 37 | // bar moving velocity when the button are pressed 38 | localparam BARR_V = 4; 39 | //-------------------------------------------- 40 | // left vertical bar 41 | //-------------------------------------------- 42 | // bar left, right boundary 43 | localparam BARL_X_L = 40; 44 | localparam BARL_X_R = 43; 45 | // bar top, bottom boundary 46 | wire [9:0] barl_y_t, barl_y_b; 47 | localparam BARL_Y_SIZE = 72; 48 | // register to track top boundary (x position is fixed) 49 | reg [9:0] barl_y_reg, barl_y_next; 50 | // bar moving velocity when the button are pressed 51 | localparam BARL_V = 4; 52 | //-------------------------------------------- 53 | // square ball 54 | //-------------------------------------------- 55 | localparam BALL_SIZE = 8; 56 | // ball left, right boundary 57 | wire [9:0] ball_x_l, ball_x_r; 58 | // ball top, bottom boundary 59 | wire [9:0] ball_y_t, ball_y_b; 60 | // reg to track left, top position 61 | reg [9:0] ball_x_reg, ball_y_reg; 62 | wire [9:0] ball_x_next, ball_y_next; 63 | // reg to track ball speed 64 | reg [9:0] x_delta_reg, x_delta_next; 65 | reg [9:0] y_delta_reg, y_delta_next; 66 | // ball velocity can be pos or neg) 67 | localparam BALL_V_P = 2; 68 | localparam BALL_V_N = -2; 69 | //-------------------------------------------- 70 | // round ball 71 | //-------------------------------------------- 72 | wire [2:0] rom_addr, rom_col; 73 | reg [7:0] rom_data; 74 | wire rom_bit; 75 | //-------------------------------------------- 76 | // object output signals 77 | //-------------------------------------------- 78 | wire wall_on, barr_on, barl_on, sq_ball_on, rd_ball_on; 79 | wire [2:0] wall_rgb, barr_rgb, barl_rgb, ball_rgb; 80 | //-------------------------------------------- 81 | // AI variables 82 | //-------------------------------------------- 83 | reg [9:0] ball_center; 84 | reg [9:0] paddlel_center; 85 | reg [9:0] paddler_center; 86 | //-------------------------------------------- 87 | // Angle varibles 88 | //-------------------------------------------- 89 | reg [9:0] hit_point; 90 | // body 91 | //-------------------------------------------- 92 | // round ball image ROM 93 | //-------------------------------------------- 94 | always @* 95 | case (rom_addr) 96 | 3'h0: rom_data = 8'b00111100; // **** 97 | 3'h1: rom_data = 8'b01111110; // ****** 98 | 3'h2: rom_data = 8'b11111111; // ******** 99 | 3'h3: rom_data = 8'b11111111; // ******** 100 | 3'h4: rom_data = 8'b11111111; // ******** 101 | 3'h5: rom_data = 8'b11111111; // ******** 102 | 3'h6: rom_data = 8'b01111110; // ****** 103 | 3'h7: rom_data = 8'b00111100; // **** 104 | endcase 105 | 106 | // registers 107 | always @(posedge clk, posedge reset) 108 | if (reset) 109 | begin 110 | barr_y_reg <= 0; 111 | barl_y_reg <= 0; 112 | ball_x_reg <= 0; 113 | ball_y_reg <= 0; 114 | x_delta_reg <= 10'h004; 115 | y_delta_reg <= 10'h004; 116 | end 117 | else 118 | begin 119 | barr_y_reg <= barr_y_next; 120 | barl_y_reg <= barl_y_next; 121 | ball_x_reg <= ball_x_next; 122 | ball_y_reg <= ball_y_next; 123 | x_delta_reg <= x_delta_next; 124 | y_delta_reg <= y_delta_next; 125 | end 126 | 127 | // refr_tick: 1-clock tick asserted at start of v-sync 128 | // i.e., when the screen is refreshed (60 Hz) 129 | assign refr_tick = (pix_y==481) && (pix_x==0); 130 | 131 | // //-------------------------------------------- 132 | // // (wall) left vertical strip 133 | // //-------------------------------------------- 134 | // // pixel within wall 135 | // assign wall_on = (WALL_X_L<=pix_x) && (pix_x<=WALL_X_R); 136 | // // wall rgb output 137 | // assign wall_rgb = 3'b001; // blue 138 | 139 | //-------------------------------------------- 140 | // right vertical bar 141 | //-------------------------------------------- 142 | // boundary 143 | assign barr_y_t = barr_y_reg; 144 | assign barr_y_b = barr_y_t + BARR_Y_SIZE - 1; 145 | // pixel within bar 146 | assign barr_on = (BARR_X_L<=pix_x) && (pix_x<=BARR_X_R) && 147 | (barr_y_t<=pix_y) && (pix_y<=barr_y_b); 148 | // bar rgb output 149 | assign barr_rgb = 3'b010; // green 150 | // new bar y-position 151 | always @* 152 | begin 153 | barr_y_next = barr_y_reg; // no move 154 | if (gra_still) // initial position of paddle 155 | barr_y_next = (MAX_Y-BARR_Y_SIZE)/2; 156 | else if (refr_tick) 157 | if (btn1[1] & (barr_y_b < (MAX_Y-1-BARR_V))) 158 | barr_y_next = barr_y_reg + BARR_V; // move down 159 | else if (btn1[0] & (barr_y_t > BARR_V)) 160 | barr_y_next = barr_y_reg - BARR_V; // move up 161 | end 162 | 163 | // //-------------------------------------------- 164 | // // left vertical bar (HUMAN) 165 | // //-------------------------------------------- 166 | // // boundary 167 | // assign barl_y_t = barl_y_reg; 168 | // assign barl_y_b = barl_y_t + BARL_Y_SIZE - 1; 169 | // // pixel within bar 170 | // assign barl_on = (BARL_X_L<=pix_x) && (pix_x<=BARL_X_R) && 171 | // (barl_y_t<=pix_y) && (pix_y<=barl_y_b); 172 | // // bar rgb output 173 | // assign barl_rgb = 3'b101; // purple 174 | // // new bar y-position 175 | // always @* 176 | // begin 177 | // barl_y_next = barl_y_reg; // no move 178 | // if (gra_still) // initial position of paddle 179 | // barl_y_next = (MAX_Y-BARL_Y_SIZE)/2; 180 | // else if (refr_tick) 181 | // if (btn2[1] & (barl_y_b < (MAX_Y-1-BARL_V))) 182 | // barl_y_next = barl_y_reg + BARL_V; // move down 183 | // else if (btn2[0] & (barl_y_t > BARL_V)) 184 | // barl_y_next = barl_y_reg - BARL_V; // move up 185 | // end 186 | 187 | //-------------------------------------------- 188 | // left vertical bar (AI/HUMAN) 189 | //-------------------------------------------- 190 | // boundary 191 | assign barl_y_t = barl_y_reg; 192 | assign barl_y_b = barl_y_t + BARL_Y_SIZE - 1; 193 | // pixel within bar 194 | assign barl_on = (BARL_X_L<=pix_x) && (pix_x<=BARL_X_R) && 195 | (barl_y_t<=pix_y) && (pix_y<=barl_y_b); 196 | // bar rgb output 197 | assign barl_rgb = 3'b101; // purple 198 | // new bar y-position 199 | always @* 200 | begin 201 | if (ai_switch) 202 | begin 203 | if (ball_x_l < 2*(MAX_X / 3) && refr_tick) 204 | begin 205 | ball_center = ball_y_t + ((ball_y_b - ball_y_t) / 2); 206 | paddlel_center = barl_y_t + ((barl_y_b - barl_y_t) / 2); 207 | if (ball_center < paddlel_center) 208 | begin 209 | barl_y_next = barl_y_reg - 3; // move up 210 | if (barl_y_next <= 5) 211 | barl_y_next = 5; 212 | end 213 | else if (ball_center > paddlel_center) 214 | begin 215 | barl_y_next = barl_y_reg + 3; // move down 216 | if (barl_y_next + BARL_Y_SIZE >= MAX_Y) 217 | barl_y_next = MAX_Y - BARL_Y_SIZE; 218 | end 219 | else 220 | barl_y_next = barl_y_reg; // no move 221 | end 222 | else 223 | barl_y_next = barl_y_reg; // no move 224 | end 225 | else 226 | begin 227 | barl_y_next = barl_y_reg; // no move 228 | if (gra_still) // initial position of paddle 229 | barl_y_next = (MAX_Y-BARL_Y_SIZE)/2; 230 | else if (refr_tick) 231 | if (btn2[1] && (barl_y_b < (MAX_Y-1-BARL_V))) 232 | barl_y_next = barl_y_reg + BARL_V; // move down 233 | else if (btn2[0] && (barl_y_t > BARL_V)) 234 | barl_y_next = barl_y_reg - BARL_V; // move up 235 | end 236 | end 237 | 238 | //-------------------------------------------- 239 | // square ball 240 | //-------------------------------------------- 241 | // boundary 242 | assign ball_x_l = ball_x_reg; 243 | assign ball_y_t = ball_y_reg; 244 | assign ball_x_r = ball_x_l + BALL_SIZE - 1; 245 | assign ball_y_b = ball_y_t + BALL_SIZE - 1; 246 | // pixel within ball 247 | assign sq_ball_on = 248 | (ball_x_l<=pix_x) && (pix_x<=ball_x_r) && 249 | (ball_y_t<=pix_y) && (pix_y<=ball_y_b); 250 | // map current pixel location to ROM addr/col 251 | assign rom_addr = pix_y[2:0] - ball_y_t[2:0]; 252 | assign rom_col = pix_x[2:0] - ball_x_l[2:0]; 253 | assign rom_bit = rom_data[rom_col]; 254 | // pixel within ball 255 | assign rd_ball_on = sq_ball_on & rom_bit; 256 | // ball rgb output 257 | assign ball_rgb = 3'b100; // black 258 | 259 | // new ball position 260 | assign ball_x_next = (gra_still) ? MAX_X/2 : 261 | (refr_tick) ? ball_x_reg+x_delta_reg : 262 | ball_x_reg ; 263 | assign ball_y_next = (gra_still) ? MAX_Y/2 : 264 | (refr_tick) ? ball_y_reg+y_delta_reg : 265 | ball_y_reg ; 266 | // new ball velocity 267 | always @* 268 | begin 269 | hit = 1'b0; 270 | miss = 1'b0; 271 | x_delta_next = x_delta_reg; 272 | y_delta_next = y_delta_reg; 273 | 274 | ball_center = ball_y_t + ((ball_y_b - ball_y_t) / 2); 275 | 276 | if (gra_still) // initial velocity 277 | begin 278 | x_delta_next = BALL_V_N; 279 | y_delta_next = BALL_V_P; 280 | end 281 | else if (ball_y_t <= 1) // reach top 282 | y_delta_next = BALL_V_P; 283 | else if (ball_y_b >= (MAX_Y-1)) // reach bottom 284 | y_delta_next = BALL_V_N; 285 | else if ((BARR_X_L<=ball_x_r) && (ball_x_r<=BARR_X_R) && 286 | (barr_y_t<=ball_y_b) && (ball_y_t<=barr_y_b)) 287 | begin 288 | // reach x of right bar and hit, ball bounce back 289 | //x_delta_next = BALL_V_N; 290 | hit_point = ball_center - barr_y_t; 291 | if (hit_point < (BARR_Y_SIZE / 5)) 292 | x_delta_next = -4; 293 | else if (hit_point < 2*(BARR_Y_SIZE / 5)) 294 | x_delta_next = -3; 295 | else if (hit_point < 3*(BARR_Y_SIZE / 5)) 296 | x_delta_next = -2; 297 | else if (hit_point < 4*(BARR_Y_SIZE / 5)) 298 | x_delta_next = -3; 299 | else 300 | x_delta_next = -4; 301 | 302 | if (ai_switch) 303 | hit = 1'b0; 304 | else 305 | hit = 1'b1; 306 | end 307 | else if ((BARL_X_L<=ball_x_l) && (ball_x_l<=BARL_X_R) && 308 | (barl_y_t<=ball_y_b) && (ball_y_t<=barl_y_b)) 309 | begin 310 | // reach x of left bar and hit, ball bounce back 311 | //x_delta_next = BALL_V_P; 312 | hit_point = ball_center - barr_y_t; 313 | if (hit_point < (BARR_Y_SIZE / 5)) 314 | x_delta_next = 4; 315 | else if (hit_point < 2*(BARR_Y_SIZE / 5)) 316 | x_delta_next = 3; 317 | else if (hit_point < 3*(BARR_Y_SIZE / 5)) 318 | x_delta_next = 2; 319 | else if (hit_point < 4*(BARR_Y_SIZE / 5)) 320 | x_delta_next = 3; 321 | else 322 | x_delta_next = 4; 323 | 324 | if (ai_switch) 325 | hit = 1'b0; 326 | else 327 | hit = 1'b1; 328 | end 329 | else if (ball_x_r >= MAX_X - 10) // reach right border 330 | miss = 1'b1; // a miss 331 | else if (ball_x_r <= 10) // reach left border 332 | begin 333 | if (ai_switch) 334 | hit = 1'b1; 335 | else 336 | miss = 1'b1; 337 | end 338 | end 339 | 340 | //-------------------------------------------- 341 | // rgb multiplexing circuit 342 | //-------------------------------------------- 343 | always @* 344 | if (wall_on) 345 | graph_rgb = wall_rgb; 346 | else if (barr_on) 347 | graph_rgb = barr_rgb; 348 | else if (barl_on) 349 | graph_rgb = barl_rgb; 350 | else if (rd_ball_on) 351 | graph_rgb = ball_rgb; 352 | else 353 | graph_rgb = 3'b000; // black background 354 | // new graphic_on signal 355 | //assign graph_on = wall_on | barr_on | barl_on | rd_ball_on; 356 | assign graph_on = barr_on | barl_on | rd_ball_on; 357 | 358 | endmodule 359 | -------------------------------------------------------------------------------- /font_rom.v: -------------------------------------------------------------------------------- 1 | // ROM with synchonous read (inferring Block RAM) 2 | // character ROM 3 | // - 8-by-16 (8-by-2^4) font 4 | // - 128 (2^7) characters 5 | // - ROM size: 512-by-8 (2^11-by-8) bits 6 | // 16K bits: 1 BRAM 7 | 8 | module font_rom 9 | ( 10 | input wire clk, 11 | input wire [10:0] addr, 12 | output reg [7:0] data 13 | ); 14 | 15 | // signal declaration 16 | reg [10:0] addr_reg; 17 | 18 | // body 19 | always @(posedge clk) 20 | addr_reg <= addr; 21 | 22 | always @* 23 | case (addr_reg) 24 | //code x00 25 | 11'h000: data = 8'b00000000; // 26 | 11'h001: data = 8'b00000000; // 27 | 11'h002: data = 8'b00000000; // 28 | 11'h003: data = 8'b00000000; // 29 | 11'h004: data = 8'b00000000; // 30 | 11'h005: data = 8'b00000000; // 31 | 11'h006: data = 8'b00000000; // 32 | 11'h007: data = 8'b00000000; // 33 | 11'h008: data = 8'b00000000; // 34 | 11'h009: data = 8'b00000000; // 35 | 11'h00a: data = 8'b00000000; // 36 | 11'h00b: data = 8'b00000000; // 37 | 11'h00c: data = 8'b00000000; // 38 | 11'h00d: data = 8'b00000000; // 39 | 11'h00e: data = 8'b00000000; // 40 | 11'h00f: data = 8'b00000000; // 41 | //code x01 42 | 11'h010: data = 8'b00000000; // 43 | 11'h011: data = 8'b00000000; // 44 | 11'h012: data = 8'b01111110; // ****** 45 | 11'h013: data = 8'b10000001; // * * 46 | 11'h014: data = 8'b10100101; // * * * * 47 | 11'h015: data = 8'b10000001; // * * 48 | 11'h016: data = 8'b10000001; // * * 49 | 11'h017: data = 8'b10111101; // * **** * 50 | 11'h018: data = 8'b10011001; // * ** * 51 | 11'h019: data = 8'b10000001; // * * 52 | 11'h01a: data = 8'b10000001; // * * 53 | 11'h01b: data = 8'b01111110; // ****** 54 | 11'h01c: data = 8'b00000000; // 55 | 11'h01d: data = 8'b00000000; // 56 | 11'h01e: data = 8'b00000000; // 57 | 11'h01f: data = 8'b00000000; // 58 | //code x02 59 | 11'h020: data = 8'b00000000; // 60 | 11'h021: data = 8'b00000000; // 61 | 11'h022: data = 8'b01111110; // ****** 62 | 11'h023: data = 8'b11111111; // ******** 63 | 11'h024: data = 8'b11011011; // ** ** ** 64 | 11'h025: data = 8'b11111111; // ******** 65 | 11'h026: data = 8'b11111111; // ******** 66 | 11'h027: data = 8'b11000011; // ** ** 67 | 11'h028: data = 8'b11100111; // *** *** 68 | 11'h029: data = 8'b11111111; // ******** 69 | 11'h02a: data = 8'b11111111; // ******** 70 | 11'h02b: data = 8'b01111110; // ****** 71 | 11'h02c: data = 8'b00000000; // 72 | 11'h02d: data = 8'b00000000; // 73 | 11'h02e: data = 8'b00000000; // 74 | 11'h02f: data = 8'b00000000; // 75 | //code x03 76 | 11'h030: data = 8'b00000000; // 77 | 11'h031: data = 8'b00000000; // 78 | 11'h032: data = 8'b00000000; // 79 | 11'h033: data = 8'b00000000; // 80 | 11'h034: data = 8'b01101100; // ** ** 81 | 11'h035: data = 8'b11111110; // ******* 82 | 11'h036: data = 8'b11111110; // ******* 83 | 11'h037: data = 8'b11111110; // ******* 84 | 11'h038: data = 8'b11111110; // ******* 85 | 11'h039: data = 8'b01111100; // ***** 86 | 11'h03a: data = 8'b00111000; // *** 87 | 11'h03b: data = 8'b00010000; // * 88 | 11'h03c: data = 8'b00000000; // 89 | 11'h03d: data = 8'b00000000; // 90 | 11'h03e: data = 8'b00000000; // 91 | 11'h03f: data = 8'b00000000; // 92 | //code x04 93 | 11'h040: data = 8'b00000000; // 94 | 11'h041: data = 8'b00000000; // 95 | 11'h042: data = 8'b00000000; // 96 | 11'h043: data = 8'b00000000; // 97 | 11'h044: data = 8'b00010000; // * 98 | 11'h045: data = 8'b00111000; // *** 99 | 11'h046: data = 8'b01111100; // ***** 100 | 11'h047: data = 8'b11111110; // ******* 101 | 11'h048: data = 8'b01111100; // ***** 102 | 11'h049: data = 8'b00111000; // *** 103 | 11'h04a: data = 8'b00010000; // * 104 | 11'h04b: data = 8'b00000000; // 105 | 11'h04c: data = 8'b00000000; // 106 | 11'h04d: data = 8'b00000000; // 107 | 11'h04e: data = 8'b00000000; // 108 | 11'h04f: data = 8'b00000000; // 109 | //code x05 110 | 11'h050: data = 8'b00000000; // 111 | 11'h051: data = 8'b00000000; // 112 | 11'h052: data = 8'b00000000; // 113 | 11'h053: data = 8'b00011000; // ** 114 | 11'h054: data = 8'b00111100; // **** 115 | 11'h055: data = 8'b00111100; // **** 116 | 11'h056: data = 8'b11100111; // *** *** 117 | 11'h057: data = 8'b11100111; // *** *** 118 | 11'h058: data = 8'b11100111; // *** *** 119 | 11'h059: data = 8'b00011000; // ** 120 | 11'h05a: data = 8'b00011000; // ** 121 | 11'h05b: data = 8'b00111100; // **** 122 | 11'h05c: data = 8'b00000000; // 123 | 11'h05d: data = 8'b00000000; // 124 | 11'h05e: data = 8'b00000000; // 125 | 11'h05f: data = 8'b00000000; // 126 | //code x06 127 | 11'h060: data = 8'b00000000; // 128 | 11'h061: data = 8'b00000000; // 129 | 11'h062: data = 8'b00000000; // 130 | 11'h063: data = 8'b00011000; // ** 131 | 11'h064: data = 8'b00111100; // **** 132 | 11'h065: data = 8'b01111110; // ****** 133 | 11'h066: data = 8'b11111111; // ******** 134 | 11'h067: data = 8'b11111111; // ******** 135 | 11'h068: data = 8'b01111110; // ****** 136 | 11'h069: data = 8'b00011000; // ** 137 | 11'h06a: data = 8'b00011000; // ** 138 | 11'h06b: data = 8'b00111100; // **** 139 | 11'h06c: data = 8'b00000000; // 140 | 11'h06d: data = 8'b00000000; // 141 | 11'h06e: data = 8'b00000000; // 142 | 11'h06f: data = 8'b00000000; // 143 | //code x07 144 | 11'h070: data = 8'b00000000; // 145 | 11'h071: data = 8'b00000000; // 146 | 11'h072: data = 8'b00000000; // 147 | 11'h073: data = 8'b00000000; // 148 | 11'h074: data = 8'b00000000; // 149 | 11'h075: data = 8'b00000000; // 150 | 11'h076: data = 8'b00011000; // ** 151 | 11'h077: data = 8'b00111100; // **** 152 | 11'h078: data = 8'b00111100; // **** 153 | 11'h079: data = 8'b00011000; // ** 154 | 11'h07a: data = 8'b00000000; // 155 | 11'h07b: data = 8'b00000000; // 156 | 11'h07c: data = 8'b00000000; // 157 | 11'h07d: data = 8'b00000000; // 158 | 11'h07e: data = 8'b00000000; // 159 | 11'h07f: data = 8'b00000000; // 160 | //code x08 161 | 11'h080: data = 8'b11111111; // ******** 162 | 11'h081: data = 8'b11111111; // ******** 163 | 11'h082: data = 8'b11111111; // ******** 164 | 11'h083: data = 8'b11111111; // ******** 165 | 11'h084: data = 8'b11111111; // ******** 166 | 11'h085: data = 8'b11111111; // ******** 167 | 11'h086: data = 8'b11100111; // *** *** 168 | 11'h087: data = 8'b11000011; // ** ** 169 | 11'h088: data = 8'b11000011; // ** ** 170 | 11'h089: data = 8'b11100111; // *** *** 171 | 11'h08a: data = 8'b11111111; // ******** 172 | 11'h08b: data = 8'b11111111; // ******** 173 | 11'h08c: data = 8'b11111111; // ******** 174 | 11'h08d: data = 8'b11111111; // ******** 175 | 11'h08e: data = 8'b11111111; // ******** 176 | 11'h08f: data = 8'b11111111; // ******** 177 | //code x09 178 | 11'h090: data = 8'b00000000; // 179 | 11'h091: data = 8'b00000000; // 180 | 11'h092: data = 8'b00000000; // 181 | 11'h093: data = 8'b00000000; // 182 | 11'h094: data = 8'b00000000; // 183 | 11'h095: data = 8'b00111100; // **** 184 | 11'h096: data = 8'b01100110; // ** ** 185 | 11'h097: data = 8'b01000010; // * * 186 | 11'h098: data = 8'b01000010; // * * 187 | 11'h099: data = 8'b01100110; // ** ** 188 | 11'h09a: data = 8'b00111100; // **** 189 | 11'h09b: data = 8'b00000000; // 190 | 11'h09c: data = 8'b00000000; // 191 | 11'h09d: data = 8'b00000000; // 192 | 11'h09e: data = 8'b00000000; // 193 | 11'h09f: data = 8'b00000000; // 194 | //code x0a 195 | 11'h0a0: data = 8'b11111111; // ******** 196 | 11'h0a1: data = 8'b11111111; // ******** 197 | 11'h0a2: data = 8'b11111111; // ******** 198 | 11'h0a3: data = 8'b11111111; // ******** 199 | 11'h0a4: data = 8'b11111111; // ******** 200 | 11'h0a5: data = 8'b11000011; // ** ** 201 | 11'h0a6: data = 8'b10011001; // * ** * 202 | 11'h0a7: data = 8'b10111101; // * **** * 203 | 11'h0a8: data = 8'b10111101; // * **** * 204 | 11'h0a9: data = 8'b10011001; // * ** * 205 | 11'h0aa: data = 8'b11000011; // ** ** 206 | 11'h0ab: data = 8'b11111111; // ******** 207 | 11'h0ac: data = 8'b11111111; // ******** 208 | 11'h0ad: data = 8'b11111111; // ******** 209 | 11'h0ae: data = 8'b11111111; // ******** 210 | 11'h0af: data = 8'b11111111; // ******** 211 | //code x0b 212 | 11'h0b0: data = 8'b00000000; // 213 | 11'h0b1: data = 8'b00000000; // 214 | 11'h0b2: data = 8'b00011110; // **** 215 | 11'h0b3: data = 8'b00001110; // *** 216 | 11'h0b4: data = 8'b00011010; // ** * 217 | 11'h0b5: data = 8'b00110010; // ** * 218 | 11'h0b6: data = 8'b01111000; // **** 219 | 11'h0b7: data = 8'b11001100; // ** ** 220 | 11'h0b8: data = 8'b11001100; // ** ** 221 | 11'h0b9: data = 8'b11001100; // ** ** 222 | 11'h0ba: data = 8'b11001100; // ** ** 223 | 11'h0bb: data = 8'b01111000; // **** 224 | 11'h0bc: data = 8'b00000000; // 225 | 11'h0bd: data = 8'b00000000; // 226 | 11'h0be: data = 8'b00000000; // 227 | 11'h0bf: data = 8'b00000000; // 228 | //code x0c 229 | 11'h0c0: data = 8'b00000000; // 230 | 11'h0c1: data = 8'b00000000; // 231 | 11'h0c2: data = 8'b00111100; // **** 232 | 11'h0c3: data = 8'b01100110; // ** ** 233 | 11'h0c4: data = 8'b01100110; // ** ** 234 | 11'h0c5: data = 8'b01100110; // ** ** 235 | 11'h0c6: data = 8'b01100110; // ** ** 236 | 11'h0c7: data = 8'b00111100; // **** 237 | 11'h0c8: data = 8'b00011000; // ** 238 | 11'h0c9: data = 8'b01111110; // ****** 239 | 11'h0ca: data = 8'b00011000; // ** 240 | 11'h0cb: data = 8'b00011000; // ** 241 | 11'h0cc: data = 8'b00000000; // 242 | 11'h0cd: data = 8'b00000000; // 243 | 11'h0ce: data = 8'b00000000; // 244 | 11'h0cf: data = 8'b00000000; // 245 | //code x0d 246 | 11'h0d0: data = 8'b00000000; // 247 | 11'h0d1: data = 8'b00000000; // 248 | 11'h0d2: data = 8'b00111111; // ****** 249 | 11'h0d3: data = 8'b00110011; // ** ** 250 | 11'h0d4: data = 8'b00111111; // ****** 251 | 11'h0d5: data = 8'b00110000; // ** 252 | 11'h0d6: data = 8'b00110000; // ** 253 | 11'h0d7: data = 8'b00110000; // ** 254 | 11'h0d8: data = 8'b00110000; // ** 255 | 11'h0d9: data = 8'b01110000; // *** 256 | 11'h0da: data = 8'b11110000; // **** 257 | 11'h0db: data = 8'b11100000; // *** 258 | 11'h0dc: data = 8'b00000000; // 259 | 11'h0dd: data = 8'b00000000; // 260 | 11'h0de: data = 8'b00000000; // 261 | 11'h0df: data = 8'b00000000; // 262 | //code x0e 263 | 11'h0e0: data = 8'b00000000; // 264 | 11'h0e1: data = 8'b00000000; // 265 | 11'h0e2: data = 8'b01111111; // ******* 266 | 11'h0e3: data = 8'b01100011; // ** ** 267 | 11'h0e4: data = 8'b01111111; // ******* 268 | 11'h0e5: data = 8'b01100011; // ** ** 269 | 11'h0e6: data = 8'b01100011; // ** ** 270 | 11'h0e7: data = 8'b01100011; // ** ** 271 | 11'h0e8: data = 8'b01100011; // ** ** 272 | 11'h0e9: data = 8'b01100111; // ** *** 273 | 11'h0ea: data = 8'b11100111; // *** *** 274 | 11'h0eb: data = 8'b11100110; // *** ** 275 | 11'h0ec: data = 8'b11000000; // ** 276 | 11'h0ed: data = 8'b00000000; // 277 | 11'h0ee: data = 8'b00000000; // 278 | 11'h0ef: data = 8'b00000000; // 279 | //code x0f 280 | 11'h0f0: data = 8'b00000000; // 281 | 11'h0f1: data = 8'b00000000; // 282 | 11'h0f2: data = 8'b00000000; // 283 | 11'h0f3: data = 8'b00011000; // ** 284 | 11'h0f4: data = 8'b00011000; // ** 285 | 11'h0f5: data = 8'b11011011; // ** ** ** 286 | 11'h0f6: data = 8'b00111100; // **** 287 | 11'h0f7: data = 8'b11100111; // *** *** 288 | 11'h0f8: data = 8'b00111100; // **** 289 | 11'h0f9: data = 8'b11011011; // ** ** ** 290 | 11'h0fa: data = 8'b00011000; // ** 291 | 11'h0fb: data = 8'b00011000; // ** 292 | 11'h0fc: data = 8'b00000000; // 293 | 11'h0fd: data = 8'b00000000; // 294 | 11'h0fe: data = 8'b00000000; // 295 | 11'h0ff: data = 8'b00000000; // 296 | //code x10 297 | 11'h100: data = 8'b00000000; // 298 | 11'h101: data = 8'b10000000; // * 299 | 11'h102: data = 8'b11000000; // ** 300 | 11'h103: data = 8'b11100000; // *** 301 | 11'h104: data = 8'b11110000; // **** 302 | 11'h105: data = 8'b11111000; // ***** 303 | 11'h106: data = 8'b11111110; // ******* 304 | 11'h107: data = 8'b11111000; // ***** 305 | 11'h108: data = 8'b11110000; // **** 306 | 11'h109: data = 8'b11100000; // *** 307 | 11'h10a: data = 8'b11000000; // ** 308 | 11'h10b: data = 8'b10000000; // * 309 | 11'h10c: data = 8'b00000000; // 310 | 11'h10d: data = 8'b00000000; // 311 | 11'h10e: data = 8'b00000000; // 312 | 11'h10f: data = 8'b00000000; // 313 | //code x11 314 | 11'h110: data = 8'b00000000; // 315 | 11'h111: data = 8'b00000010; // * 316 | 11'h112: data = 8'b00000110; // ** 317 | 11'h113: data = 8'b00001110; // *** 318 | 11'h114: data = 8'b00011110; // **** 319 | 11'h115: data = 8'b00111110; // ***** 320 | 11'h116: data = 8'b11111110; // ******* 321 | 11'h117: data = 8'b00111110; // ***** 322 | 11'h118: data = 8'b00011110; // **** 323 | 11'h119: data = 8'b00001110; // *** 324 | 11'h11a: data = 8'b00000110; // ** 325 | 11'h11b: data = 8'b00000010; // * 326 | 11'h11c: data = 8'b00000000; // 327 | 11'h11d: data = 8'b00000000; // 328 | 11'h11e: data = 8'b00000000; // 329 | 11'h11f: data = 8'b00000000; // 330 | //code x12 331 | 11'h120: data = 8'b00000000; // 332 | 11'h121: data = 8'b00000000; // 333 | 11'h122: data = 8'b00011000; // ** 334 | 11'h123: data = 8'b00111100; // **** 335 | 11'h124: data = 8'b01111110; // ****** 336 | 11'h125: data = 8'b00011000; // ** 337 | 11'h126: data = 8'b00011000; // ** 338 | 11'h127: data = 8'b00011000; // ** 339 | 11'h128: data = 8'b01111110; // ****** 340 | 11'h129: data = 8'b00111100; // **** 341 | 11'h12a: data = 8'b00011000; // ** 342 | 11'h12b: data = 8'b00000000; // 343 | 11'h12c: data = 8'b00000000; // 344 | 11'h12d: data = 8'b00000000; // 345 | 11'h12e: data = 8'b00000000; // 346 | 11'h12f: data = 8'b00000000; // 347 | //code x13 348 | 11'h130: data = 8'b00000000; // 349 | 11'h131: data = 8'b00000000; // 350 | 11'h132: data = 8'b01100110; // ** ** 351 | 11'h133: data = 8'b01100110; // ** ** 352 | 11'h134: data = 8'b01100110; // ** ** 353 | 11'h135: data = 8'b01100110; // ** ** 354 | 11'h136: data = 8'b01100110; // ** ** 355 | 11'h137: data = 8'b01100110; // ** ** 356 | 11'h138: data = 8'b01100110; // ** ** 357 | 11'h139: data = 8'b00000000; // 358 | 11'h13a: data = 8'b01100110; // ** ** 359 | 11'h13b: data = 8'b01100110; // ** ** 360 | 11'h13c: data = 8'b00000000; // 361 | 11'h13d: data = 8'b00000000; // 362 | 11'h13e: data = 8'b00000000; // 363 | 11'h13f: data = 8'b00000000; // 364 | //code x14 365 | 11'h140: data = 8'b00000000; // 366 | 11'h141: data = 8'b00000000; // 367 | 11'h142: data = 8'b01111111; // ******* 368 | 11'h143: data = 8'b11011011; // ** ** ** 369 | 11'h144: data = 8'b11011011; // ** ** ** 370 | 11'h145: data = 8'b11011011; // ** ** ** 371 | 11'h146: data = 8'b01111011; // **** ** 372 | 11'h147: data = 8'b00011011; // ** ** 373 | 11'h148: data = 8'b00011011; // ** ** 374 | 11'h149: data = 8'b00011011; // ** ** 375 | 11'h14a: data = 8'b00011011; // ** ** 376 | 11'h14b: data = 8'b00011011; // ** ** 377 | 11'h14c: data = 8'b00000000; // 378 | 11'h14d: data = 8'b00000000; // 379 | 11'h14e: data = 8'b00000000; // 380 | 11'h14f: data = 8'b00000000; // 381 | //code x15 382 | 11'h150: data = 8'b00000000; // 383 | 11'h151: data = 8'b01111100; // ***** 384 | 11'h152: data = 8'b11000110; // ** ** 385 | 11'h153: data = 8'b01100000; // ** 386 | 11'h154: data = 8'b00111000; // *** 387 | 11'h155: data = 8'b01101100; // ** ** 388 | 11'h156: data = 8'b11000110; // ** ** 389 | 11'h157: data = 8'b11000110; // ** ** 390 | 11'h158: data = 8'b01101100; // ** ** 391 | 11'h159: data = 8'b00111000; // *** 392 | 11'h15a: data = 8'b00001100; // ** 393 | 11'h15b: data = 8'b11000110; // ** ** 394 | 11'h15c: data = 8'b01111100; // ***** 395 | 11'h15d: data = 8'b00000000; // 396 | 11'h15e: data = 8'b00000000; // 397 | 11'h15f: data = 8'b00000000; // 398 | //code x16 399 | 11'h160: data = 8'b00000000; // 400 | 11'h161: data = 8'b00000000; // 401 | 11'h162: data = 8'b00000000; // 402 | 11'h163: data = 8'b00000000; // 403 | 11'h164: data = 8'b00000000; // 404 | 11'h165: data = 8'b00000000; // 405 | 11'h166: data = 8'b00000000; // 406 | 11'h167: data = 8'b00000000; // 407 | 11'h168: data = 8'b11111110; // ******* 408 | 11'h169: data = 8'b11111110; // ******* 409 | 11'h16a: data = 8'b11111110; // ******* 410 | 11'h16b: data = 8'b11111110; // ******* 411 | 11'h16c: data = 8'b00000000; // 412 | 11'h16d: data = 8'b00000000; // 413 | 11'h16e: data = 8'b00000000; // 414 | 11'h16f: data = 8'b00000000; // 415 | //code x17 416 | 11'h170: data = 8'b00000000; // 417 | 11'h171: data = 8'b00000000; // 418 | 11'h172: data = 8'b00011000; // ** 419 | 11'h173: data = 8'b00111100; // **** 420 | 11'h174: data = 8'b01111110; // ****** 421 | 11'h175: data = 8'b00011000; // ** 422 | 11'h176: data = 8'b00011000; // ** 423 | 11'h177: data = 8'b00011000; // ** 424 | 11'h178: data = 8'b01111110; // ****** 425 | 11'h179: data = 8'b00111100; // **** 426 | 11'h17a: data = 8'b00011000; // ** 427 | 11'h17b: data = 8'b01111110; // ****** 428 | 11'h17c: data = 8'b00110000; // 429 | 11'h17d: data = 8'b00000000; // 430 | 11'h17e: data = 8'b00000000; // 431 | 11'h17f: data = 8'b00000000; // 432 | //code x18 433 | 11'h180: data = 8'b00000000; // 434 | 11'h181: data = 8'b00000000; // 435 | 11'h182: data = 8'b00011000; // ** 436 | 11'h183: data = 8'b00111100; // **** 437 | 11'h184: data = 8'b01111110; // ****** 438 | 11'h185: data = 8'b00011000; // ** 439 | 11'h186: data = 8'b00011000; // ** 440 | 11'h187: data = 8'b00011000; // ** 441 | 11'h188: data = 8'b00011000; // ** 442 | 11'h189: data = 8'b00011000; // ** 443 | 11'h18a: data = 8'b00011000; // ** 444 | 11'h18b: data = 8'b00011000; // ** 445 | 11'h18c: data = 8'b00000000; // 446 | 11'h18d: data = 8'b00000000; // 447 | 11'h18e: data = 8'b00000000; // 448 | 11'h18f: data = 8'b00000000; // 449 | //code x19 450 | 11'h190: data = 8'b00000000; // 451 | 11'h191: data = 8'b00000000; // 452 | 11'h192: data = 8'b00011000; // ** 453 | 11'h193: data = 8'b00011000; // ** 454 | 11'h194: data = 8'b00011000; // ** 455 | 11'h195: data = 8'b00011000; // ** 456 | 11'h196: data = 8'b00011000; // ** 457 | 11'h197: data = 8'b00011000; // ** 458 | 11'h198: data = 8'b00011000; // ** 459 | 11'h199: data = 8'b01111110; // ****** 460 | 11'h19a: data = 8'b00111100; // **** 461 | 11'h19b: data = 8'b00011000; // ** 462 | 11'h19c: data = 8'b00000000; // 463 | 11'h19d: data = 8'b00000000; // 464 | 11'h19e: data = 8'b00000000; // 465 | 11'h19f: data = 8'b00000000; // 466 | //code x1a 467 | 11'h1a0: data = 8'b00000000; // 468 | 11'h1a1: data = 8'b00000000; // 469 | 11'h1a2: data = 8'b00000000; // 470 | 11'h1a3: data = 8'b00000000; // 471 | 11'h1a4: data = 8'b00000000; // 472 | 11'h1a5: data = 8'b00011000; // ** 473 | 11'h1a6: data = 8'b00001100; // ** 474 | 11'h1a7: data = 8'b11111110; // ******* 475 | 11'h1a8: data = 8'b00001100; // ** 476 | 11'h1a9: data = 8'b00011000; // ** 477 | 11'h1aa: data = 8'b00000000; // 478 | 11'h1ab: data = 8'b00000000; // 479 | 11'h1ac: data = 8'b00000000; // 480 | 11'h1ad: data = 8'b00000000; // 481 | 11'h1ae: data = 8'b00000000; // 482 | 11'h1af: data = 8'b00000000; // 483 | //code x1b 484 | 11'h1b0: data = 8'b00000000; // 485 | 11'h1b1: data = 8'b00000000; // 486 | 11'h1b2: data = 8'b00000000; // 487 | 11'h1b3: data = 8'b00000000; // 488 | 11'h1b4: data = 8'b00000000; // 489 | 11'h1b5: data = 8'b00110000; // ** 490 | 11'h1b6: data = 8'b01100000; // ** 491 | 11'h1b7: data = 8'b11111110; // ******* 492 | 11'h1b8: data = 8'b01100000; // ** 493 | 11'h1b9: data = 8'b00110000; // ** 494 | 11'h1ba: data = 8'b00000000; // 495 | 11'h1bb: data = 8'b00000000; // 496 | 11'h1bc: data = 8'b00000000; // 497 | 11'h1bd: data = 8'b00000000; // 498 | 11'h1be: data = 8'b00000000; // 499 | 11'h1bf: data = 8'b00000000; // 500 | //code x1c 501 | 11'h1c0: data = 8'b00000000; // 502 | 11'h1c1: data = 8'b00000000; // 503 | 11'h1c2: data = 8'b00000000; // 504 | 11'h1c3: data = 8'b00000000; // 505 | 11'h1c4: data = 8'b00000000; // 506 | 11'h1c5: data = 8'b00000000; // 507 | 11'h1c6: data = 8'b11000000; // ** 508 | 11'h1c7: data = 8'b11000000; // ** 509 | 11'h1c8: data = 8'b11000000; // ** 510 | 11'h1c9: data = 8'b11111110; // ******* 511 | 11'h1ca: data = 8'b00000000; // 512 | 11'h1cb: data = 8'b00000000; // 513 | 11'h1cc: data = 8'b00000000; // 514 | 11'h1cd: data = 8'b00000000; // 515 | 11'h1ce: data = 8'b00000000; // 516 | 11'h1cf: data = 8'b00000000; // 517 | //code x1d 518 | 11'h1d0: data = 8'b00000000; // 519 | 11'h1d1: data = 8'b00000000; // 520 | 11'h1d2: data = 8'b00000000; // 521 | 11'h1d3: data = 8'b00000000; // 522 | 11'h1d4: data = 8'b00000000; // 523 | 11'h1d5: data = 8'b00100100; // * * 524 | 11'h1d6: data = 8'b01100110; // ** ** 525 | 11'h1d7: data = 8'b11111111; // ******** 526 | 11'h1d8: data = 8'b01100110; // ** ** 527 | 11'h1d9: data = 8'b00100100; // * * 528 | 11'h1da: data = 8'b00000000; // 529 | 11'h1db: data = 8'b00000000; // 530 | 11'h1dc: data = 8'b00000000; // 531 | 11'h1dd: data = 8'b00000000; // 532 | 11'h1de: data = 8'b00000000; // 533 | 11'h1df: data = 8'b00000000; // 534 | //code x1e 535 | 11'h1e0: data = 8'b00000000; // 536 | 11'h1e1: data = 8'b00000000; // 537 | 11'h1e2: data = 8'b00000000; // 538 | 11'h1e3: data = 8'b00000000; // 539 | 11'h1e4: data = 8'b00010000; // * 540 | 11'h1e5: data = 8'b00111000; // *** 541 | 11'h1e6: data = 8'b00111000; // *** 542 | 11'h1e7: data = 8'b01111100; // ***** 543 | 11'h1e8: data = 8'b01111100; // ***** 544 | 11'h1e9: data = 8'b11111110; // ******* 545 | 11'h1ea: data = 8'b11111110; // ******* 546 | 11'h1eb: data = 8'b00000000; // 547 | 11'h1ec: data = 8'b00000000; // 548 | 11'h1ed: data = 8'b00000000; // 549 | 11'h1ee: data = 8'b00000000; // 550 | 11'h1ef: data = 8'b00000000; // 551 | //code x1f 552 | 11'h1f0: data = 8'b00000000; // 553 | 11'h1f1: data = 8'b00000000; // 554 | 11'h1f2: data = 8'b00000000; // 555 | 11'h1f3: data = 8'b00000000; // 556 | 11'h1f4: data = 8'b11111110; // ******* 557 | 11'h1f5: data = 8'b11111110; // ******* 558 | 11'h1f6: data = 8'b01111100; // ***** 559 | 11'h1f7: data = 8'b01111100; // ***** 560 | 11'h1f8: data = 8'b00111000; // *** 561 | 11'h1f9: data = 8'b00111000; // *** 562 | 11'h1fa: data = 8'b00010000; // * 563 | 11'h1fb: data = 8'b00000000; // 564 | 11'h1fc: data = 8'b00000000; // 565 | 11'h1fd: data = 8'b00000000; // 566 | 11'h1fe: data = 8'b00000000; // 567 | 11'h1ff: data = 8'b00000000; // 568 | //code x20 569 | 11'h200: data = 8'b00000000; // 570 | 11'h201: data = 8'b00000000; // 571 | 11'h202: data = 8'b00000000; // 572 | 11'h203: data = 8'b00000000; // 573 | 11'h204: data = 8'b00000000; // 574 | 11'h205: data = 8'b00000000; // 575 | 11'h206: data = 8'b00000000; // 576 | 11'h207: data = 8'b00000000; // 577 | 11'h208: data = 8'b00000000; // 578 | 11'h209: data = 8'b00000000; // 579 | 11'h20a: data = 8'b00000000; // 580 | 11'h20b: data = 8'b00000000; // 581 | 11'h20c: data = 8'b00000000; // 582 | 11'h20d: data = 8'b00000000; // 583 | 11'h20e: data = 8'b00000000; // 584 | 11'h20f: data = 8'b00000000; // 585 | //code x21 586 | 11'h210: data = 8'b00000000; // 587 | 11'h211: data = 8'b00000000; // 588 | 11'h212: data = 8'b00011000; // ** 589 | 11'h213: data = 8'b00111100; // **** 590 | 11'h214: data = 8'b00111100; // **** 591 | 11'h215: data = 8'b00111100; // **** 592 | 11'h216: data = 8'b00011000; // ** 593 | 11'h217: data = 8'b00011000; // ** 594 | 11'h218: data = 8'b00011000; // ** 595 | 11'h219: data = 8'b00000000; // 596 | 11'h21a: data = 8'b00011000; // ** 597 | 11'h21b: data = 8'b00011000; // ** 598 | 11'h21c: data = 8'b00000000; // 599 | 11'h21d: data = 8'b00000000; // 600 | 11'h21e: data = 8'b00000000; // 601 | 11'h21f: data = 8'b00000000; // 602 | //code x22 603 | 11'h220: data = 8'b00000000; // 604 | 11'h221: data = 8'b01100110; // ** ** 605 | 11'h222: data = 8'b01100110; // ** ** 606 | 11'h223: data = 8'b01100110; // ** ** 607 | 11'h224: data = 8'b00100100; // * * 608 | 11'h225: data = 8'b00000000; // 609 | 11'h226: data = 8'b00000000; // 610 | 11'h227: data = 8'b00000000; // 611 | 11'h228: data = 8'b00000000; // 612 | 11'h229: data = 8'b00000000; // 613 | 11'h22a: data = 8'b00000000; // 614 | 11'h22b: data = 8'b00000000; // 615 | 11'h22c: data = 8'b00000000; // 616 | 11'h22d: data = 8'b00000000; // 617 | 11'h22e: data = 8'b00000000; // 618 | 11'h22f: data = 8'b00000000; // 619 | //code x23 620 | 11'h230: data = 8'b00000000; // 621 | 11'h231: data = 8'b00000000; // 622 | 11'h232: data = 8'b00000000; // 623 | 11'h233: data = 8'b01101100; // ** ** 624 | 11'h234: data = 8'b01101100; // ** ** 625 | 11'h235: data = 8'b11111110; // ******* 626 | 11'h236: data = 8'b01101100; // ** ** 627 | 11'h237: data = 8'b01101100; // ** ** 628 | 11'h238: data = 8'b01101100; // ** ** 629 | 11'h239: data = 8'b11111110; // ******* 630 | 11'h23a: data = 8'b01101100; // ** ** 631 | 11'h23b: data = 8'b01101100; // ** ** 632 | 11'h23c: data = 8'b00000000; // 633 | 11'h23d: data = 8'b00000000; // 634 | 11'h23e: data = 8'b00000000; // 635 | 11'h23f: data = 8'b00000000; // 636 | //code x24 637 | 11'h240: data = 8'b00011000; // ** 638 | 11'h241: data = 8'b00011000; // ** 639 | 11'h242: data = 8'b01111100; // ***** 640 | 11'h243: data = 8'b11000110; // ** ** 641 | 11'h244: data = 8'b11000010; // ** * 642 | 11'h245: data = 8'b11000000; // ** 643 | 11'h246: data = 8'b01111100; // ***** 644 | 11'h247: data = 8'b00000110; // ** 645 | 11'h248: data = 8'b00000110; // ** 646 | 11'h249: data = 8'b10000110; // * ** 647 | 11'h24a: data = 8'b11000110; // ** ** 648 | 11'h24b: data = 8'b01111100; // ***** 649 | 11'h24c: data = 8'b00011000; // ** 650 | 11'h24d: data = 8'b00011000; // ** 651 | 11'h24e: data = 8'b00000000; // 652 | 11'h24f: data = 8'b00000000; // 653 | //code x25 654 | 11'h250: data = 8'b00000000; // 655 | 11'h251: data = 8'b00000000; // 656 | 11'h252: data = 8'b00000000; // 657 | 11'h253: data = 8'b00000000; // 658 | 11'h254: data = 8'b11000010; // ** * 659 | 11'h255: data = 8'b11000110; // ** ** 660 | 11'h256: data = 8'b00001100; // ** 661 | 11'h257: data = 8'b00011000; // ** 662 | 11'h258: data = 8'b00110000; // ** 663 | 11'h259: data = 8'b01100000; // ** 664 | 11'h25a: data = 8'b11000110; // ** ** 665 | 11'h25b: data = 8'b10000110; // * ** 666 | 11'h25c: data = 8'b00000000; // 667 | 11'h25d: data = 8'b00000000; // 668 | 11'h25e: data = 8'b00000000; // 669 | 11'h25f: data = 8'b00000000; // 670 | //code x26 671 | 11'h260: data = 8'b00000000; // 672 | 11'h261: data = 8'b00000000; // 673 | 11'h262: data = 8'b00111000; // *** 674 | 11'h263: data = 8'b01101100; // ** ** 675 | 11'h264: data = 8'b01101100; // ** ** 676 | 11'h265: data = 8'b00111000; // *** 677 | 11'h266: data = 8'b01110110; // *** ** 678 | 11'h267: data = 8'b11011100; // ** *** 679 | 11'h268: data = 8'b11001100; // ** ** 680 | 11'h269: data = 8'b11001100; // ** ** 681 | 11'h26a: data = 8'b11001100; // ** ** 682 | 11'h26b: data = 8'b01110110; // *** ** 683 | 11'h26c: data = 8'b00000000; // 684 | 11'h26d: data = 8'b00000000; // 685 | 11'h26e: data = 8'b00000000; // 686 | 11'h26f: data = 8'b00000000; // 687 | //code x27 688 | 11'h270: data = 8'b00000000; // 689 | 11'h271: data = 8'b00110000; // ** 690 | 11'h272: data = 8'b00110000; // ** 691 | 11'h273: data = 8'b00110000; // ** 692 | 11'h274: data = 8'b01100000; // ** 693 | 11'h275: data = 8'b00000000; // 694 | 11'h276: data = 8'b00000000; // 695 | 11'h277: data = 8'b00000000; // 696 | 11'h278: data = 8'b00000000; // 697 | 11'h279: data = 8'b00000000; // 698 | 11'h27a: data = 8'b00000000; // 699 | 11'h27b: data = 8'b00000000; // 700 | 11'h27c: data = 8'b00000000; // 701 | 11'h27d: data = 8'b00000000; // 702 | 11'h27e: data = 8'b00000000; // 703 | 11'h27f: data = 8'b00000000; // 704 | //code x28 705 | 11'h280: data = 8'b00000000; // 706 | 11'h281: data = 8'b00000000; // 707 | 11'h282: data = 8'b00001100; // ** 708 | 11'h283: data = 8'b00011000; // ** 709 | 11'h284: data = 8'b00110000; // ** 710 | 11'h285: data = 8'b00110000; // ** 711 | 11'h286: data = 8'b00110000; // ** 712 | 11'h287: data = 8'b00110000; // ** 713 | 11'h288: data = 8'b00110000; // ** 714 | 11'h289: data = 8'b00110000; // ** 715 | 11'h28a: data = 8'b00011000; // ** 716 | 11'h28b: data = 8'b00001100; // ** 717 | 11'h28c: data = 8'b00000000; // 718 | 11'h28d: data = 8'b00000000; // 719 | 11'h28e: data = 8'b00000000; // 720 | 11'h28f: data = 8'b00000000; // 721 | //code x29 722 | 11'h290: data = 8'b00000000; // 723 | 11'h291: data = 8'b00000000; // 724 | 11'h292: data = 8'b00110000; // ** 725 | 11'h293: data = 8'b00011000; // ** 726 | 11'h294: data = 8'b00001100; // ** 727 | 11'h295: data = 8'b00001100; // ** 728 | 11'h296: data = 8'b00001100; // ** 729 | 11'h297: data = 8'b00001100; // ** 730 | 11'h298: data = 8'b00001100; // ** 731 | 11'h299: data = 8'b00001100; // ** 732 | 11'h29a: data = 8'b00011000; // ** 733 | 11'h29b: data = 8'b00110000; // ** 734 | 11'h29c: data = 8'b00000000; // 735 | 11'h29d: data = 8'b00000000; // 736 | 11'h29e: data = 8'b00000000; // 737 | 11'h29f: data = 8'b00000000; // 738 | //code x2a 739 | 11'h2a0: data = 8'b00000000; // 740 | 11'h2a1: data = 8'b00000000; // 741 | 11'h2a2: data = 8'b00000000; // 742 | 11'h2a3: data = 8'b00000000; // 743 | 11'h2a4: data = 8'b00000000; // 744 | 11'h2a5: data = 8'b01100110; // ** ** 745 | 11'h2a6: data = 8'b00111100; // **** 746 | 11'h2a7: data = 8'b11111111; // ******** 747 | 11'h2a8: data = 8'b00111100; // **** 748 | 11'h2a9: data = 8'b01100110; // ** ** 749 | 11'h2aa: data = 8'b00000000; // 750 | 11'h2ab: data = 8'b00000000; // 751 | 11'h2ac: data = 8'b00000000; // 752 | 11'h2ad: data = 8'b00000000; // 753 | 11'h2ae: data = 8'b00000000; // 754 | 11'h2af: data = 8'b00000000; // 755 | //code x2b 756 | 11'h2b0: data = 8'b00000000; // 757 | 11'h2b1: data = 8'b00000000; // 758 | 11'h2b2: data = 8'b00000000; // 759 | 11'h2b3: data = 8'b00000000; // 760 | 11'h2b4: data = 8'b00000000; // 761 | 11'h2b5: data = 8'b00011000; // ** 762 | 11'h2b6: data = 8'b00011000; // ** 763 | 11'h2b7: data = 8'b01111110; // ****** 764 | 11'h2b8: data = 8'b00011000; // ** 765 | 11'h2b9: data = 8'b00011000; // ** 766 | 11'h2ba: data = 8'b00000000; // 767 | 11'h2bb: data = 8'b00000000; // 768 | 11'h2bc: data = 8'b00000000; // 769 | 11'h2bd: data = 8'b00000000; // 770 | 11'h2be: data = 8'b00000000; // 771 | 11'h2bf: data = 8'b00000000; // 772 | //code x2c 773 | 11'h2c0: data = 8'b00000000; // 774 | 11'h2c1: data = 8'b00000000; // 775 | 11'h2c2: data = 8'b00000000; // 776 | 11'h2c3: data = 8'b00000000; // 777 | 11'h2c4: data = 8'b00000000; // 778 | 11'h2c5: data = 8'b00000000; // 779 | 11'h2c6: data = 8'b00000000; // 780 | 11'h2c7: data = 8'b00000000; // 781 | 11'h2c8: data = 8'b00000000; // 782 | 11'h2c9: data = 8'b00011000; // ** 783 | 11'h2ca: data = 8'b00011000; // ** 784 | 11'h2cb: data = 8'b00011000; // ** 785 | 11'h2cc: data = 8'b00110000; // ** 786 | 11'h2cd: data = 8'b00000000; // 787 | 11'h2ce: data = 8'b00000000; // 788 | 11'h2cf: data = 8'b00000000; // 789 | //code x2d 790 | 11'h2d0: data = 8'b00000000; // 791 | 11'h2d1: data = 8'b00000000; // 792 | 11'h2d2: data = 8'b00000000; // 793 | 11'h2d3: data = 8'b00000000; // 794 | 11'h2d4: data = 8'b00000000; // 795 | 11'h2d5: data = 8'b00000000; // 796 | 11'h2d6: data = 8'b00000000; // 797 | 11'h2d7: data = 8'b01111110; // ****** 798 | 11'h2d8: data = 8'b00000000; // 799 | 11'h2d9: data = 8'b00000000; // 800 | 11'h2da: data = 8'b00000000; // 801 | 11'h2db: data = 8'b00000000; // 802 | 11'h2dc: data = 8'b00000000; // 803 | 11'h2dd: data = 8'b00000000; // 804 | 11'h2de: data = 8'b00000000; // 805 | 11'h2df: data = 8'b00000000; // 806 | //code x2e 807 | 11'h2e0: data = 8'b00000000; // 808 | 11'h2e1: data = 8'b00000000; // 809 | 11'h2e2: data = 8'b00000000; // 810 | 11'h2e3: data = 8'b00000000; // 811 | 11'h2e4: data = 8'b00000000; // 812 | 11'h2e5: data = 8'b00000000; // 813 | 11'h2e6: data = 8'b00000000; // 814 | 11'h2e7: data = 8'b00000000; // 815 | 11'h2e8: data = 8'b00000000; // 816 | 11'h2e9: data = 8'b00000000; // 817 | 11'h2ea: data = 8'b00011000; // ** 818 | 11'h2eb: data = 8'b00011000; // ** 819 | 11'h2ec: data = 8'b00000000; // 820 | 11'h2ed: data = 8'b00000000; // 821 | 11'h2ee: data = 8'b00000000; // 822 | 11'h2ef: data = 8'b00000000; // 823 | //code x2f 824 | 11'h2f0: data = 8'b00000000; // 825 | 11'h2f1: data = 8'b00000000; // 826 | 11'h2f2: data = 8'b00000000; // 827 | 11'h2f3: data = 8'b00000000; // 828 | 11'h2f4: data = 8'b00000010; // * 829 | 11'h2f5: data = 8'b00000110; // ** 830 | 11'h2f6: data = 8'b00001100; // ** 831 | 11'h2f7: data = 8'b00011000; // ** 832 | 11'h2f8: data = 8'b00110000; // ** 833 | 11'h2f9: data = 8'b01100000; // ** 834 | 11'h2fa: data = 8'b11000000; // ** 835 | 11'h2fb: data = 8'b10000000; // * 836 | 11'h2fc: data = 8'b00000000; // 837 | 11'h2fd: data = 8'b00000000; // 838 | 11'h2fe: data = 8'b00000000; // 839 | 11'h2ff: data = 8'b00000000; // 840 | //code x30 841 | 11'h300: data = 8'b00000000; // 842 | 11'h301: data = 8'b00000000; // 843 | 11'h302: data = 8'b01111100; // ***** 844 | 11'h303: data = 8'b11000110; // ** ** 845 | 11'h304: data = 8'b11000110; // ** ** 846 | 11'h305: data = 8'b11001110; // ** *** 847 | 11'h306: data = 8'b11011110; // ** **** 848 | 11'h307: data = 8'b11110110; // **** ** 849 | 11'h308: data = 8'b11100110; // *** ** 850 | 11'h309: data = 8'b11000110; // ** ** 851 | 11'h30a: data = 8'b11000110; // ** ** 852 | 11'h30b: data = 8'b01111100; // ***** 853 | 11'h30c: data = 8'b00000000; // 854 | 11'h30d: data = 8'b00000000; // 855 | 11'h30e: data = 8'b00000000; // 856 | 11'h30f: data = 8'b00000000; // 857 | //code x31 858 | 11'h310: data = 8'b00000000; // 859 | 11'h311: data = 8'b00000000; // 860 | 11'h312: data = 8'b00011000; // 861 | 11'h313: data = 8'b00111000; // 862 | 11'h314: data = 8'b01111000; // ** 863 | 11'h315: data = 8'b00011000; // *** 864 | 11'h316: data = 8'b00011000; // **** 865 | 11'h317: data = 8'b00011000; // ** 866 | 11'h318: data = 8'b00011000; // ** 867 | 11'h319: data = 8'b00011000; // ** 868 | 11'h31a: data = 8'b00011000; // ** 869 | 11'h31b: data = 8'b01111110; // ** 870 | 11'h31c: data = 8'b00000000; // ** 871 | 11'h31d: data = 8'b00000000; // ****** 872 | 11'h31e: data = 8'b00000000; // 873 | 11'h31f: data = 8'b00000000; // 874 | //code x32 875 | 11'h320: data = 8'b00000000; // 876 | 11'h321: data = 8'b00000000; // 877 | 11'h322: data = 8'b01111100; // ***** 878 | 11'h323: data = 8'b11000110; // ** ** 879 | 11'h324: data = 8'b00000110; // ** 880 | 11'h325: data = 8'b00001100; // ** 881 | 11'h326: data = 8'b00011000; // ** 882 | 11'h327: data = 8'b00110000; // ** 883 | 11'h328: data = 8'b01100000; // ** 884 | 11'h329: data = 8'b11000000; // ** 885 | 11'h32a: data = 8'b11000110; // ** ** 886 | 11'h32b: data = 8'b11111110; // ******* 887 | 11'h32c: data = 8'b00000000; // 888 | 11'h32d: data = 8'b00000000; // 889 | 11'h32e: data = 8'b00000000; // 890 | 11'h32f: data = 8'b00000000; // 891 | //code x33 892 | 11'h330: data = 8'b00000000; // 893 | 11'h331: data = 8'b00000000; // 894 | 11'h332: data = 8'b01111100; // ***** 895 | 11'h333: data = 8'b11000110; // ** ** 896 | 11'h334: data = 8'b00000110; // ** 897 | 11'h335: data = 8'b00000110; // ** 898 | 11'h336: data = 8'b00111100; // **** 899 | 11'h337: data = 8'b00000110; // ** 900 | 11'h338: data = 8'b00000110; // ** 901 | 11'h339: data = 8'b00000110; // ** 902 | 11'h33a: data = 8'b11000110; // ** ** 903 | 11'h33b: data = 8'b01111100; // ***** 904 | 11'h33c: data = 8'b00000000; // 905 | 11'h33d: data = 8'b00000000; // 906 | 11'h33e: data = 8'b00000000; // 907 | 11'h33f: data = 8'b00000000; // 908 | //code x34 909 | 11'h340: data = 8'b00000000; // 910 | 11'h341: data = 8'b00000000; // 911 | 11'h342: data = 8'b00001100; // ** 912 | 11'h343: data = 8'b00011100; // *** 913 | 11'h344: data = 8'b00111100; // **** 914 | 11'h345: data = 8'b01101100; // ** ** 915 | 11'h346: data = 8'b11001100; // ** ** 916 | 11'h347: data = 8'b11111110; // ******* 917 | 11'h348: data = 8'b00001100; // ** 918 | 11'h349: data = 8'b00001100; // ** 919 | 11'h34a: data = 8'b00001100; // ** 920 | 11'h34b: data = 8'b00011110; // **** 921 | 11'h34c: data = 8'b00000000; // 922 | 11'h34d: data = 8'b00000000; // 923 | 11'h34e: data = 8'b00000000; // 924 | 11'h34f: data = 8'b00000000; // 925 | //code x35 926 | 11'h350: data = 8'b00000000; // 927 | 11'h351: data = 8'b00000000; // 928 | 11'h352: data = 8'b11111110; // ******* 929 | 11'h353: data = 8'b11000000; // ** 930 | 11'h354: data = 8'b11000000; // ** 931 | 11'h355: data = 8'b11000000; // ** 932 | 11'h356: data = 8'b11111100; // ****** 933 | 11'h357: data = 8'b00000110; // ** 934 | 11'h358: data = 8'b00000110; // ** 935 | 11'h359: data = 8'b00000110; // ** 936 | 11'h35a: data = 8'b11000110; // ** ** 937 | 11'h35b: data = 8'b01111100; // ***** 938 | 11'h35c: data = 8'b00000000; // 939 | 11'h35d: data = 8'b00000000; // 940 | 11'h35e: data = 8'b00000000; // 941 | 11'h35f: data = 8'b00000000; // 942 | //code x36 943 | 11'h360: data = 8'b00000000; // 944 | 11'h361: data = 8'b00000000; // 945 | 11'h362: data = 8'b00111000; // *** 946 | 11'h363: data = 8'b01100000; // ** 947 | 11'h364: data = 8'b11000000; // ** 948 | 11'h365: data = 8'b11000000; // ** 949 | 11'h366: data = 8'b11111100; // ****** 950 | 11'h367: data = 8'b11000110; // ** ** 951 | 11'h368: data = 8'b11000110; // ** ** 952 | 11'h369: data = 8'b11000110; // ** ** 953 | 11'h36a: data = 8'b11000110; // ** ** 954 | 11'h36b: data = 8'b01111100; // ***** 955 | 11'h36c: data = 8'b00000000; // 956 | 11'h36d: data = 8'b00000000; // 957 | 11'h36e: data = 8'b00000000; // 958 | 11'h36f: data = 8'b00000000; // 959 | //code x37 960 | 11'h370: data = 8'b00000000; // 961 | 11'h371: data = 8'b00000000; // 962 | 11'h372: data = 8'b11111110; // ******* 963 | 11'h373: data = 8'b11000110; // ** ** 964 | 11'h374: data = 8'b00000110; // ** 965 | 11'h375: data = 8'b00000110; // ** 966 | 11'h376: data = 8'b00001100; // ** 967 | 11'h377: data = 8'b00011000; // ** 968 | 11'h378: data = 8'b00110000; // ** 969 | 11'h379: data = 8'b00110000; // ** 970 | 11'h37a: data = 8'b00110000; // ** 971 | 11'h37b: data = 8'b00110000; // ** 972 | 11'h37c: data = 8'b00000000; // 973 | 11'h37d: data = 8'b00000000; // 974 | 11'h37e: data = 8'b00000000; // 975 | 11'h37f: data = 8'b00000000; // 976 | //code x38 977 | 11'h380: data = 8'b00000000; // 978 | 11'h381: data = 8'b00000000; // 979 | 11'h382: data = 8'b01111100; // ***** 980 | 11'h383: data = 8'b11000110; // ** ** 981 | 11'h384: data = 8'b11000110; // ** ** 982 | 11'h385: data = 8'b11000110; // ** ** 983 | 11'h386: data = 8'b01111100; // ***** 984 | 11'h387: data = 8'b11000110; // ** ** 985 | 11'h388: data = 8'b11000110; // ** ** 986 | 11'h389: data = 8'b11000110; // ** ** 987 | 11'h38a: data = 8'b11000110; // ** ** 988 | 11'h38b: data = 8'b01111100; // ***** 989 | 11'h38c: data = 8'b00000000; // 990 | 11'h38d: data = 8'b00000000; // 991 | 11'h38e: data = 8'b00000000; // 992 | 11'h38f: data = 8'b00000000; // 993 | //code x39 994 | 11'h390: data = 8'b00000000; // 995 | 11'h391: data = 8'b00000000; // 996 | 11'h392: data = 8'b01111100; // ***** 997 | 11'h393: data = 8'b11000110; // ** ** 998 | 11'h394: data = 8'b11000110; // ** ** 999 | 11'h395: data = 8'b11000110; // ** ** 1000 | 11'h396: data = 8'b01111110; // ****** 1001 | 11'h397: data = 8'b00000110; // ** 1002 | 11'h398: data = 8'b00000110; // ** 1003 | 11'h399: data = 8'b00000110; // ** 1004 | 11'h39a: data = 8'b00001100; // ** 1005 | 11'h39b: data = 8'b01111000; // **** 1006 | 11'h39c: data = 8'b00000000; // 1007 | 11'h39d: data = 8'b00000000; // 1008 | 11'h39e: data = 8'b00000000; // 1009 | 11'h39f: data = 8'b00000000; // 1010 | //code x3a 1011 | 11'h3a0: data = 8'b00000000; // 1012 | 11'h3a1: data = 8'b00000000; // 1013 | 11'h3a2: data = 8'b00000000; // 1014 | 11'h3a3: data = 8'b00000000; // 1015 | 11'h3a4: data = 8'b00011000; // ** 1016 | 11'h3a5: data = 8'b00011000; // ** 1017 | 11'h3a6: data = 8'b00000000; // 1018 | 11'h3a7: data = 8'b00000000; // 1019 | 11'h3a8: data = 8'b00000000; // 1020 | 11'h3a9: data = 8'b00011000; // ** 1021 | 11'h3aa: data = 8'b00011000; // ** 1022 | 11'h3ab: data = 8'b00000000; // 1023 | 11'h3ac: data = 8'b00000000; // 1024 | 11'h3ad: data = 8'b00000000; // 1025 | 11'h3ae: data = 8'b00000000; // 1026 | 11'h3af: data = 8'b00000000; // 1027 | //code x3b 1028 | 11'h3b0: data = 8'b00000000; // 1029 | 11'h3b1: data = 8'b00000000; // 1030 | 11'h3b2: data = 8'b00000000; // 1031 | 11'h3b3: data = 8'b00000000; // 1032 | 11'h3b4: data = 8'b00011000; // ** 1033 | 11'h3b5: data = 8'b00011000; // ** 1034 | 11'h3b6: data = 8'b00000000; // 1035 | 11'h3b7: data = 8'b00000000; // 1036 | 11'h3b8: data = 8'b00000000; // 1037 | 11'h3b9: data = 8'b00011000; // ** 1038 | 11'h3ba: data = 8'b00011000; // ** 1039 | 11'h3bb: data = 8'b00110000; // ** 1040 | 11'h3bc: data = 8'b00000000; // 1041 | 11'h3bd: data = 8'b00000000; // 1042 | 11'h3be: data = 8'b00000000; // 1043 | 11'h3bf: data = 8'b00000000; // 1044 | //code x3c 1045 | 11'h3c0: data = 8'b00000000; // 1046 | 11'h3c1: data = 8'b00000000; // 1047 | 11'h3c2: data = 8'b00000000; // 1048 | 11'h3c3: data = 8'b00000110; // ** 1049 | 11'h3c4: data = 8'b00001100; // ** 1050 | 11'h3c5: data = 8'b00011000; // ** 1051 | 11'h3c6: data = 8'b00110000; // ** 1052 | 11'h3c7: data = 8'b01100000; // ** 1053 | 11'h3c8: data = 8'b00110000; // ** 1054 | 11'h3c9: data = 8'b00011000; // ** 1055 | 11'h3ca: data = 8'b00001100; // ** 1056 | 11'h3cb: data = 8'b00000110; // ** 1057 | 11'h3cc: data = 8'b00000000; // 1058 | 11'h3cd: data = 8'b00000000; // 1059 | 11'h3ce: data = 8'b00000000; // 1060 | 11'h3cf: data = 8'b00000000; // 1061 | //code x3d 1062 | 11'h3d0: data = 8'b00000000; // 1063 | 11'h3d1: data = 8'b00000000; // 1064 | 11'h3d2: data = 8'b00000000; // 1065 | 11'h3d3: data = 8'b00000000; // 1066 | 11'h3d4: data = 8'b00000000; // 1067 | 11'h3d5: data = 8'b01111110; // ****** 1068 | 11'h3d6: data = 8'b00000000; // 1069 | 11'h3d7: data = 8'b00000000; // 1070 | 11'h3d8: data = 8'b01111110; // ****** 1071 | 11'h3d9: data = 8'b00000000; // 1072 | 11'h3da: data = 8'b00000000; // 1073 | 11'h3db: data = 8'b00000000; // 1074 | 11'h3dc: data = 8'b00000000; // 1075 | 11'h3dd: data = 8'b00000000; // 1076 | 11'h3de: data = 8'b00000000; // 1077 | 11'h3df: data = 8'b00000000; // 1078 | //code x3e 1079 | 11'h3e0: data = 8'b00000000; // 1080 | 11'h3e1: data = 8'b00000000; // 1081 | 11'h3e2: data = 8'b00000000; // 1082 | 11'h3e3: data = 8'b01100000; // ** 1083 | 11'h3e4: data = 8'b00110000; // ** 1084 | 11'h3e5: data = 8'b00011000; // ** 1085 | 11'h3e6: data = 8'b00001100; // ** 1086 | 11'h3e7: data = 8'b00000110; // ** 1087 | 11'h3e8: data = 8'b00001100; // ** 1088 | 11'h3e9: data = 8'b00011000; // ** 1089 | 11'h3ea: data = 8'b00110000; // ** 1090 | 11'h3eb: data = 8'b01100000; // ** 1091 | 11'h3ec: data = 8'b00000000; // 1092 | 11'h3ed: data = 8'b00000000; // 1093 | 11'h3ee: data = 8'b00000000; // 1094 | 11'h3ef: data = 8'b00000000; // 1095 | //code x3f 1096 | 11'h3f0: data = 8'b00000000; // 1097 | 11'h3f1: data = 8'b00000000; // 1098 | 11'h3f2: data = 8'b01111100; // ***** 1099 | 11'h3f3: data = 8'b11000110; // ** ** 1100 | 11'h3f4: data = 8'b11000110; // ** ** 1101 | 11'h3f5: data = 8'b00001100; // ** 1102 | 11'h3f6: data = 8'b00011000; // ** 1103 | 11'h3f7: data = 8'b00011000; // ** 1104 | 11'h3f8: data = 8'b00011000; // ** 1105 | 11'h3f9: data = 8'b00000000; // 1106 | 11'h3fa: data = 8'b00011000; // ** 1107 | 11'h3fb: data = 8'b00011000; // ** 1108 | 11'h3fc: data = 8'b00000000; // 1109 | 11'h3fd: data = 8'b00000000; // 1110 | 11'h3fe: data = 8'b00000000; // 1111 | 11'h3ff: data = 8'b00000000; // 1112 | //code x40 1113 | 11'h400: data = 8'b00000000; // 1114 | 11'h401: data = 8'b00000000; // 1115 | 11'h402: data = 8'b01111100; // ***** 1116 | 11'h403: data = 8'b11000110; // ** ** 1117 | 11'h404: data = 8'b11000110; // ** ** 1118 | 11'h405: data = 8'b11000110; // ** ** 1119 | 11'h406: data = 8'b11011110; // ** **** 1120 | 11'h407: data = 8'b11011110; // ** **** 1121 | 11'h408: data = 8'b11011110; // ** **** 1122 | 11'h409: data = 8'b11011100; // ** *** 1123 | 11'h40a: data = 8'b11000000; // ** 1124 | 11'h40b: data = 8'b01111100; // ***** 1125 | 11'h40c: data = 8'b00000000; // 1126 | 11'h40d: data = 8'b00000000; // 1127 | 11'h40e: data = 8'b00000000; // 1128 | 11'h40f: data = 8'b00000000; // 1129 | //code x41 1130 | 11'h410: data = 8'b00000000; // 1131 | 11'h411: data = 8'b00000000; // 1132 | 11'h412: data = 8'b00010000; // * 1133 | 11'h413: data = 8'b00111000; // *** 1134 | 11'h414: data = 8'b01101100; // ** ** 1135 | 11'h415: data = 8'b11000110; // ** ** 1136 | 11'h416: data = 8'b11000110; // ** ** 1137 | 11'h417: data = 8'b11111110; // ******* 1138 | 11'h418: data = 8'b11000110; // ** ** 1139 | 11'h419: data = 8'b11000110; // ** ** 1140 | 11'h41a: data = 8'b11000110; // ** ** 1141 | 11'h41b: data = 8'b11000110; // ** ** 1142 | 11'h41c: data = 8'b00000000; // 1143 | 11'h41d: data = 8'b00000000; // 1144 | 11'h41e: data = 8'b00000000; // 1145 | 11'h41f: data = 8'b00000000; // 1146 | //code x42 1147 | 11'h420: data = 8'b00000000; // 1148 | 11'h421: data = 8'b00000000; // 1149 | 11'h422: data = 8'b11111100; // ****** 1150 | 11'h423: data = 8'b01100110; // ** ** 1151 | 11'h424: data = 8'b01100110; // ** ** 1152 | 11'h425: data = 8'b01100110; // ** ** 1153 | 11'h426: data = 8'b01111100; // ***** 1154 | 11'h427: data = 8'b01100110; // ** ** 1155 | 11'h428: data = 8'b01100110; // ** ** 1156 | 11'h429: data = 8'b01100110; // ** ** 1157 | 11'h42a: data = 8'b01100110; // ** ** 1158 | 11'h42b: data = 8'b11111100; // ****** 1159 | 11'h42c: data = 8'b00000000; // 1160 | 11'h42d: data = 8'b00000000; // 1161 | 11'h42e: data = 8'b00000000; // 1162 | 11'h42f: data = 8'b00000000; // 1163 | //code x43 1164 | 11'h430: data = 8'b00000000; // 1165 | 11'h431: data = 8'b00000000; // 1166 | 11'h432: data = 8'b00111100; // **** 1167 | 11'h433: data = 8'b01100110; // ** ** 1168 | 11'h434: data = 8'b11000010; // ** * 1169 | 11'h435: data = 8'b11000000; // ** 1170 | 11'h436: data = 8'b11000000; // ** 1171 | 11'h437: data = 8'b11000000; // ** 1172 | 11'h438: data = 8'b11000000; // ** 1173 | 11'h439: data = 8'b11000010; // ** * 1174 | 11'h43a: data = 8'b01100110; // ** ** 1175 | 11'h43b: data = 8'b00111100; // **** 1176 | 11'h43c: data = 8'b00000000; // 1177 | 11'h43d: data = 8'b00000000; // 1178 | 11'h43e: data = 8'b00000000; // 1179 | 11'h43f: data = 8'b00000000; // 1180 | //code x44 1181 | 11'h440: data = 8'b00000000; // 1182 | 11'h441: data = 8'b00000000; // 1183 | 11'h442: data = 8'b11111000; // ***** 1184 | 11'h443: data = 8'b01101100; // ** ** 1185 | 11'h444: data = 8'b01100110; // ** ** 1186 | 11'h445: data = 8'b01100110; // ** ** 1187 | 11'h446: data = 8'b01100110; // ** ** 1188 | 11'h447: data = 8'b01100110; // ** ** 1189 | 11'h448: data = 8'b01100110; // ** ** 1190 | 11'h449: data = 8'b01100110; // ** ** 1191 | 11'h44a: data = 8'b01101100; // ** ** 1192 | 11'h44b: data = 8'b11111000; // ***** 1193 | 11'h44c: data = 8'b00000000; // 1194 | 11'h44d: data = 8'b00000000; // 1195 | 11'h44e: data = 8'b00000000; // 1196 | 11'h44f: data = 8'b00000000; // 1197 | //code x45 1198 | 11'h450: data = 8'b00000000; // 1199 | 11'h451: data = 8'b00000000; // 1200 | 11'h452: data = 8'b11111110; // ******* 1201 | 11'h453: data = 8'b01100110; // ** ** 1202 | 11'h454: data = 8'b01100010; // ** * 1203 | 11'h455: data = 8'b01101000; // ** * 1204 | 11'h456: data = 8'b01111000; // **** 1205 | 11'h457: data = 8'b01101000; // ** * 1206 | 11'h458: data = 8'b01100000; // ** 1207 | 11'h459: data = 8'b01100010; // ** * 1208 | 11'h45a: data = 8'b01100110; // ** ** 1209 | 11'h45b: data = 8'b11111110; // ******* 1210 | 11'h45c: data = 8'b00000000; // 1211 | 11'h45d: data = 8'b00000000; // 1212 | 11'h45e: data = 8'b00000000; // 1213 | 11'h45f: data = 8'b00000000; // 1214 | //code x46 1215 | 11'h460: data = 8'b00000000; // 1216 | 11'h461: data = 8'b00000000; // 1217 | 11'h462: data = 8'b11111110; // ******* 1218 | 11'h463: data = 8'b01100110; // ** ** 1219 | 11'h464: data = 8'b01100010; // ** * 1220 | 11'h465: data = 8'b01101000; // ** * 1221 | 11'h466: data = 8'b01111000; // **** 1222 | 11'h467: data = 8'b01101000; // ** * 1223 | 11'h468: data = 8'b01100000; // ** 1224 | 11'h469: data = 8'b01100000; // ** 1225 | 11'h46a: data = 8'b01100000; // ** 1226 | 11'h46b: data = 8'b11110000; // **** 1227 | 11'h46c: data = 8'b00000000; // 1228 | 11'h46d: data = 8'b00000000; // 1229 | 11'h46e: data = 8'b00000000; // 1230 | 11'h46f: data = 8'b00000000; // 1231 | //code x47 1232 | 11'h470: data = 8'b00000000; // 1233 | 11'h471: data = 8'b00000000; // 1234 | 11'h472: data = 8'b00111100; // **** 1235 | 11'h473: data = 8'b01100110; // ** ** 1236 | 11'h474: data = 8'b11000010; // ** * 1237 | 11'h475: data = 8'b11000000; // ** 1238 | 11'h476: data = 8'b11000000; // ** 1239 | 11'h477: data = 8'b11011110; // ** **** 1240 | 11'h478: data = 8'b11000110; // ** ** 1241 | 11'h479: data = 8'b11000110; // ** ** 1242 | 11'h47a: data = 8'b01100110; // ** ** 1243 | 11'h47b: data = 8'b00111010; // *** * 1244 | 11'h47c: data = 8'b00000000; // 1245 | 11'h47d: data = 8'b00000000; // 1246 | 11'h47e: data = 8'b00000000; // 1247 | 11'h47f: data = 8'b00000000; // 1248 | //code x48 1249 | 11'h480: data = 8'b00000000; // 1250 | 11'h481: data = 8'b00000000; // 1251 | 11'h482: data = 8'b11000110; // ** ** 1252 | 11'h483: data = 8'b11000110; // ** ** 1253 | 11'h484: data = 8'b11000110; // ** ** 1254 | 11'h485: data = 8'b11000110; // ** ** 1255 | 11'h486: data = 8'b11111110; // ******* 1256 | 11'h487: data = 8'b11000110; // ** ** 1257 | 11'h488: data = 8'b11000110; // ** ** 1258 | 11'h489: data = 8'b11000110; // ** ** 1259 | 11'h48a: data = 8'b11000110; // ** ** 1260 | 11'h48b: data = 8'b11000110; // ** ** 1261 | 11'h48c: data = 8'b00000000; // 1262 | 11'h48d: data = 8'b00000000; // 1263 | 11'h48e: data = 8'b00000000; // 1264 | 11'h48f: data = 8'b00000000; // 1265 | //code x49 1266 | 11'h490: data = 8'b00000000; // 1267 | 11'h491: data = 8'b00000000; // 1268 | 11'h492: data = 8'b00111100; // **** 1269 | 11'h493: data = 8'b00011000; // ** 1270 | 11'h494: data = 8'b00011000; // ** 1271 | 11'h495: data = 8'b00011000; // ** 1272 | 11'h496: data = 8'b00011000; // ** 1273 | 11'h497: data = 8'b00011000; // ** 1274 | 11'h498: data = 8'b00011000; // ** 1275 | 11'h499: data = 8'b00011000; // ** 1276 | 11'h49a: data = 8'b00011000; // ** 1277 | 11'h49b: data = 8'b00111100; // **** 1278 | 11'h49c: data = 8'b00000000; // 1279 | 11'h49d: data = 8'b00000000; // 1280 | 11'h49e: data = 8'b00000000; // 1281 | 11'h49f: data = 8'b00000000; // 1282 | //code x4a 1283 | 11'h4a0: data = 8'b00000000; // 1284 | 11'h4a1: data = 8'b00000000; // 1285 | 11'h4a2: data = 8'b00011110; // **** 1286 | 11'h4a3: data = 8'b00001100; // ** 1287 | 11'h4a4: data = 8'b00001100; // ** 1288 | 11'h4a5: data = 8'b00001100; // ** 1289 | 11'h4a6: data = 8'b00001100; // ** 1290 | 11'h4a7: data = 8'b00001100; // ** 1291 | 11'h4a8: data = 8'b11001100; // ** ** 1292 | 11'h4a9: data = 8'b11001100; // ** ** 1293 | 11'h4aa: data = 8'b11001100; // ** ** 1294 | 11'h4ab: data = 8'b01111000; // **** 1295 | 11'h4ac: data = 8'b00000000; // 1296 | 11'h4ad: data = 8'b00000000; // 1297 | 11'h4ae: data = 8'b00000000; // 1298 | 11'h4af: data = 8'b00000000; // 1299 | //code x4b 1300 | 11'h4b0: data = 8'b00000000; // 1301 | 11'h4b1: data = 8'b00000000; // 1302 | 11'h4b2: data = 8'b11100110; // *** ** 1303 | 11'h4b3: data = 8'b01100110; // ** ** 1304 | 11'h4b4: data = 8'b01100110; // ** ** 1305 | 11'h4b5: data = 8'b01101100; // ** ** 1306 | 11'h4b6: data = 8'b01111000; // **** 1307 | 11'h4b7: data = 8'b01111000; // **** 1308 | 11'h4b8: data = 8'b01101100; // ** ** 1309 | 11'h4b9: data = 8'b01100110; // ** ** 1310 | 11'h4ba: data = 8'b01100110; // ** ** 1311 | 11'h4bb: data = 8'b11100110; // *** ** 1312 | 11'h4bc: data = 8'b00000000; // 1313 | 11'h4bd: data = 8'b00000000; // 1314 | 11'h4be: data = 8'b00000000; // 1315 | 11'h4bf: data = 8'b00000000; // 1316 | //code x4c 1317 | 11'h4c0: data = 8'b00000000; // 1318 | 11'h4c1: data = 8'b00000000; // 1319 | 11'h4c2: data = 8'b11110000; // **** 1320 | 11'h4c3: data = 8'b01100000; // ** 1321 | 11'h4c4: data = 8'b01100000; // ** 1322 | 11'h4c5: data = 8'b01100000; // ** 1323 | 11'h4c6: data = 8'b01100000; // ** 1324 | 11'h4c7: data = 8'b01100000; // ** 1325 | 11'h4c8: data = 8'b01100000; // ** 1326 | 11'h4c9: data = 8'b01100010; // ** * 1327 | 11'h4ca: data = 8'b01100110; // ** ** 1328 | 11'h4cb: data = 8'b11111110; // ******* 1329 | 11'h4cc: data = 8'b00000000; // 1330 | 11'h4cd: data = 8'b00000000; // 1331 | 11'h4ce: data = 8'b00000000; // 1332 | 11'h4cf: data = 8'b00000000; // 1333 | //code x4d 1334 | 11'h4d0: data = 8'b00000000; // 1335 | 11'h4d1: data = 8'b00000000; // 1336 | 11'h4d2: data = 8'b11000011; // ** ** 1337 | 11'h4d3: data = 8'b11100111; // *** *** 1338 | 11'h4d4: data = 8'b11111111; // ******** 1339 | 11'h4d5: data = 8'b11111111; // ******** 1340 | 11'h4d6: data = 8'b11011011; // ** ** ** 1341 | 11'h4d7: data = 8'b11000011; // ** ** 1342 | 11'h4d8: data = 8'b11000011; // ** ** 1343 | 11'h4d9: data = 8'b11000011; // ** ** 1344 | 11'h4da: data = 8'b11000011; // ** ** 1345 | 11'h4db: data = 8'b11000011; // ** ** 1346 | 11'h4dc: data = 8'b00000000; // 1347 | 11'h4dd: data = 8'b00000000; // 1348 | 11'h4de: data = 8'b00000000; // 1349 | 11'h4df: data = 8'b00000000; // 1350 | //code x4e 1351 | 11'h4e0: data = 8'b00000000; // 1352 | 11'h4e1: data = 8'b00000000; // 1353 | 11'h4e2: data = 8'b11000110; // ** ** 1354 | 11'h4e3: data = 8'b11100110; // *** ** 1355 | 11'h4e4: data = 8'b11110110; // **** ** 1356 | 11'h4e5: data = 8'b11111110; // ******* 1357 | 11'h4e6: data = 8'b11011110; // ** **** 1358 | 11'h4e7: data = 8'b11001110; // ** *** 1359 | 11'h4e8: data = 8'b11000110; // ** ** 1360 | 11'h4e9: data = 8'b11000110; // ** ** 1361 | 11'h4ea: data = 8'b11000110; // ** ** 1362 | 11'h4eb: data = 8'b11000110; // ** ** 1363 | 11'h4ec: data = 8'b00000000; // 1364 | 11'h4ed: data = 8'b00000000; // 1365 | 11'h4ee: data = 8'b00000000; // 1366 | 11'h4ef: data = 8'b00000000; // 1367 | //code x4f 1368 | 11'h4f0: data = 8'b00000000; // 1369 | 11'h4f1: data = 8'b00000000; // 1370 | 11'h4f2: data = 8'b01111100; // ***** 1371 | 11'h4f3: data = 8'b11000110; // ** ** 1372 | 11'h4f4: data = 8'b11000110; // ** ** 1373 | 11'h4f5: data = 8'b11000110; // ** ** 1374 | 11'h4f6: data = 8'b11000110; // ** ** 1375 | 11'h4f7: data = 8'b11000110; // ** ** 1376 | 11'h4f8: data = 8'b11000110; // ** ** 1377 | 11'h4f9: data = 8'b11000110; // ** ** 1378 | 11'h4fa: data = 8'b11000110; // ** ** 1379 | 11'h4fb: data = 8'b01111100; // ***** 1380 | 11'h4fc: data = 8'b00000000; // 1381 | 11'h4fd: data = 8'b00000000; // 1382 | 11'h4fe: data = 8'b00000000; // 1383 | 11'h4ff: data = 8'b00000000; // 1384 | //code x50 1385 | 11'h500: data = 8'b00000000; // 1386 | 11'h501: data = 8'b00000000; // 1387 | 11'h502: data = 8'b11111100; // ****** 1388 | 11'h503: data = 8'b01100110; // ** ** 1389 | 11'h504: data = 8'b01100110; // ** ** 1390 | 11'h505: data = 8'b01100110; // ** ** 1391 | 11'h506: data = 8'b01111100; // ***** 1392 | 11'h507: data = 8'b01100000; // ** 1393 | 11'h508: data = 8'b01100000; // ** 1394 | 11'h509: data = 8'b01100000; // ** 1395 | 11'h50a: data = 8'b01100000; // ** 1396 | 11'h50b: data = 8'b11110000; // **** 1397 | 11'h50c: data = 8'b00000000; // 1398 | 11'h50d: data = 8'b00000000; // 1399 | 11'h50e: data = 8'b00000000; // 1400 | 11'h50f: data = 8'b00000000; // 1401 | //code x510f 1402 | 11'h510: data = 8'b00000000; // 1403 | 11'h511: data = 8'b00000000; // 1404 | 11'h512: data = 8'b01111100; // ***** 1405 | 11'h513: data = 8'b11000110; // ** ** 1406 | 11'h514: data = 8'b11000110; // ** ** 1407 | 11'h515: data = 8'b11000110; // ** ** 1408 | 11'h516: data = 8'b11000110; // ** ** 1409 | 11'h517: data = 8'b11000110; // ** ** 1410 | 11'h518: data = 8'b11000110; // ** ** 1411 | 11'h519: data = 8'b11010110; // ** * ** 1412 | 11'h51a: data = 8'b11011110; // ** **** 1413 | 11'h51b: data = 8'b01111100; // ***** 1414 | 11'h51c: data = 8'b00001100; // ** 1415 | 11'h51d: data = 8'b00001110; // *** 1416 | 11'h51e: data = 8'b00000000; // 1417 | 11'h51f: data = 8'b00000000; // 1418 | //code x52 1419 | 11'h520: data = 8'b00000000; // 1420 | 11'h521: data = 8'b00000000; // 1421 | 11'h522: data = 8'b11111100; // ****** 1422 | 11'h523: data = 8'b01100110; // ** ** 1423 | 11'h524: data = 8'b01100110; // ** ** 1424 | 11'h525: data = 8'b01100110; // ** ** 1425 | 11'h526: data = 8'b01111100; // ***** 1426 | 11'h527: data = 8'b01101100; // ** ** 1427 | 11'h528: data = 8'b01100110; // ** ** 1428 | 11'h529: data = 8'b01100110; // ** ** 1429 | 11'h52a: data = 8'b01100110; // ** ** 1430 | 11'h52b: data = 8'b11100110; // *** ** 1431 | 11'h52c: data = 8'b00000000; // 1432 | 11'h52d: data = 8'b00000000; // 1433 | 11'h52e: data = 8'b00000000; // 1434 | 11'h52f: data = 8'b00000000; // 1435 | //code x53 1436 | 11'h530: data = 8'b00000000; // 1437 | 11'h531: data = 8'b00000000; // 1438 | 11'h532: data = 8'b01111100; // ***** 1439 | 11'h533: data = 8'b11000110; // ** ** 1440 | 11'h534: data = 8'b11000110; // ** ** 1441 | 11'h535: data = 8'b01100000; // ** 1442 | 11'h536: data = 8'b00111000; // *** 1443 | 11'h537: data = 8'b00001100; // ** 1444 | 11'h538: data = 8'b00000110; // ** 1445 | 11'h539: data = 8'b11000110; // ** ** 1446 | 11'h53a: data = 8'b11000110; // ** ** 1447 | 11'h53b: data = 8'b01111100; // ***** 1448 | 11'h53c: data = 8'b00000000; // 1449 | 11'h53d: data = 8'b00000000; // 1450 | 11'h53e: data = 8'b00000000; // 1451 | 11'h53f: data = 8'b00000000; // 1452 | //code x54 1453 | 11'h540: data = 8'b00000000; // 1454 | 11'h541: data = 8'b00000000; // 1455 | 11'h542: data = 8'b11111111; // ******** 1456 | 11'h543: data = 8'b11011011; // ** ** ** 1457 | 11'h544: data = 8'b10011001; // * ** * 1458 | 11'h545: data = 8'b00011000; // ** 1459 | 11'h546: data = 8'b00011000; // ** 1460 | 11'h547: data = 8'b00011000; // ** 1461 | 11'h548: data = 8'b00011000; // ** 1462 | 11'h549: data = 8'b00011000; // ** 1463 | 11'h54a: data = 8'b00011000; // ** 1464 | 11'h54b: data = 8'b00111100; // **** 1465 | 11'h54c: data = 8'b00000000; // 1466 | 11'h54d: data = 8'b00000000; // 1467 | 11'h54e: data = 8'b00000000; // 1468 | 11'h54f: data = 8'b00000000; // 1469 | //code x55 1470 | 11'h550: data = 8'b00000000; // 1471 | 11'h551: data = 8'b00000000; // 1472 | 11'h552: data = 8'b11000110; // ** ** 1473 | 11'h553: data = 8'b11000110; // ** ** 1474 | 11'h554: data = 8'b11000110; // ** ** 1475 | 11'h555: data = 8'b11000110; // ** ** 1476 | 11'h556: data = 8'b11000110; // ** ** 1477 | 11'h557: data = 8'b11000110; // ** ** 1478 | 11'h558: data = 8'b11000110; // ** ** 1479 | 11'h559: data = 8'b11000110; // ** ** 1480 | 11'h55a: data = 8'b11000110; // ** ** 1481 | 11'h55b: data = 8'b01111100; // ***** 1482 | 11'h55c: data = 8'b00000000; // 1483 | 11'h55d: data = 8'b00000000; // 1484 | 11'h55e: data = 8'b00000000; // 1485 | 11'h55f: data = 8'b00000000; // 1486 | //code x56 1487 | 11'h560: data = 8'b00000000; // 1488 | 11'h561: data = 8'b00000000; // 1489 | 11'h562: data = 8'b11000011; // ** ** 1490 | 11'h563: data = 8'b11000011; // ** ** 1491 | 11'h564: data = 8'b11000011; // ** ** 1492 | 11'h565: data = 8'b11000011; // ** ** 1493 | 11'h566: data = 8'b11000011; // ** ** 1494 | 11'h567: data = 8'b11000011; // ** ** 1495 | 11'h568: data = 8'b11000011; // ** ** 1496 | 11'h569: data = 8'b01100110; // ** ** 1497 | 11'h56a: data = 8'b00111100; // **** 1498 | 11'h56b: data = 8'b00011000; // ** 1499 | 11'h56c: data = 8'b00000000; // 1500 | 11'h56d: data = 8'b00000000; // 1501 | 11'h56e: data = 8'b00000000; // 1502 | 11'h56f: data = 8'b00000000; // 1503 | //code x57 1504 | 11'h570: data = 8'b00000000; // 1505 | 11'h571: data = 8'b00000000; // 1506 | 11'h572: data = 8'b11000011; // ** ** 1507 | 11'h573: data = 8'b11000011; // ** ** 1508 | 11'h574: data = 8'b11000011; // ** ** 1509 | 11'h575: data = 8'b11000011; // ** ** 1510 | 11'h576: data = 8'b11000011; // ** ** 1511 | 11'h577: data = 8'b11011011; // ** ** ** 1512 | 11'h578: data = 8'b11011011; // ** ** ** 1513 | 11'h579: data = 8'b11111111; // ******** 1514 | 11'h57a: data = 8'b01100110; // ** ** 1515 | 11'h57b: data = 8'b01100110; // ** ** 1516 | 11'h57c: data = 8'b00000000; // 1517 | 11'h57d: data = 8'b00000000; // 1518 | 11'h57e: data = 8'b00000000; // 1519 | 11'h57f: data = 8'b00000000; // 1520 | //code x58 1521 | 11'h580: data = 8'b00000000; // 1522 | 11'h581: data = 8'b00000000; // 1523 | 11'h582: data = 8'b11000011; // ** ** 1524 | 11'h583: data = 8'b11000011; // ** ** 1525 | 11'h584: data = 8'b01100110; // ** ** 1526 | 11'h585: data = 8'b00111100; // **** 1527 | 11'h586: data = 8'b00011000; // ** 1528 | 11'h587: data = 8'b00011000; // ** 1529 | 11'h588: data = 8'b00111100; // **** 1530 | 11'h589: data = 8'b01100110; // ** ** 1531 | 11'h58a: data = 8'b11000011; // ** ** 1532 | 11'h58b: data = 8'b11000011; // ** ** 1533 | 11'h58c: data = 8'b00000000; // 1534 | 11'h58d: data = 8'b00000000; // 1535 | 11'h58e: data = 8'b00000000; // 1536 | 11'h58f: data = 8'b00000000; // 1537 | //code x59 1538 | 11'h590: data = 8'b00000000; // 1539 | 11'h591: data = 8'b00000000; // 1540 | 11'h592: data = 8'b11000011; // ** ** 1541 | 11'h593: data = 8'b11000011; // ** ** 1542 | 11'h594: data = 8'b11000011; // ** ** 1543 | 11'h595: data = 8'b01100110; // ** ** 1544 | 11'h596: data = 8'b00111100; // **** 1545 | 11'h597: data = 8'b00011000; // ** 1546 | 11'h598: data = 8'b00011000; // ** 1547 | 11'h599: data = 8'b00011000; // ** 1548 | 11'h59a: data = 8'b00011000; // ** 1549 | 11'h59b: data = 8'b00111100; // **** 1550 | 11'h59c: data = 8'b00000000; // 1551 | 11'h59d: data = 8'b00000000; // 1552 | 11'h59e: data = 8'b00000000; // 1553 | 11'h59f: data = 8'b00000000; // 1554 | //code x5a 1555 | 11'h5a0: data = 8'b00000000; // 1556 | 11'h5a1: data = 8'b00000000; // 1557 | 11'h5a2: data = 8'b11111111; // ******** 1558 | 11'h5a3: data = 8'b11000011; // ** ** 1559 | 11'h5a4: data = 8'b10000110; // * ** 1560 | 11'h5a5: data = 8'b00001100; // ** 1561 | 11'h5a6: data = 8'b00011000; // ** 1562 | 11'h5a7: data = 8'b00110000; // ** 1563 | 11'h5a8: data = 8'b01100000; // ** 1564 | 11'h5a9: data = 8'b11000001; // ** * 1565 | 11'h5aa: data = 8'b11000011; // ** ** 1566 | 11'h5ab: data = 8'b11111111; // ******** 1567 | 11'h5ac: data = 8'b00000000; // 1568 | 11'h5ad: data = 8'b00000000; // 1569 | 11'h5ae: data = 8'b00000000; // 1570 | 11'h5af: data = 8'b00000000; // 1571 | //code x5b 1572 | 11'h5b0: data = 8'b00000000; // 1573 | 11'h5b1: data = 8'b00000000; // 1574 | 11'h5b2: data = 8'b00111100; // **** 1575 | 11'h5b3: data = 8'b00110000; // ** 1576 | 11'h5b4: data = 8'b00110000; // ** 1577 | 11'h5b5: data = 8'b00110000; // ** 1578 | 11'h5b6: data = 8'b00110000; // ** 1579 | 11'h5b7: data = 8'b00110000; // ** 1580 | 11'h5b8: data = 8'b00110000; // ** 1581 | 11'h5b9: data = 8'b00110000; // ** 1582 | 11'h5ba: data = 8'b00110000; // ** 1583 | 11'h5bb: data = 8'b00111100; // **** 1584 | 11'h5bc: data = 8'b00000000; // 1585 | 11'h5bd: data = 8'b00000000; // 1586 | 11'h5be: data = 8'b00000000; // 1587 | 11'h5bf: data = 8'b00000000; // 1588 | //code x5c 1589 | 11'h5c0: data = 8'b00000000; // 1590 | 11'h5c1: data = 8'b00000000; // 1591 | 11'h5c2: data = 8'b00000000; // 1592 | 11'h5c3: data = 8'b10000000; // * 1593 | 11'h5c4: data = 8'b11000000; // ** 1594 | 11'h5c5: data = 8'b11100000; // *** 1595 | 11'h5c6: data = 8'b01110000; // *** 1596 | 11'h5c7: data = 8'b00111000; // *** 1597 | 11'h5c8: data = 8'b00011100; // *** 1598 | 11'h5c9: data = 8'b00001110; // *** 1599 | 11'h5ca: data = 8'b00000110; // ** 1600 | 11'h5cb: data = 8'b00000010; // * 1601 | 11'h5cc: data = 8'b00000000; // 1602 | 11'h5cd: data = 8'b00000000; // 1603 | 11'h5ce: data = 8'b00000000; // 1604 | 11'h5cf: data = 8'b00000000; // 1605 | //code x5d 1606 | 11'h5d0: data = 8'b00000000; // 1607 | 11'h5d1: data = 8'b00000000; // 1608 | 11'h5d2: data = 8'b00111100; // **** 1609 | 11'h5d3: data = 8'b00001100; // ** 1610 | 11'h5d4: data = 8'b00001100; // ** 1611 | 11'h5d5: data = 8'b00001100; // ** 1612 | 11'h5d6: data = 8'b00001100; // ** 1613 | 11'h5d7: data = 8'b00001100; // ** 1614 | 11'h5d8: data = 8'b00001100; // ** 1615 | 11'h5d9: data = 8'b00001100; // ** 1616 | 11'h5da: data = 8'b00001100; // ** 1617 | 11'h5db: data = 8'b00111100; // **** 1618 | 11'h5dc: data = 8'b00000000; // 1619 | 11'h5dd: data = 8'b00000000; // 1620 | 11'h5de: data = 8'b00000000; // 1621 | 11'h5df: data = 8'b00000000; // 1622 | //code x5e 1623 | 11'h5e0: data = 8'b00010000; // * 1624 | 11'h5e1: data = 8'b00111000; // *** 1625 | 11'h5e2: data = 8'b01101100; // ** ** 1626 | 11'h5e3: data = 8'b11000110; // ** ** 1627 | 11'h5e4: data = 8'b00000000; // 1628 | 11'h5e5: data = 8'b00000000; // 1629 | 11'h5e6: data = 8'b00000000; // 1630 | 11'h5e7: data = 8'b00000000; // 1631 | 11'h5e8: data = 8'b00000000; // 1632 | 11'h5e9: data = 8'b00000000; // 1633 | 11'h5ea: data = 8'b00000000; // 1634 | 11'h5eb: data = 8'b00000000; // 1635 | 11'h5ec: data = 8'b00000000; // 1636 | 11'h5ed: data = 8'b00000000; // 1637 | 11'h5ee: data = 8'b00000000; // 1638 | 11'h5ef: data = 8'b00000000; // 1639 | //code x5f 1640 | 11'h5f0: data = 8'b00000000; // 1641 | 11'h5f1: data = 8'b00000000; // 1642 | 11'h5f2: data = 8'b00000000; // 1643 | 11'h5f3: data = 8'b00000000; // 1644 | 11'h5f4: data = 8'b00000000; // 1645 | 11'h5f5: data = 8'b00000000; // 1646 | 11'h5f6: data = 8'b00000000; // 1647 | 11'h5f7: data = 8'b00000000; // 1648 | 11'h5f8: data = 8'b00000000; // 1649 | 11'h5f9: data = 8'b00000000; // 1650 | 11'h5fa: data = 8'b00000000; // 1651 | 11'h5fb: data = 8'b00000000; // 1652 | 11'h5fc: data = 8'b00000000; // 1653 | 11'h5fd: data = 8'b11111111; // ******** 1654 | 11'h5fe: data = 8'b00000000; // 1655 | 11'h5ff: data = 8'b00000000; // 1656 | //code x60 1657 | 11'h600: data = 8'b00110000; // ** 1658 | 11'h601: data = 8'b00110000; // ** 1659 | 11'h602: data = 8'b00011000; // ** 1660 | 11'h603: data = 8'b00000000; // 1661 | 11'h604: data = 8'b00000000; // 1662 | 11'h605: data = 8'b00000000; // 1663 | 11'h606: data = 8'b00000000; // 1664 | 11'h607: data = 8'b00000000; // 1665 | 11'h608: data = 8'b00000000; // 1666 | 11'h609: data = 8'b00000000; // 1667 | 11'h60a: data = 8'b00000000; // 1668 | 11'h60b: data = 8'b00000000; // 1669 | 11'h60c: data = 8'b00000000; // 1670 | 11'h60d: data = 8'b00000000; // 1671 | 11'h60e: data = 8'b00000000; // 1672 | 11'h60f: data = 8'b00000000; // 1673 | //code x61 1674 | 11'h610: data = 8'b00000000; // 1675 | 11'h611: data = 8'b00000000; // 1676 | 11'h612: data = 8'b00000000; // 1677 | 11'h613: data = 8'b00000000; // 1678 | 11'h614: data = 8'b00000000; // 1679 | 11'h615: data = 8'b01111000; // **** 1680 | 11'h616: data = 8'b00001100; // ** 1681 | 11'h617: data = 8'b01111100; // ***** 1682 | 11'h618: data = 8'b11001100; // ** ** 1683 | 11'h619: data = 8'b11001100; // ** ** 1684 | 11'h61a: data = 8'b11001100; // ** ** 1685 | 11'h61b: data = 8'b01110110; // *** ** 1686 | 11'h61c: data = 8'b00000000; // 1687 | 11'h61d: data = 8'b00000000; // 1688 | 11'h61e: data = 8'b00000000; // 1689 | 11'h61f: data = 8'b00000000; // 1690 | //code x62 1691 | 11'h620: data = 8'b00000000; // 1692 | 11'h621: data = 8'b00000000; // 1693 | 11'h622: data = 8'b11100000; // *** 1694 | 11'h623: data = 8'b01100000; // ** 1695 | 11'h624: data = 8'b01100000; // ** 1696 | 11'h625: data = 8'b01111000; // **** 1697 | 11'h626: data = 8'b01101100; // ** ** 1698 | 11'h627: data = 8'b01100110; // ** ** 1699 | 11'h628: data = 8'b01100110; // ** ** 1700 | 11'h629: data = 8'b01100110; // ** ** 1701 | 11'h62a: data = 8'b01100110; // ** ** 1702 | 11'h62b: data = 8'b01111100; // ***** 1703 | 11'h62c: data = 8'b00000000; // 1704 | 11'h62d: data = 8'b00000000; // 1705 | 11'h62e: data = 8'b00000000; // 1706 | 11'h62f: data = 8'b00000000; // 1707 | //code x63 1708 | 11'h630: data = 8'b00000000; // 1709 | 11'h631: data = 8'b00000000; // 1710 | 11'h632: data = 8'b00000000; // 1711 | 11'h633: data = 8'b00000000; // 1712 | 11'h634: data = 8'b00000000; // 1713 | 11'h635: data = 8'b01111100; // ***** 1714 | 11'h636: data = 8'b11000110; // ** ** 1715 | 11'h637: data = 8'b11000000; // ** 1716 | 11'h638: data = 8'b11000000; // ** 1717 | 11'h639: data = 8'b11000000; // ** 1718 | 11'h63a: data = 8'b11000110; // ** ** 1719 | 11'h63b: data = 8'b01111100; // ***** 1720 | 11'h63c: data = 8'b00000000; // 1721 | 11'h63d: data = 8'b00000000; // 1722 | 11'h63e: data = 8'b00000000; // 1723 | 11'h63f: data = 8'b00000000; // 1724 | //code x64 1725 | 11'h640: data = 8'b00000000; // 1726 | 11'h641: data = 8'b00000000; // 1727 | 11'h642: data = 8'b00011100; // *** 1728 | 11'h643: data = 8'b00001100; // ** 1729 | 11'h644: data = 8'b00001100; // ** 1730 | 11'h645: data = 8'b00111100; // **** 1731 | 11'h646: data = 8'b01101100; // ** ** 1732 | 11'h647: data = 8'b11001100; // ** ** 1733 | 11'h648: data = 8'b11001100; // ** ** 1734 | 11'h649: data = 8'b11001100; // ** ** 1735 | 11'h64a: data = 8'b11001100; // ** ** 1736 | 11'h64b: data = 8'b01110110; // *** ** 1737 | 11'h64c: data = 8'b00000000; // 1738 | 11'h64d: data = 8'b00000000; // 1739 | 11'h64e: data = 8'b00000000; // 1740 | 11'h64f: data = 8'b00000000; // 1741 | //code x65 1742 | 11'h650: data = 8'b00000000; // 1743 | 11'h651: data = 8'b00000000; // 1744 | 11'h652: data = 8'b00000000; // 1745 | 11'h653: data = 8'b00000000; // 1746 | 11'h654: data = 8'b00000000; // 1747 | 11'h655: data = 8'b01111100; // ***** 1748 | 11'h656: data = 8'b11000110; // ** ** 1749 | 11'h657: data = 8'b11111110; // ******* 1750 | 11'h658: data = 8'b11000000; // ** 1751 | 11'h659: data = 8'b11000000; // ** 1752 | 11'h65a: data = 8'b11000110; // ** ** 1753 | 11'h65b: data = 8'b01111100; // ***** 1754 | 11'h65c: data = 8'b00000000; // 1755 | 11'h65d: data = 8'b00000000; // 1756 | 11'h65e: data = 8'b00000000; // 1757 | 11'h65f: data = 8'b00000000; // 1758 | //code x66 1759 | 11'h660: data = 8'b00000000; // 1760 | 11'h661: data = 8'b00000000; // 1761 | 11'h662: data = 8'b00111000; // *** 1762 | 11'h663: data = 8'b01101100; // ** ** 1763 | 11'h664: data = 8'b01100100; // ** * 1764 | 11'h665: data = 8'b01100000; // ** 1765 | 11'h666: data = 8'b11110000; // **** 1766 | 11'h667: data = 8'b01100000; // ** 1767 | 11'h668: data = 8'b01100000; // ** 1768 | 11'h669: data = 8'b01100000; // ** 1769 | 11'h66a: data = 8'b01100000; // ** 1770 | 11'h66b: data = 8'b11110000; // **** 1771 | 11'h66c: data = 8'b00000000; // 1772 | 11'h66d: data = 8'b00000000; // 1773 | 11'h66e: data = 8'b00000000; // 1774 | 11'h66f: data = 8'b00000000; // 1775 | //code x67 1776 | 11'h670: data = 8'b00000000; // 1777 | 11'h671: data = 8'b00000000; // 1778 | 11'h672: data = 8'b00000000; // 1779 | 11'h673: data = 8'b00000000; // 1780 | 11'h674: data = 8'b00000000; // 1781 | 11'h675: data = 8'b01110110; // *** ** 1782 | 11'h676: data = 8'b11001100; // ** ** 1783 | 11'h677: data = 8'b11001100; // ** ** 1784 | 11'h678: data = 8'b11001100; // ** ** 1785 | 11'h679: data = 8'b11001100; // ** ** 1786 | 11'h67a: data = 8'b11001100; // ** ** 1787 | 11'h67b: data = 8'b01111100; // ***** 1788 | 11'h67c: data = 8'b00001100; // ** 1789 | 11'h67d: data = 8'b11001100; // ** ** 1790 | 11'h67e: data = 8'b01111000; // **** 1791 | 11'h67f: data = 8'b00000000; // 1792 | //code x68 1793 | 11'h680: data = 8'b00000000; // 1794 | 11'h681: data = 8'b00000000; // 1795 | 11'h682: data = 8'b11100000; // *** 1796 | 11'h683: data = 8'b01100000; // ** 1797 | 11'h684: data = 8'b01100000; // ** 1798 | 11'h685: data = 8'b01101100; // ** ** 1799 | 11'h686: data = 8'b01110110; // *** ** 1800 | 11'h687: data = 8'b01100110; // ** ** 1801 | 11'h688: data = 8'b01100110; // ** ** 1802 | 11'h689: data = 8'b01100110; // ** ** 1803 | 11'h68a: data = 8'b01100110; // ** ** 1804 | 11'h68b: data = 8'b11100110; // *** ** 1805 | 11'h68c: data = 8'b00000000; // 1806 | 11'h68d: data = 8'b00000000; // 1807 | 11'h68e: data = 8'b00000000; // 1808 | 11'h68f: data = 8'b00000000; // 1809 | //code x69 1810 | 11'h690: data = 8'b00000000; // 1811 | 11'h691: data = 8'b00000000; // 1812 | 11'h692: data = 8'b00011000; // ** 1813 | 11'h693: data = 8'b00011000; // ** 1814 | 11'h694: data = 8'b00000000; // 1815 | 11'h695: data = 8'b00111000; // *** 1816 | 11'h696: data = 8'b00011000; // ** 1817 | 11'h697: data = 8'b00011000; // ** 1818 | 11'h698: data = 8'b00011000; // ** 1819 | 11'h699: data = 8'b00011000; // ** 1820 | 11'h69a: data = 8'b00011000; // ** 1821 | 11'h69b: data = 8'b00111100; // **** 1822 | 11'h69c: data = 8'b00000000; // 1823 | 11'h69d: data = 8'b00000000; // 1824 | 11'h69e: data = 8'b00000000; // 1825 | 11'h69f: data = 8'b00000000; // 1826 | //code x6a 1827 | 11'h6a0: data = 8'b00000000; // 1828 | 11'h6a1: data = 8'b00000000; // 1829 | 11'h6a2: data = 8'b00000110; // ** 1830 | 11'h6a3: data = 8'b00000110; // ** 1831 | 11'h6a4: data = 8'b00000000; // 1832 | 11'h6a5: data = 8'b00001110; // *** 1833 | 11'h6a6: data = 8'b00000110; // ** 1834 | 11'h6a7: data = 8'b00000110; // ** 1835 | 11'h6a8: data = 8'b00000110; // ** 1836 | 11'h6a9: data = 8'b00000110; // ** 1837 | 11'h6aa: data = 8'b00000110; // ** 1838 | 11'h6ab: data = 8'b00000110; // ** 1839 | 11'h6ac: data = 8'b01100110; // ** ** 1840 | 11'h6ad: data = 8'b01100110; // ** ** 1841 | 11'h6ae: data = 8'b00111100; // **** 1842 | 11'h6af: data = 8'b00000000; // 1843 | //code x6b 1844 | 11'h6b0: data = 8'b00000000; // 1845 | 11'h6b1: data = 8'b00000000; // 1846 | 11'h6b2: data = 8'b11100000; // *** 1847 | 11'h6b3: data = 8'b01100000; // ** 1848 | 11'h6b4: data = 8'b01100000; // ** 1849 | 11'h6b5: data = 8'b01100110; // ** ** 1850 | 11'h6b6: data = 8'b01101100; // ** ** 1851 | 11'h6b7: data = 8'b01111000; // **** 1852 | 11'h6b8: data = 8'b01111000; // **** 1853 | 11'h6b9: data = 8'b01101100; // ** ** 1854 | 11'h6ba: data = 8'b01100110; // ** ** 1855 | 11'h6bb: data = 8'b11100110; // *** ** 1856 | 11'h6bc: data = 8'b00000000; // 1857 | 11'h6bd: data = 8'b00000000; // 1858 | 11'h6be: data = 8'b00000000; // 1859 | 11'h6bf: data = 8'b00000000; // 1860 | //code x6c 1861 | 11'h6c0: data = 8'b00000000; // 1862 | 11'h6c1: data = 8'b00000000; // 1863 | 11'h6c2: data = 8'b00111000; // *** 1864 | 11'h6c3: data = 8'b00011000; // ** 1865 | 11'h6c4: data = 8'b00011000; // ** 1866 | 11'h6c5: data = 8'b00011000; // ** 1867 | 11'h6c6: data = 8'b00011000; // ** 1868 | 11'h6c7: data = 8'b00011000; // ** 1869 | 11'h6c8: data = 8'b00011000; // ** 1870 | 11'h6c9: data = 8'b00011000; // ** 1871 | 11'h6ca: data = 8'b00011000; // ** 1872 | 11'h6cb: data = 8'b00111100; // **** 1873 | 11'h6cc: data = 8'b00000000; // 1874 | 11'h6cd: data = 8'b00000000; // 1875 | 11'h6ce: data = 8'b00000000; // 1876 | 11'h6cf: data = 8'b00000000; // 1877 | //code x6d 1878 | 11'h6d0: data = 8'b00000000; // 1879 | 11'h6d1: data = 8'b00000000; // 1880 | 11'h6d2: data = 8'b00000000; // 1881 | 11'h6d3: data = 8'b00000000; // 1882 | 11'h6d4: data = 8'b00000000; // 1883 | 11'h6d5: data = 8'b11100110; // *** ** 1884 | 11'h6d6: data = 8'b11111111; // ******** 1885 | 11'h6d7: data = 8'b11011011; // ** ** ** 1886 | 11'h6d8: data = 8'b11011011; // ** ** ** 1887 | 11'h6d9: data = 8'b11011011; // ** ** ** 1888 | 11'h6da: data = 8'b11011011; // ** ** ** 1889 | 11'h6db: data = 8'b11011011; // ** ** ** 1890 | 11'h6dc: data = 8'b00000000; // 1891 | 11'h6dd: data = 8'b00000000; // 1892 | 11'h6de: data = 8'b00000000; // 1893 | 11'h6df: data = 8'b00000000; // 1894 | //code x6e 1895 | 11'h6e0: data = 8'b00000000; // 1896 | 11'h6e1: data = 8'b00000000; // 1897 | 11'h6e2: data = 8'b00000000; // 1898 | 11'h6e3: data = 8'b00000000; // 1899 | 11'h6e4: data = 8'b00000000; // 1900 | 11'h6e5: data = 8'b11011100; // ** *** 1901 | 11'h6e6: data = 8'b01100110; // ** ** 1902 | 11'h6e7: data = 8'b01100110; // ** ** 1903 | 11'h6e8: data = 8'b01100110; // ** ** 1904 | 11'h6e9: data = 8'b01100110; // ** ** 1905 | 11'h6ea: data = 8'b01100110; // ** ** 1906 | 11'h6eb: data = 8'b01100110; // ** ** 1907 | 11'h6ec: data = 8'b00000000; // 1908 | 11'h6ed: data = 8'b00000000; // 1909 | 11'h6ee: data = 8'b00000000; // 1910 | 11'h6ef: data = 8'b00000000; // 1911 | //code x6f 1912 | 11'h6f0: data = 8'b00000000; // 1913 | 11'h6f1: data = 8'b00000000; // 1914 | 11'h6f2: data = 8'b00000000; // 1915 | 11'h6f3: data = 8'b00000000; // 1916 | 11'h6f4: data = 8'b00000000; // 1917 | 11'h6f5: data = 8'b01111100; // ***** 1918 | 11'h6f6: data = 8'b11000110; // ** ** 1919 | 11'h6f7: data = 8'b11000110; // ** ** 1920 | 11'h6f8: data = 8'b11000110; // ** ** 1921 | 11'h6f9: data = 8'b11000110; // ** ** 1922 | 11'h6fa: data = 8'b11000110; // ** ** 1923 | 11'h6fb: data = 8'b01111100; // ***** 1924 | 11'h6fc: data = 8'b00000000; // 1925 | 11'h6fd: data = 8'b00000000; // 1926 | 11'h6fe: data = 8'b00000000; // 1927 | 11'h6ff: data = 8'b00000000; // 1928 | //code x70 1929 | 11'h700: data = 8'b00000000; // 1930 | 11'h701: data = 8'b00000000; // 1931 | 11'h702: data = 8'b00000000; // 1932 | 11'h703: data = 8'b00000000; // 1933 | 11'h704: data = 8'b00000000; // 1934 | 11'h705: data = 8'b11011100; // ** *** 1935 | 11'h706: data = 8'b01100110; // ** ** 1936 | 11'h707: data = 8'b01100110; // ** ** 1937 | 11'h708: data = 8'b01100110; // ** ** 1938 | 11'h709: data = 8'b01100110; // ** ** 1939 | 11'h70a: data = 8'b01100110; // ** ** 1940 | 11'h70b: data = 8'b01111100; // ***** 1941 | 11'h70c: data = 8'b01100000; // ** 1942 | 11'h70d: data = 8'b01100000; // ** 1943 | 11'h70e: data = 8'b11110000; // **** 1944 | 11'h70f: data = 8'b00000000; // 1945 | //code x71 1946 | 11'h710: data = 8'b00000000; // 1947 | 11'h711: data = 8'b00000000; // 1948 | 11'h712: data = 8'b00000000; // 1949 | 11'h713: data = 8'b00000000; // 1950 | 11'h714: data = 8'b00000000; // 1951 | 11'h715: data = 8'b01110110; // *** ** 1952 | 11'h716: data = 8'b11001100; // ** ** 1953 | 11'h717: data = 8'b11001100; // ** ** 1954 | 11'h718: data = 8'b11001100; // ** ** 1955 | 11'h719: data = 8'b11001100; // ** ** 1956 | 11'h71a: data = 8'b11001100; // ** ** 1957 | 11'h71b: data = 8'b01111100; // ***** 1958 | 11'h71c: data = 8'b00001100; // ** 1959 | 11'h71d: data = 8'b00001100; // ** 1960 | 11'h71e: data = 8'b00011110; // **** 1961 | 11'h71f: data = 8'b00000000; // 1962 | //code x72 1963 | 11'h720: data = 8'b00000000; // 1964 | 11'h721: data = 8'b00000000; // 1965 | 11'h722: data = 8'b00000000; // 1966 | 11'h723: data = 8'b00000000; // 1967 | 11'h724: data = 8'b00000000; // 1968 | 11'h725: data = 8'b11011100; // ** *** 1969 | 11'h726: data = 8'b01110110; // *** ** 1970 | 11'h727: data = 8'b01100110; // ** ** 1971 | 11'h728: data = 8'b01100000; // ** 1972 | 11'h729: data = 8'b01100000; // ** 1973 | 11'h72a: data = 8'b01100000; // ** 1974 | 11'h72b: data = 8'b11110000; // **** 1975 | 11'h72c: data = 8'b00000000; // 1976 | 11'h72d: data = 8'b00000000; // 1977 | 11'h72e: data = 8'b00000000; // 1978 | 11'h72f: data = 8'b00000000; // 1979 | //code x73 1980 | 11'h730: data = 8'b00000000; // 1981 | 11'h731: data = 8'b00000000; // 1982 | 11'h732: data = 8'b00000000; // 1983 | 11'h733: data = 8'b00000000; // 1984 | 11'h734: data = 8'b00000000; // 1985 | 11'h735: data = 8'b01111100; // ***** 1986 | 11'h736: data = 8'b11000110; // ** ** 1987 | 11'h737: data = 8'b01100000; // ** 1988 | 11'h738: data = 8'b00111000; // *** 1989 | 11'h739: data = 8'b00001100; // ** 1990 | 11'h73a: data = 8'b11000110; // ** ** 1991 | 11'h73b: data = 8'b01111100; // ***** 1992 | 11'h73c: data = 8'b00000000; // 1993 | 11'h73d: data = 8'b00000000; // 1994 | 11'h73e: data = 8'b00000000; // 1995 | 11'h73f: data = 8'b00000000; // 1996 | //code x74 1997 | 11'h740: data = 8'b00000000; // 1998 | 11'h741: data = 8'b00000000; // 1999 | 11'h742: data = 8'b00010000; // * 2000 | 11'h743: data = 8'b00110000; // ** 2001 | 11'h744: data = 8'b00110000; // ** 2002 | 11'h745: data = 8'b11111100; // ****** 2003 | 11'h746: data = 8'b00110000; // ** 2004 | 11'h747: data = 8'b00110000; // ** 2005 | 11'h748: data = 8'b00110000; // ** 2006 | 11'h749: data = 8'b00110000; // ** 2007 | 11'h74a: data = 8'b00110110; // ** ** 2008 | 11'h74b: data = 8'b00011100; // *** 2009 | 11'h74c: data = 8'b00000000; // 2010 | 11'h74d: data = 8'b00000000; // 2011 | 11'h74e: data = 8'b00000000; // 2012 | 11'h74f: data = 8'b00000000; // 2013 | //code x75 2014 | 11'h750: data = 8'b00000000; // 2015 | 11'h751: data = 8'b00000000; // 2016 | 11'h752: data = 8'b00000000; // 2017 | 11'h753: data = 8'b00000000; // 2018 | 11'h754: data = 8'b00000000; // 2019 | 11'h755: data = 8'b11001100; // ** ** 2020 | 11'h756: data = 8'b11001100; // ** ** 2021 | 11'h757: data = 8'b11001100; // ** ** 2022 | 11'h758: data = 8'b11001100; // ** ** 2023 | 11'h759: data = 8'b11001100; // ** ** 2024 | 11'h75a: data = 8'b11001100; // ** ** 2025 | 11'h75b: data = 8'b01110110; // *** ** 2026 | 11'h75c: data = 8'b00000000; // 2027 | 11'h75d: data = 8'b00000000; // 2028 | 11'h75e: data = 8'b00000000; // 2029 | 11'h75f: data = 8'b00000000; // 2030 | //code x76 2031 | 11'h760: data = 8'b00000000; // 2032 | 11'h761: data = 8'b00000000; // 2033 | 11'h762: data = 8'b00000000; // 2034 | 11'h763: data = 8'b00000000; // 2035 | 11'h764: data = 8'b00000000; // 2036 | 11'h765: data = 8'b11000011; // ** ** 2037 | 11'h766: data = 8'b11000011; // ** ** 2038 | 11'h767: data = 8'b11000011; // ** ** 2039 | 11'h768: data = 8'b11000011; // ** ** 2040 | 11'h769: data = 8'b01100110; // ** ** 2041 | 11'h76a: data = 8'b00111100; // **** 2042 | 11'h76b: data = 8'b00011000; // ** 2043 | 11'h76c: data = 8'b00000000; // 2044 | 11'h76d: data = 8'b00000000; // 2045 | 11'h76e: data = 8'b00000000; // 2046 | 11'h76f: data = 8'b00000000; // 2047 | //code x77 2048 | 11'h770: data = 8'b00000000; // 2049 | 11'h771: data = 8'b00000000; // 2050 | 11'h772: data = 8'b00000000; // 2051 | 11'h773: data = 8'b00000000; // 2052 | 11'h774: data = 8'b00000000; // 2053 | 11'h775: data = 8'b11000011; // ** ** 2054 | 11'h776: data = 8'b11000011; // ** ** 2055 | 11'h777: data = 8'b11000011; // ** ** 2056 | 11'h778: data = 8'b11011011; // ** ** ** 2057 | 11'h779: data = 8'b11011011; // ** ** ** 2058 | 11'h77a: data = 8'b11111111; // ******** 2059 | 11'h77b: data = 8'b01100110; // ** ** 2060 | 11'h77c: data = 8'b00000000; // 2061 | 11'h77d: data = 8'b00000000; // 2062 | 11'h77e: data = 8'b00000000; // 2063 | 11'h77f: data = 8'b00000000; // 2064 | //code x78 2065 | 11'h780: data = 8'b00000000; // 2066 | 11'h781: data = 8'b00000000; // 2067 | 11'h782: data = 8'b00000000; // 2068 | 11'h783: data = 8'b00000000; // 2069 | 11'h784: data = 8'b00000000; // 2070 | 11'h785: data = 8'b11000011; // ** ** 2071 | 11'h786: data = 8'b01100110; // ** ** 2072 | 11'h787: data = 8'b00111100; // **** 2073 | 11'h788: data = 8'b00011000; // ** 2074 | 11'h789: data = 8'b00111100; // **** 2075 | 11'h78a: data = 8'b01100110; // ** ** 2076 | 11'h78b: data = 8'b11000011; // ** ** 2077 | 11'h78c: data = 8'b00000000; // 2078 | 11'h78d: data = 8'b00000000; // 2079 | 11'h78e: data = 8'b00000000; // 2080 | 11'h78f: data = 8'b00000000; // 2081 | //code x79 2082 | 11'h790: data = 8'b00000000; // 2083 | 11'h791: data = 8'b00000000; // 2084 | 11'h792: data = 8'b00000000; // 2085 | 11'h793: data = 8'b00000000; // 2086 | 11'h794: data = 8'b00000000; // 2087 | 11'h795: data = 8'b11000110; // ** ** 2088 | 11'h796: data = 8'b11000110; // ** ** 2089 | 11'h797: data = 8'b11000110; // ** ** 2090 | 11'h798: data = 8'b11000110; // ** ** 2091 | 11'h799: data = 8'b11000110; // ** ** 2092 | 11'h79a: data = 8'b11000110; // ** ** 2093 | 11'h79b: data = 8'b01111110; // ****** 2094 | 11'h79c: data = 8'b00000110; // ** 2095 | 11'h79d: data = 8'b00001100; // ** 2096 | 11'h79e: data = 8'b11111000; // ***** 2097 | 11'h79f: data = 8'b00000000; // 2098 | //code x7a 2099 | 11'h7a0: data = 8'b00000000; // 2100 | 11'h7a1: data = 8'b00000000; // 2101 | 11'h7a2: data = 8'b00000000; // 2102 | 11'h7a3: data = 8'b00000000; // 2103 | 11'h7a4: data = 8'b00000000; // 2104 | 11'h7a5: data = 8'b11111110; // ******* 2105 | 11'h7a6: data = 8'b11001100; // ** ** 2106 | 11'h7a7: data = 8'b00011000; // ** 2107 | 11'h7a8: data = 8'b00110000; // ** 2108 | 11'h7a9: data = 8'b01100000; // ** 2109 | 11'h7aa: data = 8'b11000110; // ** ** 2110 | 11'h7ab: data = 8'b11111110; // ******* 2111 | 11'h7ac: data = 8'b00000000; // 2112 | 11'h7ad: data = 8'b00000000; // 2113 | 11'h7ae: data = 8'b00000000; // 2114 | 11'h7af: data = 8'b00000000; // 2115 | //code x7b 2116 | 11'h7b0: data = 8'b00000000; // 2117 | 11'h7b1: data = 8'b00000000; // 2118 | 11'h7b2: data = 8'b00001110; // *** 2119 | 11'h7b3: data = 8'b00011000; // ** 2120 | 11'h7b4: data = 8'b00011000; // ** 2121 | 11'h7b5: data = 8'b00011000; // ** 2122 | 11'h7b6: data = 8'b01110000; // *** 2123 | 11'h7b7: data = 8'b00011000; // ** 2124 | 11'h7b8: data = 8'b00011000; // ** 2125 | 11'h7b9: data = 8'b00011000; // ** 2126 | 11'h7ba: data = 8'b00011000; // ** 2127 | 11'h7bb: data = 8'b00001110; // *** 2128 | 11'h7bc: data = 8'b00000000; // 2129 | 11'h7bd: data = 8'b00000000; // 2130 | 11'h7be: data = 8'b00000000; // 2131 | 11'h7bf: data = 8'b00000000; // 2132 | //code x7c 2133 | 11'h7c0: data = 8'b00000000; // 2134 | 11'h7c1: data = 8'b00000000; // 2135 | 11'h7c2: data = 8'b00011000; // ** 2136 | 11'h7c3: data = 8'b00011000; // ** 2137 | 11'h7c4: data = 8'b00011000; // ** 2138 | 11'h7c5: data = 8'b00011000; // ** 2139 | 11'h7c6: data = 8'b00000000; // 2140 | 11'h7c7: data = 8'b00011000; // ** 2141 | 11'h7c8: data = 8'b00011000; // ** 2142 | 11'h7c9: data = 8'b00011000; // ** 2143 | 11'h7ca: data = 8'b00011000; // ** 2144 | 11'h7cb: data = 8'b00011000; // ** 2145 | 11'h7cc: data = 8'b00000000; // 2146 | 11'h7cd: data = 8'b00000000; // 2147 | 11'h7ce: data = 8'b00000000; // 2148 | 11'h7cf: data = 8'b00000000; // 2149 | //code x7d 2150 | 11'h7d0: data = 8'b00000000; // 2151 | 11'h7d1: data = 8'b00000000; // 2152 | 11'h7d2: data = 8'b01110000; // *** 2153 | 11'h7d3: data = 8'b00011000; // ** 2154 | 11'h7d4: data = 8'b00011000; // ** 2155 | 11'h7d5: data = 8'b00011000; // ** 2156 | 11'h7d6: data = 8'b00001110; // *** 2157 | 11'h7d7: data = 8'b00011000; // ** 2158 | 11'h7d8: data = 8'b00011000; // ** 2159 | 11'h7d9: data = 8'b00011000; // ** 2160 | 11'h7da: data = 8'b00011000; // ** 2161 | 11'h7db: data = 8'b01110000; // *** 2162 | 11'h7dc: data = 8'b00000000; // 2163 | 11'h7dd: data = 8'b00000000; // 2164 | 11'h7de: data = 8'b00000000; // 2165 | 11'h7df: data = 8'b00000000; // 2166 | //code x7e 2167 | 11'h7e0: data = 8'b00000000; // 2168 | 11'h7e1: data = 8'b00000000; // 2169 | 11'h7e2: data = 8'b01110110; // *** ** 2170 | 11'h7e3: data = 8'b11011100; // ** *** 2171 | 11'h7e4: data = 8'b00000000; // 2172 | 11'h7e5: data = 8'b00000000; // 2173 | 11'h7e6: data = 8'b00000000; // 2174 | 11'h7e7: data = 8'b00000000; // 2175 | 11'h7e8: data = 8'b00000000; // 2176 | 11'h7e9: data = 8'b00000000; // 2177 | 11'h7ea: data = 8'b00000000; // 2178 | 11'h7eb: data = 8'b00000000; // 2179 | 11'h7ec: data = 8'b00000000; // 2180 | 11'h7ed: data = 8'b00000000; // 2181 | 11'h7ee: data = 8'b00000000; // 2182 | 11'h7ef: data = 8'b00000000; // 2183 | //code x7f 2184 | 11'h7f0: data = 8'b00000000; // 2185 | 11'h7f1: data = 8'b00000000; // 2186 | 11'h7f2: data = 8'b00000000; // 2187 | 11'h7f3: data = 8'b00000000; // 2188 | 11'h7f4: data = 8'b00010000; // * 2189 | 11'h7f5: data = 8'b00111000; // *** 2190 | 11'h7f6: data = 8'b01101100; // ** ** 2191 | 11'h7f7: data = 8'b11000110; // ** ** 2192 | 11'h7f8: data = 8'b11000110; // ** ** 2193 | 11'h7f9: data = 8'b11000110; // ** ** 2194 | 11'h7fa: data = 8'b11111110; // ******* 2195 | 11'h7fb: data = 8'b00000000; // 2196 | 11'h7fc: data = 8'b00000000; // 2197 | 11'h7fd: data = 8'b00000000; // 2198 | 11'h7fe: data = 8'b00000000; // 2199 | 11'h7ff: data = 8'b00000000; // 2200 | endcase 2201 | 2202 | endmodule 2203 | 2204 | 2205 | 2206 | 2207 | 2208 | 2209 | 2210 | 2211 | 2212 | 2213 | 2214 | 2215 | 2216 | 2217 | 2218 | 2219 | 2220 | 2221 | 2222 | 2223 | 2224 | 2225 | 2226 | 2227 | 2228 | 2229 | 2230 | 2231 | 2232 | 2233 | 2234 | 2235 | 2236 | 2237 | 2238 | 2239 | 2240 | 2241 | 2242 | 2243 | 2244 | 2245 | 2246 | 2247 | 2248 | 2249 | 2250 | 2251 | 2252 | 2253 | 2254 | 2255 | 2256 | 2257 | 2258 | 2259 | 2260 | 2261 | 2262 | 2263 | 2264 | 2265 | 2266 | 2267 | 2268 | 2269 | 2270 | 2271 | 2272 | 2273 | 2274 | 2275 | 2276 | 2277 | 2278 | 2279 | 2280 | 2281 | 2282 | 2283 | 2284 | 2285 | 2286 | 2287 | 2288 | 2289 | 2290 | 2291 | 2292 | 2293 | 2294 | 2295 | 2296 | 2297 | 2298 | 2299 | 2300 | 2301 | 2302 | 2303 | 2304 | 2305 | 2306 | 2307 | 2308 | 2309 | 2310 | 2311 | 2312 | 2313 | 2314 | 2315 | 2316 | 2317 | 2318 | 2319 | 2320 | 2321 | 2322 | 2323 | 2324 | 2325 | 2326 | 2327 | 2328 | 2329 | 2330 | 2331 | 2332 | 2333 | 2334 | 2335 | 2336 | 2337 | 2338 | 2339 | 2340 | 2341 | 2342 | 2343 | 2344 | 2345 | 2346 | 2347 | 2348 | 2349 | 2350 | 2351 | 2352 | 2353 | 2354 | 2355 | 2356 | 2357 | 2358 | 2359 | 2360 | 2361 | 2362 | 2363 | 2364 | 2365 | 2366 | 2367 | 2368 | 2369 | 2370 | 2371 | 2372 | 2373 | 2374 | 2375 | 2376 | 2377 | 2378 | 2379 | 2380 | 2381 | 2382 | 2383 | 2384 | 2385 | 2386 | 2387 | 2388 | 2389 | 2390 | 2391 | 2392 | 2393 | 2394 | 2395 | 2396 | 2397 | 2398 | 2399 | 2400 | 2401 | 2402 | 2403 | 2404 | 2405 | 2406 | 2407 | 2408 | 2409 | 2410 | 2411 | 2412 | 2413 | 2414 | 2415 | 2416 | 2417 | 2418 | 2419 | 2420 | 2421 | 2422 | 2423 | 2424 | 2425 | 2426 | 2427 | 2428 | 2429 | 2430 | 2431 | 2432 | 2433 | 2434 | 2435 | 2436 | 2437 | 2438 | 2439 | 2440 | 2441 | 2442 | 2443 | 2444 | 2445 | 2446 | 2447 | 2448 | 2449 | 2450 | 2451 | 2452 | 2453 | 2454 | 2455 | 2456 | 2457 | 2458 | 2459 | 2460 | 2461 | 2462 | 2463 | 2464 | 2465 | 2466 | 2467 | 2468 | 2469 | 2470 | 2471 | 2472 | 2473 | 2474 | 2475 | 2476 | 2477 | 2478 | 2479 | 2480 | 2481 | 2482 | 2483 | 2484 | 2485 | 2486 | 2487 | 2488 | 2489 | 2490 | 2491 | 2492 | 2493 | 2494 | 2495 | 2496 | 2497 | 2498 | 2499 | 2500 | 2501 | 2502 | 2503 | 2504 | 2505 | 2506 | 2507 | 2508 | 2509 | 2510 | 2511 | 2512 | 2513 | 2514 | 2515 | 2516 | 2517 | 2518 | 2519 | 2520 | 2521 | 2522 | 2523 | 2524 | 2525 | 2526 | 2527 | 2528 | 2529 | 2530 | 2531 | 2532 | 2533 | 2534 | 2535 | 2536 | 2537 | 2538 | 2539 | 2540 | 2541 | 2542 | 2543 | 2544 | 2545 | 2546 | 2547 | 2548 | 2549 | 2550 | 2551 | 2552 | 2553 | 2554 | 2555 | 2556 | 2557 | 2558 | 2559 | 2560 | 2561 | 2562 | 2563 | 2564 | 2565 | 2566 | 2567 | 2568 | 2569 | 2570 | 2571 | 2572 | 2573 | 2574 | 2575 | 2576 | 2577 | 2578 | 2579 | 2580 | 2581 | 2582 | 2583 | 2584 | 2585 | 2586 | 2587 | 2588 | 2589 | 2590 | 2591 | 2592 | 2593 | 2594 | 2595 | 2596 | 2597 | 2598 | 2599 | 2600 | 2601 | 2602 | 2603 | 2604 | 2605 | 2606 | 2607 | 2608 | 2609 | 2610 | 2611 | 2612 | 2613 | 2614 | 2615 | 2616 | 2617 | 2618 | 2619 | 2620 | 2621 | 2622 | 2623 | 2624 | 2625 | 2626 | 2627 | 2628 | 2629 | 2630 | 2631 | 2632 | 2633 | 2634 | 2635 | 2636 | 2637 | 2638 | 2639 | 2640 | 2641 | 2642 | 2643 | 2644 | 2645 | 2646 | 2647 | 2648 | 2649 | 2650 | 2651 | 2652 | 2653 | 2654 | 2655 | 2656 | 2657 | 2658 | 2659 | 2660 | 2661 | 2662 | 2663 | 2664 | 2665 | 2666 | 2667 | 2668 | 2669 | 2670 | 2671 | 2672 | 2673 | 2674 | 2675 | 2676 | 2677 | 2678 | 2679 | 2680 | 2681 | 2682 | 2683 | 2684 | 2685 | 2686 | 2687 | 2688 | 2689 | 2690 | 2691 | 2692 | 2693 | 2694 | 2695 | 2696 | 2697 | 2698 | 2699 | 2700 | 2701 | 2702 | 2703 | 2704 | 2705 | 2706 | 2707 | 2708 | 2709 | 2710 | 2711 | 2712 | 2713 | 2714 | 2715 | 2716 | 2717 | 2718 | 2719 | 2720 | 2721 | 2722 | 2723 | 2724 | 2725 | 2726 | 2727 | 2728 | 2729 | 2730 | 2731 | 2732 | 2733 | 2734 | 2735 | 2736 | 2737 | 2738 | 2739 | 2740 | 2741 | 2742 | 2743 | 2744 | 2745 | 2746 | 2747 | 2748 | 2749 | 2750 | 2751 | 2752 | 2753 | 2754 | 2755 | 2756 | 2757 | 2758 | 2759 | 2760 | 2761 | 2762 | 2763 | 2764 | 2765 | 2766 | 2767 | 2768 | 2769 | 2770 | 2771 | 2772 | 2773 | 2774 | 2775 | 2776 | 2777 | 2778 | 2779 | 2780 | 2781 | 2782 | 2783 | 2784 | 2785 | 2786 | 2787 | 2788 | 2789 | 2790 | 2791 | 2792 | 2793 | 2794 | 2795 | 2796 | 2797 | 2798 | 2799 | 2800 | 2801 | 2802 | 2803 | 2804 | 2805 | 2806 | 2807 | 2808 | 2809 | 2810 | 2811 | 2812 | 2813 | 2814 | 2815 | 2816 | 2817 | 2818 | 2819 | 2820 | 2821 | 2822 | 2823 | 2824 | 2825 | 2826 | 2827 | 2828 | 2829 | 2830 | 2831 | 2832 | 2833 | 2834 | 2835 | 2836 | 2837 | 2838 | 2839 | 2840 | 2841 | 2842 | 2843 | 2844 | 2845 | 2846 | 2847 | 2848 | 2849 | 2850 | 2851 | 2852 | 2853 | 2854 | 2855 | 2856 | 2857 | 2858 | 2859 | 2860 | 2861 | 2862 | 2863 | 2864 | 2865 | 2866 | 2867 | 2868 | 2869 | 2870 | 2871 | 2872 | 2873 | 2874 | 2875 | 2876 | 2877 | 2878 | 2879 | 2880 | --------------------------------------------------------------------------------