├── LICENSE ├── PCIcore └── pcicore.sv ├── README.md ├── UARTcore └── uart.sv ├── bitstream └── pci2nano.sof ├── nios ├── .qsys_edit │ ├── filters.xml │ ├── nios.xml │ └── preferences.xml ├── nios.cmp ├── nios.html ├── nios.qsys ├── nios.sopcinfo ├── nios │ └── synthesis │ │ ├── nios.debuginfo │ │ ├── nios.qip │ │ ├── nios.v │ │ └── submodules │ │ ├── altera_avalon_dc_fifo.v │ │ ├── altera_avalon_mm_clock_crossing_bridge.v │ │ ├── altera_avalon_sc_fifo.v │ │ ├── altera_dcfifo_synchronizer_bundle.v │ │ ├── altera_merlin_arbitrator.sv │ │ ├── altera_merlin_burst_uncompressor.sv │ │ ├── altera_merlin_master_agent.sv │ │ ├── altera_merlin_master_translator.sv │ │ ├── altera_merlin_slave_agent.sv │ │ ├── altera_merlin_slave_translator.sv │ │ ├── altera_reset_controller.sdc │ │ ├── altera_reset_controller.v │ │ ├── altera_reset_synchronizer.v │ │ ├── nios_irq_mapper.sv │ │ ├── nios_mm_interconnect_0.v │ │ ├── nios_mm_interconnect_0_addr_router.sv │ │ ├── nios_mm_interconnect_0_addr_router_001.sv │ │ ├── nios_mm_interconnect_0_cmd_xbar_demux.sv │ │ ├── nios_mm_interconnect_0_cmd_xbar_demux_001.sv │ │ ├── nios_mm_interconnect_0_cmd_xbar_mux.sv │ │ ├── nios_mm_interconnect_0_cmd_xbar_mux_002.sv │ │ ├── nios_mm_interconnect_0_id_router.sv │ │ ├── nios_mm_interconnect_0_id_router_002.sv │ │ ├── nios_mm_interconnect_0_rsp_xbar_demux_002.sv │ │ ├── nios_mm_interconnect_0_rsp_xbar_mux.sv │ │ ├── nios_mm_interconnect_0_rsp_xbar_mux_001.sv │ │ ├── nios_nios2_qsys_0.sdc │ │ ├── nios_nios2_qsys_0.v │ │ ├── nios_nios2_qsys_0_jtag_debug_module_sysclk.v │ │ ├── nios_nios2_qsys_0_jtag_debug_module_tck.v │ │ ├── nios_nios2_qsys_0_jtag_debug_module_wrapper.v │ │ ├── nios_nios2_qsys_0_oci_test_bench.v │ │ ├── nios_nios2_qsys_0_ociram_default_contents.mif │ │ ├── nios_nios2_qsys_0_rf_ram_a.mif │ │ ├── nios_nios2_qsys_0_rf_ram_b.mif │ │ ├── nios_nios2_qsys_0_test_bench.v │ │ ├── nios_onchip_memory2_0.hex │ │ └── nios_onchip_memory2_0.v ├── nios_generation.rpt └── software │ ├── pci_uart_test │ ├── .cproject │ ├── .project │ ├── .settings │ │ └── org.eclipse.core.resources.prefs │ ├── Makefile │ ├── create-this-app │ ├── hello_world_small.c │ ├── mem_init │ │ ├── hdl_sim │ │ │ ├── nios_onchip_memory2_0.dat │ │ │ └── nios_onchip_memory2_0.sym │ │ ├── meminit.qip │ │ ├── meminit.spd │ │ └── nios_onchip_memory2_0.hex │ ├── obj │ │ └── default │ │ │ ├── hello_world_small.d │ │ │ └── hello_world_small.o │ ├── pci_uart_test.elf │ ├── pci_uart_test.map │ ├── pci_uart_test.objdump │ ├── readme.txt │ └── system │ │ └── template.xml │ └── pci_uart_test_bsp │ ├── .cproject │ ├── .project │ ├── HAL │ ├── inc │ │ ├── alt_types.h │ │ ├── altera_nios2_qsys_irq.h │ │ ├── io.h │ │ ├── nios2.h │ │ ├── os │ │ │ ├── alt_flag.h │ │ │ ├── alt_hooks.h │ │ │ ├── alt_sem.h │ │ │ └── alt_syscall.h │ │ ├── priv │ │ │ ├── alt_alarm.h │ │ │ ├── alt_busy_sleep.h │ │ │ ├── alt_dev_llist.h │ │ │ ├── alt_exception_handler_registry.h │ │ │ ├── alt_file.h │ │ │ ├── alt_iic_isr_register.h │ │ │ ├── alt_irq_table.h │ │ │ ├── alt_legacy_irq.h │ │ │ ├── alt_no_error.h │ │ │ └── nios2_gmon_data.h │ │ └── sys │ │ │ ├── alt_alarm.h │ │ │ ├── alt_cache.h │ │ │ ├── alt_debug.h │ │ │ ├── alt_dev.h │ │ │ ├── alt_dma.h │ │ │ ├── alt_dma_dev.h │ │ │ ├── alt_driver.h │ │ │ ├── alt_errno.h │ │ │ ├── alt_exceptions.h │ │ │ ├── alt_flash.h │ │ │ ├── alt_flash_dev.h │ │ │ ├── alt_flash_types.h │ │ │ ├── alt_irq.h │ │ │ ├── alt_irq_entry.h │ │ │ ├── alt_license_reminder_ucosii.h │ │ │ ├── alt_llist.h │ │ │ ├── alt_load.h │ │ │ ├── alt_log_printf.h │ │ │ ├── alt_set_args.h │ │ │ ├── alt_sim.h │ │ │ ├── alt_stack.h │ │ │ ├── alt_stdio.h │ │ │ ├── alt_sys_init.h │ │ │ ├── alt_sys_wrappers.h │ │ │ ├── alt_timestamp.h │ │ │ ├── alt_warning.h │ │ │ ├── ioctl.h │ │ │ └── termios.h │ └── src │ │ ├── alt_alarm_start.c │ │ ├── alt_busy_sleep.c │ │ ├── alt_close.c │ │ ├── alt_dcache_flush.c │ │ ├── alt_dcache_flush_all.c │ │ ├── alt_dcache_flush_no_writeback.c │ │ ├── alt_dev.c │ │ ├── alt_dev_llist_insert.c │ │ ├── alt_dma_rxchan_open.c │ │ ├── alt_dma_txchan_open.c │ │ ├── alt_do_ctors.c │ │ ├── alt_do_dtors.c │ │ ├── alt_ecc_fatal_entry.S │ │ ├── alt_ecc_fatal_exception.c │ │ ├── alt_env_lock.c │ │ ├── alt_environ.c │ │ ├── alt_errno.c │ │ ├── alt_exception_entry.S │ │ ├── alt_exception_muldiv.S │ │ ├── alt_exception_trap.S │ │ ├── alt_execve.c │ │ ├── alt_exit.c │ │ ├── alt_fcntl.c │ │ ├── alt_fd_lock.c │ │ ├── alt_fd_unlock.c │ │ ├── alt_find_dev.c │ │ ├── alt_find_file.c │ │ ├── alt_flash_dev.c │ │ ├── alt_fork.c │ │ ├── alt_fs_reg.c │ │ ├── alt_fstat.c │ │ ├── alt_get_fd.c │ │ ├── alt_getchar.c │ │ ├── alt_getpid.c │ │ ├── alt_gettod.c │ │ ├── alt_gmon.c │ │ ├── alt_icache_flush.c │ │ ├── alt_icache_flush_all.c │ │ ├── alt_iic.c │ │ ├── alt_iic_isr_register.c │ │ ├── alt_instruction_exception_entry.c │ │ ├── alt_instruction_exception_register.c │ │ ├── alt_io_redirect.c │ │ ├── alt_ioctl.c │ │ ├── alt_irq_entry.S │ │ ├── alt_irq_handler.c │ │ ├── alt_irq_register.c │ │ ├── alt_irq_vars.c │ │ ├── alt_isatty.c │ │ ├── alt_kill.c │ │ ├── alt_link.c │ │ ├── alt_load.c │ │ ├── alt_log_macro.S │ │ ├── alt_log_printf.c │ │ ├── alt_lseek.c │ │ ├── alt_main.c │ │ ├── alt_malloc_lock.c │ │ ├── alt_mcount.S │ │ ├── alt_open.c │ │ ├── alt_printf.c │ │ ├── alt_putchar.c │ │ ├── alt_putstr.c │ │ ├── alt_read.c │ │ ├── alt_release_fd.c │ │ ├── alt_remap_cached.c │ │ ├── alt_remap_uncached.c │ │ ├── alt_rename.c │ │ ├── alt_sbrk.c │ │ ├── alt_settod.c │ │ ├── alt_software_exception.S │ │ ├── alt_stat.c │ │ ├── alt_tick.c │ │ ├── alt_times.c │ │ ├── alt_uncached_free.c │ │ ├── alt_uncached_malloc.c │ │ ├── alt_unlink.c │ │ ├── alt_usleep.c │ │ ├── alt_wait.c │ │ ├── alt_write.c │ │ ├── altera_nios2_qsys_irq.c │ │ └── crt0.S │ ├── Makefile │ ├── alt_sys_init.c │ ├── create-this-bsp │ ├── libhal_bsp.a │ ├── linker.h │ ├── linker.x │ ├── mem_init.mk │ ├── memory.gdb │ ├── obj │ ├── HAL │ │ └── src │ │ │ ├── alt_alarm_start.d │ │ │ ├── alt_alarm_start.o │ │ │ ├── alt_busy_sleep.d │ │ │ ├── alt_busy_sleep.o │ │ │ ├── alt_close.d │ │ │ ├── alt_close.o │ │ │ ├── alt_dcache_flush.d │ │ │ ├── alt_dcache_flush.o │ │ │ ├── alt_dcache_flush_all.d │ │ │ ├── alt_dcache_flush_all.o │ │ │ ├── alt_dcache_flush_no_writeback.d │ │ │ ├── alt_dcache_flush_no_writeback.o │ │ │ ├── alt_dev.d │ │ │ ├── alt_dev.o │ │ │ ├── alt_dev_llist_insert.d │ │ │ ├── alt_dev_llist_insert.o │ │ │ ├── alt_dma_rxchan_open.d │ │ │ ├── alt_dma_rxchan_open.o │ │ │ ├── alt_dma_txchan_open.d │ │ │ ├── alt_dma_txchan_open.o │ │ │ ├── alt_do_ctors.d │ │ │ ├── alt_do_ctors.o │ │ │ ├── alt_do_dtors.d │ │ │ ├── alt_do_dtors.o │ │ │ ├── alt_ecc_fatal_entry.d │ │ │ ├── alt_ecc_fatal_entry.o │ │ │ ├── alt_ecc_fatal_exception.d │ │ │ ├── alt_ecc_fatal_exception.o │ │ │ ├── alt_env_lock.d │ │ │ ├── alt_env_lock.o │ │ │ ├── alt_environ.d │ │ │ ├── alt_environ.o │ │ │ ├── alt_errno.d │ │ │ ├── alt_errno.o │ │ │ ├── alt_exception_entry.d │ │ │ ├── alt_exception_entry.o │ │ │ ├── alt_exception_muldiv.d │ │ │ ├── alt_exception_muldiv.o │ │ │ ├── alt_exception_trap.d │ │ │ ├── alt_exception_trap.o │ │ │ ├── alt_execve.d │ │ │ ├── alt_execve.o │ │ │ ├── alt_exit.d │ │ │ ├── alt_exit.o │ │ │ ├── alt_fcntl.d │ │ │ ├── alt_fcntl.o │ │ │ ├── alt_fd_lock.d │ │ │ ├── alt_fd_lock.o │ │ │ ├── alt_fd_unlock.d │ │ │ ├── alt_fd_unlock.o │ │ │ ├── alt_find_dev.d │ │ │ ├── alt_find_dev.o │ │ │ ├── alt_find_file.d │ │ │ ├── alt_find_file.o │ │ │ ├── alt_flash_dev.d │ │ │ ├── alt_flash_dev.o │ │ │ ├── alt_fork.d │ │ │ ├── alt_fork.o │ │ │ ├── alt_fs_reg.d │ │ │ ├── alt_fs_reg.o │ │ │ ├── alt_fstat.d │ │ │ ├── alt_fstat.o │ │ │ ├── alt_get_fd.d │ │ │ ├── alt_get_fd.o │ │ │ ├── alt_getchar.d │ │ │ ├── alt_getchar.o │ │ │ ├── alt_getpid.d │ │ │ ├── alt_getpid.o │ │ │ ├── alt_gettod.d │ │ │ ├── alt_gettod.o │ │ │ ├── alt_gmon.d │ │ │ ├── alt_gmon.o │ │ │ ├── alt_icache_flush.d │ │ │ ├── alt_icache_flush.o │ │ │ ├── alt_icache_flush_all.d │ │ │ ├── alt_icache_flush_all.o │ │ │ ├── alt_iic.d │ │ │ ├── alt_iic.o │ │ │ ├── alt_iic_isr_register.d │ │ │ ├── alt_iic_isr_register.o │ │ │ ├── alt_instruction_exception_entry.d │ │ │ ├── alt_instruction_exception_entry.o │ │ │ ├── alt_instruction_exception_register.d │ │ │ ├── alt_instruction_exception_register.o │ │ │ ├── alt_io_redirect.d │ │ │ ├── alt_io_redirect.o │ │ │ ├── alt_ioctl.d │ │ │ ├── alt_ioctl.o │ │ │ ├── alt_irq_entry.d │ │ │ ├── alt_irq_entry.o │ │ │ ├── alt_irq_handler.d │ │ │ ├── alt_irq_handler.o │ │ │ ├── alt_irq_register.d │ │ │ ├── alt_irq_register.o │ │ │ ├── alt_irq_vars.d │ │ │ ├── alt_irq_vars.o │ │ │ ├── alt_isatty.d │ │ │ ├── alt_isatty.o │ │ │ ├── alt_kill.d │ │ │ ├── alt_kill.o │ │ │ ├── alt_link.d │ │ │ ├── alt_link.o │ │ │ ├── alt_load.d │ │ │ ├── alt_load.o │ │ │ ├── alt_log_macro.d │ │ │ ├── alt_log_macro.o │ │ │ ├── alt_log_printf.d │ │ │ ├── alt_log_printf.o │ │ │ ├── alt_lseek.d │ │ │ ├── alt_lseek.o │ │ │ ├── alt_main.d │ │ │ ├── alt_main.o │ │ │ ├── alt_malloc_lock.d │ │ │ ├── alt_malloc_lock.o │ │ │ ├── alt_mcount.d │ │ │ ├── alt_mcount.o │ │ │ ├── alt_open.d │ │ │ ├── alt_open.o │ │ │ ├── alt_printf.d │ │ │ ├── alt_printf.o │ │ │ ├── alt_putchar.d │ │ │ ├── alt_putchar.o │ │ │ ├── alt_putstr.d │ │ │ ├── alt_putstr.o │ │ │ ├── alt_read.d │ │ │ ├── alt_read.o │ │ │ ├── alt_release_fd.d │ │ │ ├── alt_release_fd.o │ │ │ ├── alt_remap_cached.d │ │ │ ├── alt_remap_cached.o │ │ │ ├── alt_remap_uncached.d │ │ │ ├── alt_remap_uncached.o │ │ │ ├── alt_rename.d │ │ │ ├── alt_rename.o │ │ │ ├── alt_sbrk.d │ │ │ ├── alt_sbrk.o │ │ │ ├── alt_settod.d │ │ │ ├── alt_settod.o │ │ │ ├── alt_software_exception.d │ │ │ ├── alt_software_exception.o │ │ │ ├── alt_stat.d │ │ │ ├── alt_stat.o │ │ │ ├── alt_tick.d │ │ │ ├── alt_tick.o │ │ │ ├── alt_times.d │ │ │ ├── alt_times.o │ │ │ ├── alt_uncached_free.d │ │ │ ├── alt_uncached_free.o │ │ │ ├── alt_uncached_malloc.d │ │ │ ├── alt_uncached_malloc.o │ │ │ ├── alt_unlink.d │ │ │ ├── alt_unlink.o │ │ │ ├── alt_usleep.d │ │ │ ├── alt_usleep.o │ │ │ ├── alt_wait.d │ │ │ ├── alt_wait.o │ │ │ ├── alt_write.d │ │ │ ├── alt_write.o │ │ │ ├── altera_nios2_qsys_irq.d │ │ │ ├── altera_nios2_qsys_irq.o │ │ │ ├── crt0.d │ │ │ └── crt0.o │ ├── alt_sys_init.d │ └── alt_sys_init.o │ ├── public.mk │ ├── settings.bsp │ ├── summary.html │ └── system.h ├── pci2nano.qpf ├── pci2nano.qsf ├── pci2nano.sdc └── pci2nano.sv /bitstream/pci2nano.sof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/bitstream/pci2nano.sof -------------------------------------------------------------------------------- /nios/.qsys_edit/filters.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | -------------------------------------------------------------------------------- /nios/.qsys_edit/preferences.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 20 | 21 | 22 | -------------------------------------------------------------------------------- /nios/nios.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/nios.cmp -------------------------------------------------------------------------------- /nios/nios/synthesis/submodules/altera_dcfifo_synchronizer_bundle.v: -------------------------------------------------------------------------------- 1 | // $File: //acds/rel/13.1/ip/sopc/components/altera_avalon_dc_fifo/altera_dcfifo_synchronizer_bundle.v $ 2 | // $Revision: #1 $ 3 | // $Date: 2013/08/11 $ 4 | // $Author: swbranch $ 5 | //------------------------------------------------------------------------------- 6 | 7 | `timescale 1 ns / 1 ns 8 | module altera_dcfifo_synchronizer_bundle( 9 | clk, 10 | reset_n, 11 | din, 12 | dout 13 | ); 14 | parameter WIDTH = 1; 15 | parameter DEPTH = 3; 16 | 17 | input clk; 18 | input reset_n; 19 | input [WIDTH-1:0] din; 20 | output [WIDTH-1:0] dout; 21 | 22 | genvar i; 23 | 24 | generate 25 | for (i=0; i 0} { 28 | set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr] 29 | } 30 | 31 | if {$clrn_counter > 0} { 32 | set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn] 33 | } 34 | -------------------------------------------------------------------------------- /nios/nios/synthesis/submodules/nios_irq_mapper.sv: -------------------------------------------------------------------------------- 1 | // (C) 2001-2013 Altera Corporation. All rights reserved. 2 | // Your use of Altera Corporation's design tools, logic functions and other 3 | // software and tools, and its AMPP partner logic functions, and any output 4 | // files any of the foregoing (including device programming or simulation 5 | // files), and any associated documentation or information are expressly subject 6 | // to the terms and conditions of the Altera Program License Subscription 7 | // Agreement, Altera MegaCore Function License Agreement, or other applicable 8 | // license agreement, including, without limitation, that your use is for the 9 | // sole purpose of programming logic devices manufactured by Altera and sold by 10 | // Altera or its authorized distributors. Please refer to the applicable 11 | // agreement for further details. 12 | 13 | 14 | // $Id: //acds/rel/13.1/ip/merlin/altera_irq_mapper/altera_irq_mapper.sv.terp#1 $ 15 | // $Revision: #1 $ 16 | // $Date: 2013/08/11 $ 17 | // $Author: swbranch $ 18 | 19 | // ------------------------------------------------------- 20 | // Altera IRQ Mapper 21 | // 22 | // Parameters 23 | // NUM_RCVRS : 0 24 | // SENDER_IRW_WIDTH : 32 25 | // IRQ_MAP : 26 | // 27 | // ------------------------------------------------------- 28 | 29 | `timescale 1 ns / 1 ns 30 | 31 | module nios_irq_mapper 32 | ( 33 | // ------------------- 34 | // Clock & Reset 35 | // ------------------- 36 | input clk, 37 | input reset, 38 | 39 | // ------------------- 40 | // IRQ Receivers 41 | // ------------------- 42 | 43 | // ------------------- 44 | // Command Source (Output) 45 | // ------------------- 46 | output reg [31 : 0] sender_irq 47 | ); 48 | 49 | initial sender_irq = 0; 50 | 51 | always @* begin 52 | sender_irq = 0; 53 | 54 | end 55 | 56 | endmodule 57 | 58 | 59 | -------------------------------------------------------------------------------- /nios/nios/synthesis/submodules/nios_mm_interconnect_0_cmd_xbar_mux_002.sv: -------------------------------------------------------------------------------- 1 | // (C) 2001-2013 Altera Corporation. All rights reserved. 2 | // Your use of Altera Corporation's design tools, logic functions and other 3 | // software and tools, and its AMPP partner logic functions, and any output 4 | // files any of the foregoing (including device programming or simulation 5 | // files), and any associated documentation or information are expressly subject 6 | // to the terms and conditions of the Altera Program License Subscription 7 | // Agreement, Altera MegaCore Function License Agreement, or other applicable 8 | // license agreement, including, without limitation, that your use is for the 9 | // sole purpose of programming logic devices manufactured by Altera and sold by 10 | // Altera or its authorized distributors. Please refer to the applicable 11 | // agreement for further details. 12 | 13 | 14 | // $Id: //acds/rel/13.1/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $ 15 | // $Revision: #1 $ 16 | // $Date: 2013/08/11 $ 17 | // $Author: swbranch $ 18 | 19 | // ------------------------------------------ 20 | // Merlin Multiplexer 21 | // ------------------------------------------ 22 | 23 | `timescale 1 ns / 1 ns 24 | 25 | 26 | // ------------------------------------------ 27 | // Generation parameters: 28 | // output_name: nios_mm_interconnect_0_cmd_xbar_mux_002 29 | // NUM_INPUTS: 1 30 | // ARBITRATION_SHARES: 1 31 | // ARBITRATION_SCHEME "round-robin" 32 | // PIPELINE_ARB: 1 33 | // PKT_TRANS_LOCK: 56 (arbitration locking enabled) 34 | // ST_DATA_W: 90 35 | // ST_CHANNEL_W: 3 36 | // ------------------------------------------ 37 | 38 | module nios_mm_interconnect_0_cmd_xbar_mux_002 39 | ( 40 | // ---------------------- 41 | // Sinks 42 | // ---------------------- 43 | input sink0_valid, 44 | input [90-1 : 0] sink0_data, 45 | input [3-1: 0] sink0_channel, 46 | input sink0_startofpacket, 47 | input sink0_endofpacket, 48 | output sink0_ready, 49 | 50 | 51 | // ---------------------- 52 | // Source 53 | // ---------------------- 54 | output src_valid, 55 | output [90-1 : 0] src_data, 56 | output [3-1 : 0] src_channel, 57 | output src_startofpacket, 58 | output src_endofpacket, 59 | input src_ready, 60 | 61 | // ---------------------- 62 | // Clock & Reset 63 | // ---------------------- 64 | input clk, 65 | input reset 66 | ); 67 | localparam PAYLOAD_W = 90 + 3 + 2; 68 | localparam NUM_INPUTS = 1; 69 | localparam SHARE_COUNTER_W = 1; 70 | localparam PIPELINE_ARB = 1; 71 | localparam ST_DATA_W = 90; 72 | localparam ST_CHANNEL_W = 3; 73 | localparam PKT_TRANS_LOCK = 56; 74 | 75 | assign src_valid = sink0_valid; 76 | assign src_data = sink0_data; 77 | assign src_channel = sink0_channel; 78 | assign src_startofpacket = sink0_startofpacket; 79 | assign src_endofpacket = sink0_endofpacket; 80 | assign sink0_ready = src_ready; 81 | endmodule 82 | 83 | 84 | 85 | -------------------------------------------------------------------------------- /nios/nios/synthesis/submodules/nios_nios2_qsys_0_oci_test_bench.v: -------------------------------------------------------------------------------- 1 | //Legal Notice: (C)2020 Altera Corporation. All rights reserved. Your 2 | //use of Altera Corporation's design tools, logic functions and other 3 | //software and tools, and its AMPP partner logic functions, and any 4 | //output files any of the foregoing (including device programming or 5 | //simulation files), and any associated documentation or information are 6 | //expressly subject to the terms and conditions of the Altera Program 7 | //License Subscription Agreement or other applicable license agreement, 8 | //including, without limitation, that your use is for the sole purpose 9 | //of programming logic devices manufactured by Altera and sold by Altera 10 | //or its authorized distributors. Please refer to the applicable 11 | //agreement for further details. 12 | 13 | // synthesis translate_off 14 | `timescale 1ns / 1ps 15 | // synthesis translate_on 16 | 17 | // turn off superfluous verilog processor warnings 18 | // altera message_level Level1 19 | // altera message_off 10034 10035 10036 10037 10230 10240 10030 20 | 21 | module nios_nios2_qsys_0_oci_test_bench ( 22 | // inputs: 23 | dct_buffer, 24 | dct_count, 25 | test_ending, 26 | test_has_ended 27 | ) 28 | ; 29 | 30 | input [ 29: 0] dct_buffer; 31 | input [ 3: 0] dct_count; 32 | input test_ending; 33 | input test_has_ended; 34 | 35 | 36 | endmodule 37 | 38 | -------------------------------------------------------------------------------- /nios/nios/synthesis/submodules/nios_nios2_qsys_0_rf_ram_a.mif: -------------------------------------------------------------------------------- 1 | WIDTH=32; 2 | DEPTH=32; 3 | 4 | ADDRESS_RADIX=HEX; 5 | DATA_RADIX=HEX; 6 | 7 | CONTENT BEGIN 8 | 9 | 00 : deadbeef; 10 | 01 : deadbeef; 11 | 02 : deadbeef; 12 | 03 : deadbeef; 13 | 04 : deadbeef; 14 | 05 : deadbeef; 15 | 06 : deadbeef; 16 | 07 : deadbeef; 17 | 08 : deadbeef; 18 | 09 : deadbeef; 19 | 0a : deadbeef; 20 | 0b : deadbeef; 21 | 0c : deadbeef; 22 | 0d : deadbeef; 23 | 0e : deadbeef; 24 | 0f : deadbeef; 25 | 10 : deadbeef; 26 | 11 : deadbeef; 27 | 12 : deadbeef; 28 | 13 : deadbeef; 29 | 14 : deadbeef; 30 | 15 : deadbeef; 31 | 16 : deadbeef; 32 | 17 : deadbeef; 33 | 18 : deadbeef; 34 | 19 : deadbeef; 35 | 1a : deadbeef; 36 | 1b : deadbeef; 37 | 1c : deadbeef; 38 | 1d : deadbeef; 39 | 1e : deadbeef; 40 | 1f : deadbeef; 41 | 42 | END; 43 | -------------------------------------------------------------------------------- /nios/nios/synthesis/submodules/nios_nios2_qsys_0_rf_ram_b.mif: -------------------------------------------------------------------------------- 1 | WIDTH=32; 2 | DEPTH=32; 3 | 4 | ADDRESS_RADIX=HEX; 5 | DATA_RADIX=HEX; 6 | 7 | CONTENT BEGIN 8 | 9 | 00 : deadbeef; 10 | 01 : deadbeef; 11 | 02 : deadbeef; 12 | 03 : deadbeef; 13 | 04 : deadbeef; 14 | 05 : deadbeef; 15 | 06 : deadbeef; 16 | 07 : deadbeef; 17 | 08 : deadbeef; 18 | 09 : deadbeef; 19 | 0a : deadbeef; 20 | 0b : deadbeef; 21 | 0c : deadbeef; 22 | 0d : deadbeef; 23 | 0e : deadbeef; 24 | 0f : deadbeef; 25 | 10 : deadbeef; 26 | 11 : deadbeef; 27 | 12 : deadbeef; 28 | 13 : deadbeef; 29 | 14 : deadbeef; 30 | 15 : deadbeef; 31 | 16 : deadbeef; 32 | 17 : deadbeef; 33 | 18 : deadbeef; 34 | 19 : deadbeef; 35 | 1a : deadbeef; 36 | 1b : deadbeef; 37 | 1c : deadbeef; 38 | 1d : deadbeef; 39 | 1e : deadbeef; 40 | 1f : deadbeef; 41 | 42 | END; 43 | -------------------------------------------------------------------------------- /nios/nios/synthesis/submodules/nios_onchip_memory2_0.v: -------------------------------------------------------------------------------- 1 | //Legal Notice: (C)2020 Altera Corporation. All rights reserved. Your 2 | //use of Altera Corporation's design tools, logic functions and other 3 | //software and tools, and its AMPP partner logic functions, and any 4 | //output files any of the foregoing (including device programming or 5 | //simulation files), and any associated documentation or information are 6 | //expressly subject to the terms and conditions of the Altera Program 7 | //License Subscription Agreement or other applicable license agreement, 8 | //including, without limitation, that your use is for the sole purpose 9 | //of programming logic devices manufactured by Altera and sold by Altera 10 | //or its authorized distributors. Please refer to the applicable 11 | //agreement for further details. 12 | 13 | // synthesis translate_off 14 | `timescale 1ns / 1ps 15 | // synthesis translate_on 16 | 17 | // turn off superfluous verilog processor warnings 18 | // altera message_level Level1 19 | // altera message_off 10034 10035 10036 10037 10230 10240 10030 20 | 21 | module nios_onchip_memory2_0 ( 22 | // inputs: 23 | address, 24 | byteenable, 25 | chipselect, 26 | clk, 27 | clken, 28 | reset, 29 | reset_req, 30 | write, 31 | writedata, 32 | 33 | // outputs: 34 | readdata 35 | ) 36 | ; 37 | 38 | parameter INIT_FILE = "nios_onchip_memory2_0.hex"; 39 | 40 | 41 | output [ 31: 0] readdata; 42 | input [ 11: 0] address; 43 | input [ 3: 0] byteenable; 44 | input chipselect; 45 | input clk; 46 | input clken; 47 | input reset; 48 | input reset_req; 49 | input write; 50 | input [ 31: 0] writedata; 51 | 52 | wire clocken0; 53 | wire [ 31: 0] readdata; 54 | wire wren; 55 | assign wren = chipselect & write; 56 | assign clocken0 = clken & ~reset_req; 57 | altsyncram the_altsyncram 58 | ( 59 | .address_a (address), 60 | .byteena_a (byteenable), 61 | .clock0 (clk), 62 | .clocken0 (clocken0), 63 | .data_a (writedata), 64 | .q_a (readdata), 65 | .wren_a (wren) 66 | ); 67 | 68 | defparam the_altsyncram.byte_size = 8, 69 | the_altsyncram.init_file = INIT_FILE, 70 | the_altsyncram.lpm_type = "altsyncram", 71 | the_altsyncram.maximum_depth = 4096, 72 | the_altsyncram.numwords_a = 4096, 73 | the_altsyncram.operation_mode = "SINGLE_PORT", 74 | the_altsyncram.outdata_reg_a = "UNREGISTERED", 75 | the_altsyncram.ram_block_type = "AUTO", 76 | the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE", 77 | the_altsyncram.width_a = 32, 78 | the_altsyncram.width_byteena_a = 4, 79 | the_altsyncram.widthad_a = 12; 80 | 81 | //s1, which is an e_avalon_slave 82 | //s2, which is an e_avalon_slave 83 | 84 | endmodule 85 | 86 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test/.project: -------------------------------------------------------------------------------- 1 | 2 | 3 | pci_uart_test 4 | 5 | 6 | 7 | 8 | 9 | com.altera.sbtgui.project.makefileBuilder 10 | 11 | 12 | 13 | 14 | com.altera.sbtgui.project.makefileBuilder 15 | 16 | 17 | 18 | 19 | org.eclipse.cdt.managedbuilder.core.genmakebuilder 20 | clean,full,incremental, 21 | 22 | 23 | ?name? 24 | 25 | 26 | 27 | org.eclipse.cdt.make.core.append_environment 28 | true 29 | 30 | 31 | org.eclipse.cdt.make.core.autoBuildTarget 32 | all 33 | 34 | 35 | org.eclipse.cdt.make.core.buildArguments 36 | 37 | 38 | 39 | org.eclipse.cdt.make.core.buildCommand 40 | make 41 | 42 | 43 | org.eclipse.cdt.make.core.buildLocation 44 | ${workspace_loc://pci_uart_test} 45 | 46 | 47 | org.eclipse.cdt.make.core.cleanBuildTarget 48 | clean 49 | 50 | 51 | org.eclipse.cdt.make.core.contents 52 | org.eclipse.cdt.make.core.activeConfigSettings 53 | 54 | 55 | org.eclipse.cdt.make.core.enableAutoBuild 56 | false 57 | 58 | 59 | org.eclipse.cdt.make.core.enableCleanBuild 60 | true 61 | 62 | 63 | org.eclipse.cdt.make.core.enableFullBuild 64 | true 65 | 66 | 67 | org.eclipse.cdt.make.core.fullBuildTarget 68 | all 69 | 70 | 71 | org.eclipse.cdt.make.core.stopOnError 72 | true 73 | 74 | 75 | org.eclipse.cdt.make.core.useDefaultBuildCmd 76 | true 77 | 78 | 79 | 80 | 81 | org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder 82 | full,incremental, 83 | 84 | 85 | 86 | 87 | 88 | org.eclipse.cdt.core.cnature 89 | org.eclipse.cdt.managedbuilder.core.managedBuildNature 90 | org.eclipse.cdt.managedbuilder.core.ScannerConfigNature 91 | org.eclipse.cdt.core.ccnature 92 | com.altera.sbtgui.project.SBTGUINature 93 | com.altera.sbtgui.project.SBTGUIAppNature 94 | com.altera.sbtgui.project.SBTGUIManagedNature 95 | 96 | 97 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test/.settings/org.eclipse.core.resources.prefs: -------------------------------------------------------------------------------- 1 | eclipse.preferences.version=1 2 | encoding/hello_world_small.c=UTF-8 3 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test/mem_init/hdl_sim/nios_onchip_memory2_0.sym: -------------------------------------------------------------------------------- 1 | 00004000 A __alt_mem_onchip_memory2_0 2 | 00004000 T __reset 3 | 0000400c T _exit 4 | 00004020 A __flash_exceptions_start 5 | 00004020 A __ram_exceptions_end 6 | 00004020 A __ram_exceptions_start 7 | 00004020 T _start 8 | 00004058 t alt_after_alt_main 9 | 0000405c T sendbyte 10 | 00004084 T sendmsg 11 | 000040c8 T recvcmd 12 | 0000418c T banner 13 | 00004244 T main 14 | 00004308 T memset 15 | 00004328 T strcmp 16 | 00004384 T alt_load 17 | 00004488 T alt_main 18 | 000044b4 T alt_irq_init 19 | 000044d4 T alt_sys_init 20 | 000044d8 T alt_dcache_flush_all 21 | 000044dc T alt_icache_flush_all 22 | 000044e0 T altera_nios2_qsys_irq_init 23 | 000044e8 A __flash_rodata_start 24 | 000044e8 A __ram_rodata_start 25 | 00005134 A __bss_start 26 | 00005134 A __flash_rwdata_start 27 | 00005134 A __ram_rodata_end 28 | 00005134 A __ram_rwdata_end 29 | 00005134 A __ram_rwdata_start 30 | 00005134 A _edata 31 | 00005134 B alt_envp 32 | 00005138 B alt_argv 33 | 0000513c B alt_argc 34 | 00005140 B buffer 35 | 00005240 A __alt_stack_base 36 | 00005240 A __bss_end 37 | 00005240 A _end 38 | 00005240 A end 39 | 00008000 A __alt_data_end 40 | 00008000 A __alt_stack_pointer 41 | 0000d134 A _gp 42 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test/mem_init/meminit.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name SEARCH_PATH $::quartus(qip_path) 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test/mem_init/meminit.spd: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test/obj/default/hello_world_small.d: -------------------------------------------------------------------------------- 1 | obj/default/hello_world_small.o: hello_world_small.c 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test/obj/default/hello_world_small.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test/obj/default/hello_world_small.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test/pci_uart_test.elf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test/pci_uart_test.elf -------------------------------------------------------------------------------- /nios/software/pci_uart_test/readme.txt: -------------------------------------------------------------------------------- 1 | Readme - Hello World Software Example 2 | 3 | DESCRIPTION: 4 | Simple program that prints "Hello from Nios II" 5 | 6 | The purpose of this example is to demonstrate the smallest possible Hello 7 | World application, using the Nios II HAL BSP. The memory footprint 8 | of this hosted application is intended to be less than 1 kbytes by default using a standard 9 | reference design. For a more fully featured Hello World application 10 | example, see the example titled "Hello World". 11 | 12 | The memory footprint of this example has been reduced by making the 13 | following changes to the normal "Hello World" example. 14 | Check in the Nios II Software Developers Handbook for a more complete 15 | description. 16 | 17 | In the SW Application project: 18 | - In the C/C++ Build page 19 | - Set the Optimization Level to -Os 20 | 21 | In BSP project: 22 | - In the C/C++ Build page 23 | 24 | - Set the Optimization Level to -Os 25 | 26 | - Define the preprocessor option ALT_NO_INSTRUCTION_EMULATION 27 | This removes software exception handling, which means that you cannot 28 | run code compiled for Nios II cpu with a hardware multiplier on a core 29 | without a the multiply unit. Check the Nios II Software Developers 30 | Manual for more details. 31 | 32 | - In the BSP: 33 | - Set Periodic system timer and Timestamp timer to none 34 | This prevents the automatic inclusion of the timer driver. 35 | 36 | - Set Max file descriptors to 4 37 | This reduces the size of the file handle pool. 38 | 39 | - Uncheck Clean exit (flush buffers) 40 | This removes the call to exit, and when main is exitted instead of 41 | calling exit the software will just spin in a loop. 42 | 43 | - Check Small C library 44 | This uses a reduced functionality C library, which lacks 45 | support for buffering, file IO, floating point and getch(), etc. 46 | Check the Nios II Software Developers Manual for a complete list. 47 | 48 | - Check Reduced device drivers 49 | This uses reduced functionality drivers if they're available. For the 50 | standard design this means you get polled UART and JTAG UART drivers, 51 | no support for the LCD driver and you lose the ability to program 52 | CFI compliant flash devices. 53 | 54 | 55 | PERIPHERALS USED: 56 | This example exercises the following peripherals: 57 | - STDOUT device (UART or JTAG UART) 58 | 59 | SOFTWARE SOURCE FILES: 60 | This example includes the following software source files: 61 | - small_hello_world.c: 62 | 63 | BOARD/HOST REQUIREMENTS: 64 | This example requires only a JTAG connection with a Nios Development board. If 65 | the host communication settings are changed from JTAG UART (default) to use a 66 | conventional UART, a serial cable between board DB-9 connector and the host is 67 | required. 68 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test/system/template.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | 6 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/.project: -------------------------------------------------------------------------------- 1 | 2 | 3 | pci_uart_test_bsp 4 | 5 | 6 | 7 | 8 | 9 | org.eclipse.cdt.managedbuilder.core.genmakebuilder 10 | clean,full,incremental, 11 | 12 | 13 | ?name? 14 | 15 | 16 | 17 | org.eclipse.cdt.make.core.append_environment 18 | true 19 | 20 | 21 | org.eclipse.cdt.make.core.autoBuildTarget 22 | all 23 | 24 | 25 | org.eclipse.cdt.make.core.buildArguments 26 | 27 | 28 | 29 | org.eclipse.cdt.make.core.buildCommand 30 | make 31 | 32 | 33 | org.eclipse.cdt.make.core.buildLocation 34 | ${workspace_loc://pci_uart_test_bsp} 35 | 36 | 37 | org.eclipse.cdt.make.core.cleanBuildTarget 38 | clean 39 | 40 | 41 | org.eclipse.cdt.make.core.contents 42 | org.eclipse.cdt.make.core.activeConfigSettings 43 | 44 | 45 | org.eclipse.cdt.make.core.enableAutoBuild 46 | false 47 | 48 | 49 | org.eclipse.cdt.make.core.enableCleanBuild 50 | true 51 | 52 | 53 | org.eclipse.cdt.make.core.enableFullBuild 54 | true 55 | 56 | 57 | org.eclipse.cdt.make.core.fullBuildTarget 58 | all 59 | 60 | 61 | org.eclipse.cdt.make.core.stopOnError 62 | true 63 | 64 | 65 | org.eclipse.cdt.make.core.useDefaultBuildCmd 66 | true 67 | 68 | 69 | 70 | 71 | org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder 72 | full,incremental, 73 | 74 | 75 | 76 | 77 | 78 | org.eclipse.cdt.core.cnature 79 | org.eclipse.cdt.managedbuilder.core.managedBuildNature 80 | org.eclipse.cdt.managedbuilder.core.ScannerConfigNature 81 | org.eclipse.cdt.core.ccnature 82 | com.altera.sbtgui.project.SBTGUINature 83 | com.altera.sbtgui.project.SBTGUIBspNature 84 | 85 | 86 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/inc/alt_types.h: -------------------------------------------------------------------------------- 1 | #ifndef __ALT_TYPES_H__ 2 | #define __ALT_TYPES_H__ 3 | 4 | /****************************************************************************** 5 | * * 6 | * License Agreement * 7 | * * 8 | * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * 9 | * All rights reserved. * 10 | * * 11 | * Permission is hereby granted, free of charge, to any person obtaining a * 12 | * copy of this software and associated documentation files (the "Software"), * 13 | * to deal in the Software without restriction, including without limitation * 14 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 15 | * and/or sell copies of the Software, and to permit persons to whom the * 16 | * Software is furnished to do so, subject to the following conditions: * 17 | * * 18 | * The above copyright notice and this permission notice shall be included in * 19 | * all copies or substantial portions of the Software. * 20 | * * 21 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 22 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 23 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 24 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 25 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 26 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 27 | * DEALINGS IN THE SOFTWARE. * 28 | * * 29 | * This agreement shall be governed in all respects by the laws of the State * 30 | * of California and by the laws of the United States of America. * 31 | * * 32 | * Altera does not recommend, suggest or require that this reference design * 33 | * file be used in conjunction or combination with any other product. * 34 | ******************************************************************************/ 35 | 36 | /* 37 | * Don't declare these typedefs if this file is included by assembly source. 38 | */ 39 | #ifndef ALT_ASM_SRC 40 | typedef signed char alt_8; 41 | typedef unsigned char alt_u8; 42 | typedef signed short alt_16; 43 | typedef unsigned short alt_u16; 44 | typedef signed long alt_32; 45 | typedef unsigned long alt_u32; 46 | typedef long long alt_64; 47 | typedef unsigned long long alt_u64; 48 | #endif 49 | 50 | #define ALT_INLINE __inline__ 51 | #define ALT_ALWAYS_INLINE __attribute__ ((always_inline)) 52 | #define ALT_WEAK __attribute__((weak)) 53 | 54 | #endif /* __ALT_TYPES_H__ */ 55 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/inc/priv/alt_busy_sleep.h: -------------------------------------------------------------------------------- 1 | #ifndef __ALT_BUSY_SLEEP_H 2 | #define __ALT_BUSY_SLEEP_H 3 | 4 | /* 5 | * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. 6 | * All rights reserved. 7 | * 8 | * Permission is hereby granted, free of charge, to any person obtaining a copy 9 | * of this software and associated documentation files (the "Software"), to 10 | * deal in the Software without restriction, including without limitation the 11 | * rights to use, copy, modify, merge, publish, distribute, sublicense, and/or 12 | * sell copies of the Software, and to permit persons to whom the Software is 13 | * furnished to do so, subject to the following conditions: 14 | * 15 | * The above copyright notice and this permission notice shall be included in 16 | * all copies or substantial portions of the Software. 17 | * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER 24 | * DEALINGS IN THE SOFTWARE. 25 | */ 26 | 27 | /* 28 | * The function alt_busy_sleep provides a busy loop implementation of usleep. 29 | * This is used to provide usleep for the standalone HAL, or when the timer is 30 | * unavailable in uC/OS-II. 31 | */ 32 | 33 | extern unsigned int alt_busy_sleep (unsigned int us); 34 | 35 | #endif /* __ALT_BUSY_SLEEP_H */ 36 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/inc/priv/alt_exception_handler_registry.h: -------------------------------------------------------------------------------- 1 | #ifndef __ALT_EXCEPTION_HANDLER_REGISTRY_H__ 2 | #define __ALT_EXCEPTION_HANDLER_REGISTRY_H__ 3 | 4 | /****************************************************************************** 5 | * * 6 | * License Agreement * 7 | * * 8 | * Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * 9 | * All rights reserved. * 10 | * * 11 | * Permission is hereby granted, free of charge, to any person obtaining a * 12 | * copy of this software and associated documentation files (the "Software"), * 13 | * to deal in the Software without restriction, including without limitation * 14 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 15 | * and/or sell copies of the Software, and to permit persons to whom the * 16 | * Software is furnished to do so, subject to the following conditions: * 17 | * * 18 | * The above copyright notice and this permission notice shall be included in * 19 | * all copies or substantial portions of the Software. * 20 | * * 21 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 22 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 23 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 24 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 25 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 26 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 27 | * DEALINGS IN THE SOFTWARE. * 28 | * * 29 | * This agreement shall be governed in all respects by the laws of the State * 30 | * of California and by the laws of the United States of America. * 31 | * * 32 | ******************************************************************************/ 33 | #include "sys/alt_exceptions.h" 34 | 35 | /* Function pointer to exception callback routine */ 36 | extern alt_exception_result (*alt_instruction_exception_handler) 37 | (alt_exception_cause, alt_u32, alt_u32); 38 | 39 | #endif /* __ALT_EXCEPTION_HANDLER_REGISTRY_H__ */ 40 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/inc/priv/alt_iic_isr_register.h: -------------------------------------------------------------------------------- 1 | #ifndef __ALT_IIC_ISR_REGISTER_H_ 2 | #define __ALT_IIC_ISR_REGISTER_H_ 3 | 4 | /****************************************************************************** 5 | * * 6 | * License Agreement * 7 | * * 8 | * Copyright (c) 2009 Altera Corporation, San Jose, California, USA. * 9 | * All rights reserved. * 10 | * * 11 | * Permission is hereby granted, free of charge, to any person obtaining a * 12 | * copy of this software and associated documentation files (the "Software"), * 13 | * to deal in the Software without restriction, including without limitation * 14 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 15 | * and/or sell copies of the Software, and to permit persons to whom the * 16 | * Software is furnished to do so, subject to the following conditions: * 17 | * * 18 | * The above copyright notice and this permission notice shall be included in * 19 | * all copies or substantial portions of the Software. * 20 | * * 21 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 22 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 23 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 24 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 25 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 26 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 27 | * DEALINGS IN THE SOFTWARE. * 28 | * * 29 | * This agreement shall be governed in all respects by the laws of the State * 30 | * of California and by the laws of the United States of America. * 31 | * * 32 | ******************************************************************************/ 33 | #include "alt_types.h" 34 | #include "sys/alt_irq.h" 35 | 36 | extern int alt_iic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, 37 | void *isr_context, void *flags); 38 | 39 | #endif /* __ALT_IIC_ISR_REGISTER_H_ */ 40 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/inc/priv/nios2_gmon_data.h: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | ******************************************************************************/ 30 | #ifndef NIOS2_GMON_DATA_H 31 | #define NIOS2_GMON_DATA_H 32 | 33 | #define GMON_DATA_SIG 0 34 | #define GMON_DATA_WORDS 1 35 | #define GMON_DATA_PROFILE_DATA 2 36 | #define GMON_DATA_PROFILE_LOWPC 3 37 | #define GMON_DATA_PROFILE_HIGHPC 4 38 | #define GMON_DATA_PROFILE_BUCKET 5 39 | #define GMON_DATA_PROFILE_RATE 6 40 | #define GMON_DATA_MCOUNT_START 7 41 | #define GMON_DATA_MCOUNT_LIMIT 8 42 | 43 | #define GMON_DATA_SIZE 9 44 | 45 | extern unsigned int alt_gmon_data[GMON_DATA_SIZE]; 46 | 47 | #endif 48 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/inc/sys/alt_debug.h: -------------------------------------------------------------------------------- 1 | #ifndef __ALT_DEBUG_H__ 2 | #define __ALT_DEBUG_H__ 3 | 4 | /****************************************************************************** 5 | * * 6 | * License Agreement * 7 | * * 8 | * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * 9 | * All rights reserved. * 10 | * * 11 | * Permission is hereby granted, free of charge, to any person obtaining a * 12 | * copy of this software and associated documentation files (the "Software"), * 13 | * to deal in the Software without restriction, including without limitation * 14 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 15 | * and/or sell copies of the Software, and to permit persons to whom the * 16 | * Software is furnished to do so, subject to the following conditions: * 17 | * * 18 | * The above copyright notice and this permission notice shall be included in * 19 | * all copies or substantial portions of the Software. * 20 | * * 21 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 22 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 23 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 24 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 25 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 26 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 27 | * DEALINGS IN THE SOFTWARE. * 28 | * * 29 | * This agreement shall be governed in all respects by the laws of the State * 30 | * of California and by the laws of the United States of America. * 31 | * * 32 | ******************************************************************************/ 33 | 34 | /* 35 | * The ALT_DEVUG_ASSERT macro can be used to generate a debugger break 36 | * from within software. The break is generated if "condition" evaluates to 37 | * false. 38 | */ 39 | 40 | #define ALT_DEBUG_ASSERT(condition) if (!condition) \ 41 | { \ 42 | __asm__ volatile ("break"); \ 43 | } 44 | 45 | #endif /* __ALT_DEBUG_H__ */ 46 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/inc/sys/alt_irq_entry.h: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | ******************************************************************************/ 30 | 31 | /* 32 | * This file pulls in the IRQ entry assembler and C code, which is only 33 | * required if there are any interruptes in the system. 34 | */ 35 | 36 | __asm__( "\n\t.globl alt_irq_entry" ); 37 | 38 | __asm__( "\n\t.globl alt_irq_handler" ); 39 | 40 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_dcache_flush_all.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2003-2005 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | ******************************************************************************/ 30 | 31 | #include "nios2.h" 32 | #include "system.h" 33 | 34 | #include "alt_types.h" 35 | #include "sys/alt_cache.h" 36 | 37 | /* 38 | * alt_dcache_flush_all() is called to flush the entire data cache. 39 | */ 40 | 41 | void alt_dcache_flush_all (void) 42 | { 43 | #if NIOS2_DCACHE_SIZE > 0 44 | char* i; 45 | 46 | for (i = (char*) 0; i < (char*) NIOS2_DCACHE_SIZE; i+= NIOS2_DCACHE_LINE_SIZE) 47 | { 48 | __asm__ volatile ("flushd (%0)" :: "r" (i)); 49 | } 50 | #endif /* NIOS2_DCACHE_SIZE > 0 */ 51 | } 52 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_dev_llist_insert.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include "priv/alt_dev_llist.h" 34 | #include "sys/alt_errno.h" 35 | 36 | /* 37 | * 38 | */ 39 | 40 | int alt_dev_llist_insert (alt_dev_llist* dev, alt_llist* list) 41 | { 42 | /* 43 | * check that the device exists, and that it has a valid name. 44 | */ 45 | 46 | if (!dev || !dev->name) 47 | { 48 | ALT_ERRNO = EINVAL; 49 | return -EINVAL; 50 | } 51 | 52 | /* 53 | * register the device. 54 | */ 55 | 56 | alt_llist_insert(list, &dev->llist); 57 | 58 | return 0; 59 | } 60 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_env_lock.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include 34 | 35 | /* 36 | * These are the empty env lock/unlock stubs required by newlib. These are 37 | * used to make accesses to environment variables thread safe. The default HAL 38 | * configuration is single threaded, so there is nothing to do here. Note that 39 | * this requires that environment variables are never manipulated by an interrupt 40 | * service routine. 41 | */ 42 | 43 | void __env_lock ( struct _reent *_r ) 44 | { 45 | } 46 | 47 | /* 48 | * 49 | */ 50 | 51 | void __env_unlock ( struct _reent *_r ) 52 | { 53 | } 54 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_environ.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include "os/alt_syscall.h" 34 | 35 | /* 36 | * These are the environment variables passed to the C code. By default there 37 | * are no variables registered. An application can manipulate this list using 38 | * getenv() and setenv(). 39 | */ 40 | 41 | char *__env[1] = { 0 }; 42 | char **ALT_ENVIRON = __env; 43 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_errno.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | /* 34 | * This file defines the alt_errno global variable. See comments in 35 | * alt_errno.h for the use of this variable. 36 | */ 37 | 38 | 39 | #include "sys/alt_errno.h" 40 | #include "alt_types.h" 41 | 42 | extern int ALT_WEAK *__errno (void); 43 | 44 | int* (*alt_errno) (void) = __errno; 45 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_execve.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include "sys/alt_errno.h" 34 | #include "sys/alt_warning.h" 35 | #include "os/alt_syscall.h" 36 | 37 | /* 38 | * execve() is used by newlib to launch new processes. This is unsupported in 39 | * the HAL environment. However a "do-nothing" implementation is still 40 | * provied for newlib compatability. 41 | * 42 | * ALT_EXECVE is mapped onto the execve() system call in alt_syscall.h 43 | */ 44 | 45 | int ALT_EXECVE (char *name, char ** argv, char** env) 46 | { 47 | /* Generate a link time warning, should this function ever be called. */ 48 | 49 | ALT_STUB_WARNING(execve); 50 | 51 | /* Indicate an error */ 52 | 53 | ALT_ERRNO = ENOSYS; 54 | return -1; 55 | } 56 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_fd_unlock.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include 34 | 35 | #include "priv/alt_file.h" 36 | 37 | /* 38 | * alt_fd_unlock() is the inverse of alt_fd_lock(). It is called as a 39 | * consequence of a TIOCNXCL ioctl request, e.g: 40 | * 41 | * ioctl (fd, TIOCNXCL, NULL); 42 | * 43 | * It enables multiple file descriptors to exist for the same device. This 44 | * is normally the case, but it may have been disabled by a previous call to 45 | * alt_fd_lock(). 46 | * 47 | * Return zero on sucess, and a negative value on failure. 48 | * 49 | * The current implementation always succeeds. 50 | */ 51 | 52 | int alt_fd_unlock (alt_fd* fd) 53 | { 54 | fd->fd_flags &= ~ALT_FD_EXCL; 55 | return 0; 56 | } 57 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_fork.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include "sys/alt_warning.h" 34 | #include "sys/alt_errno.h" 35 | #include "os/alt_syscall.h" 36 | 37 | /* 38 | * The fork() system call is used by newlib to create a duplicate copy of the 39 | * curent process. This is unsupported in the HAL environment. However a 40 | * "do-nothing" implementation is still provied for newlib compatability. 41 | * 42 | * ALT_FORK is mapped onto the fork() system call in alt_syscall.h 43 | */ 44 | 45 | int ALT_FORK (void) 46 | { 47 | /* Generate a link time warning, should this function ever be called. */ 48 | 49 | ALT_STUB_WARNING(fork); 50 | 51 | /* Indicate an error */ 52 | 53 | ALT_ERRNO = ENOSYS; 54 | return -1; 55 | } 56 | 57 | 58 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_getchar.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include 34 | 35 | #ifdef ALT_USE_DIRECT_DRIVERS 36 | #include "system.h" 37 | #include "sys/alt_driver.h" 38 | #include "sys/alt_stdio.h" 39 | #include "priv/alt_file.h" 40 | #include "unistd.h" 41 | #endif 42 | 43 | /* 44 | * Uses the ALT_DRIVER_READ() macro to call directly to driver if available. 45 | * Otherwise, uses newlib provided getchar() routine. 46 | */ 47 | int 48 | alt_getchar(void) 49 | { 50 | #ifdef ALT_USE_DIRECT_DRIVERS 51 | ALT_DRIVER_READ_EXTERNS(ALT_STDIN_DEV); 52 | char c; 53 | 54 | if (ALT_DRIVER_READ(ALT_STDIN_DEV, &c, 1, alt_fd_list[STDIN_FILENO].fd_flags) <= 0) { 55 | return -1; 56 | } 57 | return c; 58 | #else 59 | return getchar(); 60 | #endif 61 | } 62 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_getpid.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include "os/alt_syscall.h" 34 | 35 | /* 36 | * The getpid() system call is used by newlib to obtain the current process 37 | * id. Since there is only ever a single process in the HAL environment, 38 | * this just returns a constant. 39 | * 40 | * ALT_GETPID is mapped onto the getpid() system call in alt_syscall.h 41 | */ 42 | 43 | int ALT_GETPID (void) 44 | { 45 | return 0; 46 | } 47 | 48 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_icache_flush_all.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | ******************************************************************************/ 30 | 31 | #include "nios2.h" 32 | #include "system.h" 33 | 34 | #include "alt_types.h" 35 | #include "sys/alt_cache.h" 36 | 37 | /* 38 | * alt_icache_flush_all() is called to flush the entire instruction cache. 39 | */ 40 | 41 | void alt_icache_flush_all (void) 42 | { 43 | #if NIOS2_ICACHE_SIZE > 0 44 | alt_icache_flush (0, NIOS2_ICACHE_SIZE); 45 | #endif 46 | } 47 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_irq_vars.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | ******************************************************************************/ 30 | 31 | #include "alt_types.h" 32 | 33 | #include "system.h" 34 | 35 | /* 36 | * These global variables are used to save the current list of enabled 37 | * interrupts. See alt_irq.h for further details. 38 | */ 39 | 40 | volatile alt_u32 alt_irq_active = 0; 41 | 42 | #ifndef ALT_EXCEPTION_STACK 43 | 44 | volatile alt_u32 alt_priority_mask = (alt_u32) -1; 45 | 46 | #endif 47 | 48 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_link.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include "sys/alt_warning.h" 34 | #include "sys/alt_errno.h" 35 | #include "os/alt_syscall.h" 36 | 37 | /* 38 | * link() is used by newlib to create a new link to an existing file. This is 39 | * unsupported in the HAL environment. However a "do-nothing" implementation 40 | * is still provied for newlib compatability. 41 | * 42 | * ALT_LINK is mapped onto the link() system call in alt_syscall.h 43 | */ 44 | 45 | int ALT_LINK ( char *existing, char *new) 46 | { 47 | /* Generate a link time warning, should this function ever be called. */ 48 | 49 | ALT_STUB_WARNING(link); 50 | 51 | /* Indicate an error */ 52 | 53 | ALT_ERRNO = ENOSYS; 54 | return -1; 55 | } 56 | 57 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_log_macro.S: -------------------------------------------------------------------------------- 1 | /* alt_log_macro.S 2 | * 3 | * Implements the function tx_log_str, called by the assembly macro 4 | * ALT_LOG_PUTS(). The macro will be empty when logging is turned off, 5 | * and this function will not be compiled. When logging is on, 6 | * this function is used to print out the strings defined in the beginning 7 | * of alt_log_printf.c, using port information taken from system.h and 8 | * alt_log_printf.h. 9 | * 10 | * This routine only handles strings, and sends a character into the defined 11 | * output device's output buffer when the device is ready. It's intended for 12 | * debugging purposes, where messages can be set to print out at certain 13 | * points in the boot code to indicate the progress of the program. 14 | * 15 | */ 16 | 17 | #ifndef __ALT_LOG_MACROS__ 18 | #define __ALT_LOG_MACROS__ 19 | 20 | /* define this flag to skip assembly-incompatible parts 21 | * of various include files. */ 22 | #define ALT_ASM_SRC 23 | 24 | #ifdef ALT_LOG_ENABLE // only compile this function if this flag is defined. 25 | 26 | #include "system.h" 27 | #include "sys/alt_log_printf.h" 28 | 29 | .global tx_log_str 30 | tx_log_str: 31 | /* load base uart / jtag uart address into r6 */ 32 | movhi r6, %hiadj(ALT_LOG_PORT_BASE) 33 | addi r6, r6, %lo(ALT_LOG_PORT_BASE) 34 | tx_next_char: 35 | /* if pointer points to null, return 36 | * r4 is the pointer to the str to be printed, set by ALT_LOG_PUTS */ 37 | ldb r7, (r4) 38 | beq r0, r7, end_tx 39 | 40 | /* check device transmit ready */ 41 | wait_tx_ready_loop: 42 | ldwio r5, ALT_LOG_PRINT_REG_OFFSET(r6) 43 | andi r5, r5, ALT_LOG_PRINT_MSK 44 | beq r5, r0, wait_tx_ready_loop 45 | /* write char */ 46 | stwio r7, ALT_LOG_PRINT_TXDATA_REG_OFFSET (r6) 47 | /* advance string pointer */ 48 | addi r4, r4, 1 49 | br tx_next_char 50 | end_tx: 51 | ret 52 | 53 | #endif 54 | 55 | #endif /* __ALT_LOG_MACROS__ */ 56 | 57 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_malloc_lock.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include 34 | 35 | /* 36 | * These are the empty malloc lock/unlock stubs required by newlib. These are 37 | * used to make newlib's malloc() function thread safe. The default HAL 38 | * configuration is single threaded, so there is nothing to do here. Note that 39 | * this requires that malloc is never called by an interrupt service routine. 40 | */ 41 | 42 | void __malloc_lock ( struct _reent *_r ) 43 | { 44 | } 45 | 46 | /* 47 | * 48 | */ 49 | 50 | void __malloc_unlock ( struct _reent *_r ) 51 | { 52 | } 53 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_putchar.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include 34 | 35 | #ifdef ALT_USE_DIRECT_DRIVERS 36 | #include "system.h" 37 | #include "sys/alt_driver.h" 38 | #include "sys/alt_stdio.h" 39 | #endif 40 | 41 | /* 42 | * Uses the ALT_DRIVER_WRITE() macro to call directly to driver if available. 43 | * Otherwise, uses newlib provided putchar() routine. 44 | */ 45 | int 46 | alt_putchar(int c) 47 | { 48 | #ifdef ALT_USE_DIRECT_DRIVERS 49 | ALT_DRIVER_WRITE_EXTERNS(ALT_STDOUT_DEV); 50 | char c1 = (char)(c & 0xff); 51 | 52 | if (ALT_DRIVER_WRITE(ALT_STDOUT_DEV, &c1, 1, 0) == -1) { 53 | return -1; 54 | } 55 | return c; 56 | #else 57 | return putchar(c); 58 | #endif 59 | } 60 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_putstr.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include 34 | 35 | #ifdef ALT_USE_DIRECT_DRIVERS 36 | #include 37 | #include "system.h" 38 | #include "sys/alt_driver.h" 39 | #include "sys/alt_stdio.h" 40 | #endif 41 | 42 | /* 43 | * Uses the ALT_DRIVER_WRITE() macro to call directly to driver if available. 44 | * Otherwise, uses newlib provided fputs() routine. 45 | */ 46 | int 47 | alt_putstr(const char* str) 48 | { 49 | #ifdef ALT_USE_DIRECT_DRIVERS 50 | ALT_DRIVER_WRITE_EXTERNS(ALT_STDOUT_DEV); 51 | return ALT_DRIVER_WRITE(ALT_STDOUT_DEV, str, strlen(str), 0); 52 | #else 53 | return fputs(str, stdout); 54 | #endif 55 | } 56 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_release_fd.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include "sys/alt_dev.h" 34 | #include "priv/alt_file.h" 35 | 36 | /* 37 | * alt_release_fd() is called to free an allocated file descriptor. This is 38 | * done by setting the device pointer in the file descriptor structure to zero. 39 | * 40 | * File descriptors correcponding to standard in, standard out and standard 41 | * error cannont be released backed to the pool. They are always reserved. 42 | */ 43 | 44 | void alt_release_fd (int fd) 45 | { 46 | if (fd > 2) 47 | { 48 | alt_fd_list[fd].fd_flags = 0; 49 | alt_fd_list[fd].dev = 0; 50 | } 51 | } 52 | 53 | 54 | 55 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_remap_cached.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2003,2007 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | ******************************************************************************/ 30 | 31 | #include "sys/alt_cache.h" 32 | #include "system.h" 33 | 34 | #ifdef NIOS2_MMU_PRESENT 35 | /* Convert KERNEL region address to IO region address */ 36 | #define BYPASS_DCACHE_MASK (0x1 << 29) 37 | #else 38 | /* Set bit 31 of address to bypass D-cache */ 39 | #define BYPASS_DCACHE_MASK (0x1 << 31) 40 | #endif 41 | 42 | /* 43 | * Convert a pointer to a block of uncached memory, into a block of 44 | * cached memory. 45 | */ 46 | 47 | void* alt_remap_cached (volatile void* ptr, alt_u32 len) 48 | { 49 | return (void*) (((alt_u32) ptr) & ~BYPASS_DCACHE_MASK); 50 | } 51 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_remap_uncached.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2003,2007 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | ******************************************************************************/ 30 | 31 | #include "sys/alt_cache.h" 32 | #include "system.h" 33 | 34 | #ifdef NIOS2_MMU_PRESENT 35 | /* Convert KERNEL region address to IO region address */ 36 | #define BYPASS_DCACHE_MASK (0x1 << 29) 37 | #else 38 | /* Set bit 31 of address to bypass D-cache */ 39 | #define BYPASS_DCACHE_MASK (0x1 << 31) 40 | #endif 41 | 42 | /* 43 | * Convert a pointer to a block of cached memory, into a block of 44 | * uncached memory. 45 | */ 46 | 47 | volatile void* alt_remap_uncached (void* ptr, alt_u32 len) 48 | { 49 | alt_dcache_flush (ptr, len); 50 | return (volatile void*) (((alt_u32) ptr) | BYPASS_DCACHE_MASK); 51 | } 52 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_rename.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include "sys/alt_errno.h" 34 | #include "sys/alt_warning.h" 35 | #include "os/alt_syscall.h" 36 | 37 | /* 38 | * _rename() is used by newlib to rename an existing file. This is unsupported 39 | * in the HAL environment. However a "do-nothing" implementation is still 40 | * provied for newlib compatability. 41 | * 42 | * ALT_RENAME is mapped onto the _rename() system call in alt_syscall.h 43 | */ 44 | 45 | int ALT_RENAME (char *existing, char *new) 46 | { 47 | /* Generate a link time warning, should this function ever be called. */ 48 | 49 | ALT_STUB_WARNING(_rename); 50 | 51 | /* Indicate an error */ 52 | 53 | ALT_ERRNO = ENOSYS; 54 | return -1; 55 | } 56 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_software_exception.S: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2003-2005 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | ******************************************************************************/ 30 | 31 | /* 32 | * This file provides the global symbol: software_exception. It is provided to 33 | * support legacy code, and should not be used by new software. 34 | * 35 | * It is used by legacy code to invoke the software exception handler as 36 | * defined by version 1.0 of the Nios II kit. It should only be used when you 37 | * are providing your own interrupt entry point, i.e. you are not using 38 | * alt_irq_entry. 39 | */ 40 | 41 | #include "system.h" 42 | 43 | /* 44 | * Pull in the exception handler. 45 | */ 46 | 47 | .globl alt_exception 48 | 49 | .section .exceptions.entry.label, "xa" 50 | 51 | .globl software_exception 52 | .type software_exception, @function 53 | software_exception: 54 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_stat.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include 34 | #include 35 | #include 36 | #include 37 | 38 | #include "os/alt_syscall.h" 39 | 40 | /* 41 | * The stat() function is similar to the fstat() function in that it is used to 42 | * obtain status information about a file. Instead of using an open file 43 | * descriptor (like fstat()), stat() takes the name of a file as an input 44 | * argument. 45 | * 46 | * ALT_STAT is mapped onto the stat() system call in alt_syscall.h 47 | */ 48 | 49 | int ALT_STAT (const char *file, struct stat *st) 50 | { 51 | int fd; 52 | int rc; 53 | 54 | fd = open (file, 0); 55 | rc = fstat (fd, st); 56 | close (fd); 57 | 58 | return rc; 59 | } 60 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_uncached_free.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2003,2007 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | ******************************************************************************/ 30 | 31 | #include "sys/alt_cache.h" 32 | #include "system.h" 33 | 34 | #ifdef NIOS2_MMU_PRESENT 35 | /* Convert KERNEL region address to IO region address */ 36 | #define BYPASS_DCACHE_MASK (0x1 << 29) 37 | #else 38 | /* Set bit 31 of address to bypass D-cache */ 39 | #define BYPASS_DCACHE_MASK (0x1 << 31) 40 | #endif 41 | 42 | /* 43 | * Free a block of uncached memory. 44 | */ 45 | 46 | void alt_uncached_free (volatile void* ptr) 47 | { 48 | free ((void*) (((alt_u32) ptr) & ~BYPASS_DCACHE_MASK)); 49 | } 50 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_uncached_malloc.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2003,2007 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | ******************************************************************************/ 30 | 31 | #include "sys/alt_cache.h" 32 | #include "system.h" 33 | 34 | #ifdef NIOS2_MMU_PRESENT 35 | /* Convert KERNEL region address to IO region address */ 36 | #define BYPASS_DCACHE_MASK (0x1 << 29) 37 | #else 38 | /* Set bit 31 of address to bypass D-cache */ 39 | #define BYPASS_DCACHE_MASK (0x1 << 31) 40 | #endif 41 | 42 | /* 43 | * Allocate a block of uncached memory. 44 | */ 45 | 46 | volatile void* alt_uncached_malloc (size_t size) 47 | { 48 | void* ptr; 49 | 50 | ptr = malloc (size); 51 | 52 | alt_dcache_flush (ptr, size); 53 | 54 | return ptr ? (volatile void*) (((alt_u32) ptr) | BYPASS_DCACHE_MASK) : NULL; 55 | } 56 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_unlink.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include "sys/alt_errno.h" 34 | #include "sys/alt_warning.h" 35 | #include "os/alt_syscall.h" 36 | 37 | /* 38 | * unlink() is used by newlib to delete an existing link to a file. This is 39 | * unsupported in the HAL environment. However a "do-nothing" implementation 40 | * is still provied for newlib compatability. 41 | * 42 | * ALT_UNLINK is mapped onto the unlink() system call in alt_syscall.h 43 | */ 44 | 45 | int ALT_UNLINK (char *name) 46 | { 47 | /* Generate a link time warning, should this function ever be called. */ 48 | 49 | ALT_STUB_WARNING(unlink); 50 | 51 | /* Indicate an error */ 52 | 53 | ALT_ERRNO = ENOSYS; 54 | return -1; 55 | } 56 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_usleep.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. 3 | * All rights reserved. 4 | * 5 | * Permission is hereby granted, free of charge, to any person obtaining a copy 6 | * of this software and associated documentation files (the "Software"), to 7 | * deal in the Software without restriction, including without limitation the 8 | * rights to use, copy, modify, merge, publish, distribute, sublicense, and/or 9 | * sell copies of the Software, and to permit persons to whom the Software is 10 | * furnished to do so, subject to the following conditions: 11 | * 12 | * The above copyright notice and this permission notice shall be included in 13 | * all copies or substantial portions of the Software. 14 | * 15 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 16 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 17 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 18 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 19 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING 20 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER 21 | * DEALINGS IN THE SOFTWARE. 22 | * 23 | * ------------ 24 | * 25 | * Altera does not recommend, suggest or require that this reference design 26 | * file be used in conjunction or combination with any other product. 27 | * 28 | * usleep.c - Microsecond delay routine 29 | */ 30 | 31 | #include 32 | 33 | #include "priv/alt_busy_sleep.h" 34 | #include "os/alt_syscall.h" 35 | 36 | /* 37 | * This function simply calls alt_busy_sleep() to perform the delay. This 38 | * function implements the delay as a calibrated "busy loop". 39 | * 40 | * ALT_USLEEP is mapped onto the usleep() system call in alt_syscall.h 41 | */ 42 | 43 | 44 | 45 | #if defined (__GNUC__) && __GNUC__ >= 4 46 | int ALT_USLEEP (useconds_t us) 47 | #else 48 | unsigned int ALT_USLEEP (unsigned int us) 49 | #endif 50 | { 51 | return alt_busy_sleep(us); 52 | } 53 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/alt_wait.c: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * * 3 | * License Agreement * 4 | * * 5 | * Copyright (c) 2004 Altera Corporation, San Jose, California, USA. * 6 | * All rights reserved. * 7 | * * 8 | * Permission is hereby granted, free of charge, to any person obtaining a * 9 | * copy of this software and associated documentation files (the "Software"), * 10 | * to deal in the Software without restriction, including without limitation * 11 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, * 12 | * and/or sell copies of the Software, and to permit persons to whom the * 13 | * Software is furnished to do so, subject to the following conditions: * 14 | * * 15 | * The above copyright notice and this permission notice shall be included in * 16 | * all copies or substantial portions of the Software. * 17 | * * 18 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * 19 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * 20 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * 21 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * 22 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * 23 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * 24 | * DEALINGS IN THE SOFTWARE. * 25 | * * 26 | * This agreement shall be governed in all respects by the laws of the State * 27 | * of California and by the laws of the United States of America. * 28 | * * 29 | * Altera does not recommend, suggest or require that this reference design * 30 | * file be used in conjunction or combination with any other product. * 31 | ******************************************************************************/ 32 | 33 | #include "sys/alt_errno.h" 34 | #include "os/alt_syscall.h" 35 | 36 | /* 37 | * wait() is used by newlib to wait for all child processes to exit. Since the 38 | * HAL does not support spawning child processes, this returns immediately as 39 | * there can't be anythign to wait for. 40 | * 41 | * ALT_WAIT is mapped onto the wait() system call in alt_syscall.h 42 | */ 43 | 44 | int ALT_WAIT (int *status) 45 | { 46 | *status = 0; 47 | 48 | ALT_ERRNO = ECHILD; 49 | 50 | return -1; 51 | } 52 | 53 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/HAL/src/altera_nios2_qsys_irq.c: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright (c) 2009 Altera Corporation, San Jose, California, USA. 3 | * All rights reserved. 4 | * 5 | * Permission is hereby granted, free of charge, to any person obtaining a copy 6 | * of this software and associated documentation files (the "Software"), to 7 | * deal in the Software without restriction, including without limitation the 8 | * rights to use, copy, modify, merge, publish, distribute, sublicense, and/or 9 | * sell copies of the Software, and to permit persons to whom the Software is 10 | * furnished to do so, subject to the following conditions: 11 | * 12 | * The above copyright notice and this permission notice shall be included in 13 | * all copies or substantial portions of the Software. 14 | * 15 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 16 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 17 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 18 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 19 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING 20 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER 21 | * DEALINGS IN THE SOFTWARE. 22 | * 23 | * altera_nios2_irq.c - Support for Nios II internal interrupt controller. 24 | * 25 | */ 26 | 27 | #include "sys/alt_irq.h" 28 | #include "altera_nios2_qsys_irq.h" 29 | 30 | /* 31 | * To initialize the internal interrupt controller, just clear the IENABLE 32 | * register so that all possible IRQs are disabled. 33 | */ 34 | void altera_nios2_qsys_irq_init(void) 35 | { 36 | NIOS2_WRITE_IENABLE(0); 37 | } 38 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/alt_sys_init.c: -------------------------------------------------------------------------------- 1 | /* 2 | * alt_sys_init.c - HAL initialization source 3 | * 4 | * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'nios' 5 | * SOPC Builder design path: D:/hardware/rtl/pci2nano/nios/nios.sopcinfo 6 | * 7 | * Generated: Wed Dec 09 22:50:15 EST 2020 8 | */ 9 | 10 | /* 11 | * DO NOT MODIFY THIS FILE 12 | * 13 | * Changing this file will have subtle consequences 14 | * which will almost certainly lead to a nonfunctioning 15 | * system. If you do modify this file, be aware that your 16 | * changes will be overwritten and lost when this file 17 | * is generated again. 18 | * 19 | * DO NOT MODIFY THIS FILE 20 | */ 21 | 22 | /* 23 | * License Agreement 24 | * 25 | * Copyright (c) 2008 26 | * Altera Corporation, San Jose, California, USA. 27 | * All rights reserved. 28 | * 29 | * Permission is hereby granted, free of charge, to any person obtaining a 30 | * copy of this software and associated documentation files (the "Software"), 31 | * to deal in the Software without restriction, including without limitation 32 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, 33 | * and/or sell copies of the Software, and to permit persons to whom the 34 | * Software is furnished to do so, subject to the following conditions: 35 | * 36 | * The above copyright notice and this permission notice shall be included in 37 | * all copies or substantial portions of the Software. 38 | * 39 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 40 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 41 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 42 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 43 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING 44 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER 45 | * DEALINGS IN THE SOFTWARE. 46 | * 47 | * This agreement shall be governed in all respects by the laws of the State 48 | * of California and by the laws of the United States of America. 49 | */ 50 | 51 | #include "system.h" 52 | #include "sys/alt_irq.h" 53 | #include "sys/alt_sys_init.h" 54 | 55 | #include 56 | 57 | /* 58 | * Device headers 59 | */ 60 | 61 | #include "altera_nios2_qsys_irq.h" 62 | 63 | /* 64 | * Allocate the device storage 65 | */ 66 | 67 | ALTERA_NIOS2_QSYS_IRQ_INSTANCE ( NIOS2_QSYS_0, nios2_qsys_0); 68 | 69 | /* 70 | * Initialize the interrupt controller devices 71 | * and then enable interrupts in the CPU. 72 | * Called before alt_sys_init(). 73 | * The "base" parameter is ignored and only 74 | * present for backwards-compatibility. 75 | */ 76 | 77 | void alt_irq_init ( const void* base ) 78 | { 79 | ALTERA_NIOS2_QSYS_IRQ_INIT ( NIOS2_QSYS_0, nios2_qsys_0); 80 | alt_irq_cpu_enable_interrupts(); 81 | } 82 | 83 | /* 84 | * Initialize the non-interrupt controller devices. 85 | * Called after alt_irq_init(). 86 | */ 87 | 88 | void alt_sys_init( void ) 89 | { 90 | } 91 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/create-this-bsp: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | # 3 | # This script creates the ucosii_net_zipfs Board Support Package (BSP). 4 | 5 | BSP_TYPE=hal 6 | BSP_DIR=. 7 | SOPC_DIR=../../ 8 | SOPC_FILE=D:/hardware/rtl/pci2nano/nios/nios.sopcinfo 9 | NIOS2_BSP_ARGS="--set hal.max_file_descriptors 4 --set hal.enable_small_c_library true --set hal.sys_clk_timer none --set hal.timestamp_timer none --set hal.enable_exit false --set hal.enable_c_plus_plus false --set hal.enable_lightweight_device_driver_api true --set hal.enable_clean_exit false --set hal.enable_sim_optimize false --set hal.enable_reduced_device_drivers true --set hal.make.bsp_cflags_optimization '-Os'" 10 | CPU_NAME= 11 | 12 | if [ -n "$CPU_NAME" ]; then 13 | NIOS2_BSP_ARGS="$NIOS2_BSP_ARGS --cpu-name $CPU_NAME" 14 | fi 15 | 16 | # Don't run make if create-this-app script is called with --no-make arg 17 | SKIP_MAKE= 18 | while [ $# -gt 0 ] 19 | do 20 | case "$1" in 21 | --no-make) 22 | SKIP_MAKE=1 23 | ;; 24 | *) 25 | NIOS2_BSP_ARGS="$NIOS2_BSP_ARGS $1" 26 | ;; 27 | esac 28 | shift 29 | done 30 | 31 | 32 | # Run nios2-bsp utility to create a hal BSP in this directory 33 | # for the system with a .sopc file in $SOPC_FILE. 34 | # Deprecating $SOPC_DIR in 10.1. Multiple .sopcinfo files in a directory may exist. 35 | 36 | if [ -z "$SOPC_FILE" ]; then 37 | echo "WARNING: Use of a directory for locating a .sopcinfo file is deprecated in 10.1. Multiple .sopcinfo files may exist. You must specify the full .sopcinfo path." 38 | cmd="nios2-bsp $BSP_TYPE $BSP_DIR $SOPC_DIR $NIOS2_BSP_ARGS" 39 | else 40 | cmd="nios2-bsp $BSP_TYPE $BSP_DIR $SOPC_FILE $NIOS2_BSP_ARGS" 41 | fi 42 | 43 | 44 | echo "create-this-bsp: Running \"$cmd\"" 45 | $cmd || { 46 | echo "$cmd failed" 47 | exit 1 48 | } 49 | if [ -z "$SKIP_MAKE" ]; then 50 | echo "create-this-bsp: Running make" 51 | make 52 | fi 53 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/libhal_bsp.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/libhal_bsp.a -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/linker.h: -------------------------------------------------------------------------------- 1 | /* 2 | * linker.h - Linker script mapping information 3 | * 4 | * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'nios' 5 | * SOPC Builder design path: D:/hardware/rtl/pci2nano/nios/nios.sopcinfo 6 | * 7 | * Generated: Wed Dec 09 22:50:15 EST 2020 8 | */ 9 | 10 | /* 11 | * DO NOT MODIFY THIS FILE 12 | * 13 | * Changing this file will have subtle consequences 14 | * which will almost certainly lead to a nonfunctioning 15 | * system. If you do modify this file, be aware that your 16 | * changes will be overwritten and lost when this file 17 | * is generated again. 18 | * 19 | * DO NOT MODIFY THIS FILE 20 | */ 21 | 22 | /* 23 | * License Agreement 24 | * 25 | * Copyright (c) 2008 26 | * Altera Corporation, San Jose, California, USA. 27 | * All rights reserved. 28 | * 29 | * Permission is hereby granted, free of charge, to any person obtaining a 30 | * copy of this software and associated documentation files (the "Software"), 31 | * to deal in the Software without restriction, including without limitation 32 | * the rights to use, copy, modify, merge, publish, distribute, sublicense, 33 | * and/or sell copies of the Software, and to permit persons to whom the 34 | * Software is furnished to do so, subject to the following conditions: 35 | * 36 | * The above copyright notice and this permission notice shall be included in 37 | * all copies or substantial portions of the Software. 38 | * 39 | * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 40 | * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 41 | * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 42 | * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 43 | * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING 44 | * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER 45 | * DEALINGS IN THE SOFTWARE. 46 | * 47 | * This agreement shall be governed in all respects by the laws of the State 48 | * of California and by the laws of the United States of America. 49 | */ 50 | 51 | #ifndef __LINKER_H_ 52 | #define __LINKER_H_ 53 | 54 | 55 | /* 56 | * BSP controls alt_load() behavior in crt0. 57 | * 58 | */ 59 | 60 | #define ALT_LOAD_EXPLICITLY_CONTROLLED 61 | 62 | 63 | /* 64 | * Base address and span (size in bytes) of each linker region 65 | * 66 | */ 67 | 68 | #define ONCHIP_MEMORY2_0_REGION_BASE 0x4020 69 | #define ONCHIP_MEMORY2_0_REGION_SPAN 16352 70 | #define RESET_REGION_BASE 0x4000 71 | #define RESET_REGION_SPAN 32 72 | 73 | 74 | /* 75 | * Devices associated with code sections 76 | * 77 | */ 78 | 79 | #define ALT_EXCEPTIONS_DEVICE ONCHIP_MEMORY2_0 80 | #define ALT_RESET_DEVICE ONCHIP_MEMORY2_0 81 | #define ALT_RODATA_DEVICE ONCHIP_MEMORY2_0 82 | #define ALT_RWDATA_DEVICE ONCHIP_MEMORY2_0 83 | #define ALT_TEXT_DEVICE ONCHIP_MEMORY2_0 84 | 85 | 86 | /* 87 | * Initialization code at the reset address is allowed (e.g. no external bootloader). 88 | * 89 | */ 90 | 91 | #define ALT_ALLOW_CODE_AT_RESET 92 | 93 | 94 | /* 95 | * The alt_load() facility is called from crt0 to copy sections into RAM. 96 | * 97 | */ 98 | 99 | #define ALT_LOAD_COPY_RWDATA 100 | 101 | #endif /* __LINKER_H_ */ 102 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/memory.gdb: -------------------------------------------------------------------------------- 1 | # memory.gdb - GDB memory region definitions 2 | # 3 | # Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'nios' 4 | # SOPC Builder design path: D:/hardware/rtl/pci2nano/nios/nios.sopcinfo 5 | # 6 | # Generated: Wed Dec 09 22:50:15 EST 2020 7 | 8 | # DO NOT MODIFY THIS FILE 9 | # 10 | # Changing this file will have subtle consequences 11 | # which will almost certainly lead to a nonfunctioning 12 | # system. If you do modify this file, be aware that your 13 | # changes will be overwritten and lost when this file 14 | # is generated again. 15 | # 16 | # DO NOT MODIFY THIS FILE 17 | 18 | # License Agreement 19 | # 20 | # Copyright (c) 2008 21 | # Altera Corporation, San Jose, California, USA. 22 | # All rights reserved. 23 | # 24 | # Permission is hereby granted, free of charge, to any person obtaining a 25 | # copy of this software and associated documentation files (the "Software"), 26 | # to deal in the Software without restriction, including without limitation 27 | # the rights to use, copy, modify, merge, publish, distribute, sublicense, 28 | # and/or sell copies of the Software, and to permit persons to whom the 29 | # Software is furnished to do so, subject to the following conditions: 30 | # 31 | # The above copyright notice and this permission notice shall be included in 32 | # all copies or substantial portions of the Software. 33 | # 34 | # THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 35 | # IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 36 | # FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 37 | # AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 38 | # LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING 39 | # FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER 40 | # DEALINGS IN THE SOFTWARE. 41 | # 42 | # This agreement shall be governed in all respects by the laws of the State 43 | # of California and by the laws of the United States of America. 44 | 45 | # Define memory regions for each memory connected to the CPU. 46 | # The cache attribute is specified which improves GDB performance 47 | # by allowing GDB to cache memory contents on the host. 48 | 49 | # onchip_memory2_0 50 | memory 0x4000 0x8000 cache 51 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_alarm_start.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_alarm_start.o: HAL/src/alt_alarm_start.c \ 2 | HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 3 | HAL/inc/priv/alt_alarm.h HAL/inc/sys/alt_irq.h HAL/inc/nios2.h system.h \ 4 | linker.h HAL/inc/priv/alt_legacy_irq.h 5 | 6 | HAL/inc/sys/alt_alarm.h: 7 | 8 | HAL/inc/sys/alt_llist.h: 9 | 10 | HAL/inc/alt_types.h: 11 | 12 | HAL/inc/priv/alt_alarm.h: 13 | 14 | HAL/inc/sys/alt_irq.h: 15 | 16 | HAL/inc/nios2.h: 17 | 18 | system.h: 19 | 20 | linker.h: 21 | 22 | HAL/inc/priv/alt_legacy_irq.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_alarm_start.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_alarm_start.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_busy_sleep.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_busy_sleep.o: HAL/src/alt_busy_sleep.c system.h linker.h \ 2 | HAL/inc/alt_types.h HAL/inc/priv/alt_busy_sleep.h 3 | 4 | system.h: 5 | 6 | linker.h: 7 | 8 | HAL/inc/alt_types.h: 9 | 10 | HAL/inc/priv/alt_busy_sleep.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_busy_sleep.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_busy_sleep.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_close.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_close.o: HAL/src/alt_close.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h \ 3 | HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ 4 | HAL/inc/priv/alt_dev_llist.h HAL/inc/os/alt_sem.h \ 5 | HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_syscall.h 6 | 7 | HAL/inc/sys/alt_errno.h: 8 | 9 | HAL/inc/alt_types.h: 10 | 11 | HAL/inc/sys/alt_warning.h: 12 | 13 | HAL/inc/priv/alt_file.h: 14 | 15 | HAL/inc/sys/alt_dev.h: 16 | 17 | system.h: 18 | 19 | linker.h: 20 | 21 | HAL/inc/sys/alt_llist.h: 22 | 23 | HAL/inc/priv/alt_dev_llist.h: 24 | 25 | HAL/inc/os/alt_sem.h: 26 | 27 | HAL/inc/priv/alt_no_error.h: 28 | 29 | HAL/inc/os/alt_syscall.h: 30 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_close.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_close.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dcache_flush.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_dcache_flush.o: HAL/src/alt_dcache_flush.c \ 2 | HAL/inc/nios2.h system.h linker.h HAL/inc/alt_types.h \ 3 | HAL/inc/sys/alt_cache.h 4 | 5 | HAL/inc/nios2.h: 6 | 7 | system.h: 8 | 9 | linker.h: 10 | 11 | HAL/inc/alt_types.h: 12 | 13 | HAL/inc/sys/alt_cache.h: 14 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dcache_flush.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dcache_flush.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dcache_flush_all.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_dcache_flush_all.o: HAL/src/alt_dcache_flush_all.c \ 2 | HAL/inc/nios2.h system.h linker.h HAL/inc/alt_types.h \ 3 | HAL/inc/sys/alt_cache.h 4 | 5 | HAL/inc/nios2.h: 6 | 7 | system.h: 8 | 9 | linker.h: 10 | 11 | HAL/inc/alt_types.h: 12 | 13 | HAL/inc/sys/alt_cache.h: 14 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dcache_flush_all.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dcache_flush_all.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dcache_flush_no_writeback.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_dcache_flush_no_writeback.o: \ 2 | HAL/src/alt_dcache_flush_no_writeback.c HAL/inc/nios2.h system.h \ 3 | linker.h HAL/inc/alt_types.h HAL/inc/sys/alt_cache.h 4 | 5 | HAL/inc/nios2.h: 6 | 7 | system.h: 8 | 9 | linker.h: 10 | 11 | HAL/inc/alt_types.h: 12 | 13 | HAL/inc/sys/alt_cache.h: 14 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dcache_flush_no_writeback.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dcache_flush_no_writeback.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dev.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_dev.o: HAL/src/alt_dev.c HAL/inc/sys/alt_dev.h system.h \ 2 | linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 3 | HAL/inc/priv/alt_dev_llist.h HAL/inc/priv/alt_file.h \ 4 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 5 | 6 | HAL/inc/sys/alt_dev.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | 12 | HAL/inc/sys/alt_llist.h: 13 | 14 | HAL/inc/alt_types.h: 15 | 16 | HAL/inc/priv/alt_dev_llist.h: 17 | 18 | HAL/inc/priv/alt_file.h: 19 | 20 | HAL/inc/os/alt_sem.h: 21 | 22 | HAL/inc/priv/alt_no_error.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dev.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dev.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dev_llist_insert.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_dev_llist_insert.o: HAL/src/alt_dev_llist_insert.c \ 2 | HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 3 | HAL/inc/sys/alt_errno.h 4 | 5 | HAL/inc/priv/alt_dev_llist.h: 6 | 7 | HAL/inc/sys/alt_llist.h: 8 | 9 | HAL/inc/alt_types.h: 10 | 11 | HAL/inc/sys/alt_errno.h: 12 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dev_llist_insert.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dev_llist_insert.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dma_rxchan_open.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_dma_rxchan_open.o: HAL/src/alt_dma_rxchan_open.c \ 2 | HAL/inc/sys/alt_dma.h HAL/inc/sys/alt_dma_dev.h \ 3 | HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 4 | HAL/inc/sys/alt_errno.h HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h \ 5 | system.h linker.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 6 | 7 | HAL/inc/sys/alt_dma.h: 8 | 9 | HAL/inc/sys/alt_dma_dev.h: 10 | 11 | HAL/inc/priv/alt_dev_llist.h: 12 | 13 | HAL/inc/sys/alt_llist.h: 14 | 15 | HAL/inc/alt_types.h: 16 | 17 | HAL/inc/sys/alt_errno.h: 18 | 19 | HAL/inc/priv/alt_file.h: 20 | 21 | HAL/inc/sys/alt_dev.h: 22 | 23 | system.h: 24 | 25 | linker.h: 26 | 27 | HAL/inc/os/alt_sem.h: 28 | 29 | HAL/inc/priv/alt_no_error.h: 30 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dma_rxchan_open.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dma_rxchan_open.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dma_txchan_open.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_dma_txchan_open.o: HAL/src/alt_dma_txchan_open.c \ 2 | HAL/inc/sys/alt_dma.h HAL/inc/sys/alt_dma_dev.h \ 3 | HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 4 | HAL/inc/sys/alt_errno.h HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h \ 5 | system.h linker.h HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 6 | 7 | HAL/inc/sys/alt_dma.h: 8 | 9 | HAL/inc/sys/alt_dma_dev.h: 10 | 11 | HAL/inc/priv/alt_dev_llist.h: 12 | 13 | HAL/inc/sys/alt_llist.h: 14 | 15 | HAL/inc/alt_types.h: 16 | 17 | HAL/inc/sys/alt_errno.h: 18 | 19 | HAL/inc/priv/alt_file.h: 20 | 21 | HAL/inc/sys/alt_dev.h: 22 | 23 | system.h: 24 | 25 | linker.h: 26 | 27 | HAL/inc/os/alt_sem.h: 28 | 29 | HAL/inc/priv/alt_no_error.h: 30 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dma_txchan_open.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_dma_txchan_open.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_do_ctors.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_do_ctors.o: HAL/src/alt_do_ctors.c 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_do_ctors.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_do_ctors.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_do_dtors.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_do_dtors.o: HAL/src/alt_do_dtors.c 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_do_dtors.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_do_dtors.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_ecc_fatal_entry.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_ecc_fatal_entry.o: HAL/src/alt_ecc_fatal_entry.S 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_ecc_fatal_entry.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_ecc_fatal_entry.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_ecc_fatal_exception.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_ecc_fatal_exception.o: HAL/src/alt_ecc_fatal_exception.c \ 2 | HAL/inc/io.h HAL/inc/alt_types.h HAL/inc/sys/alt_exceptions.h \ 3 | HAL/inc/alt_types.h system.h linker.h 4 | 5 | HAL/inc/io.h: 6 | 7 | HAL/inc/alt_types.h: 8 | 9 | HAL/inc/sys/alt_exceptions.h: 10 | 11 | HAL/inc/alt_types.h: 12 | 13 | system.h: 14 | 15 | linker.h: 16 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_ecc_fatal_exception.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_ecc_fatal_exception.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_env_lock.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_env_lock.o: HAL/src/alt_env_lock.c 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_env_lock.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_env_lock.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_environ.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_environ.o: HAL/src/alt_environ.c HAL/inc/os/alt_syscall.h 2 | 3 | HAL/inc/os/alt_syscall.h: 4 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_environ.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_environ.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_errno.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_errno.o: HAL/src/alt_errno.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h 3 | 4 | HAL/inc/sys/alt_errno.h: 5 | 6 | HAL/inc/alt_types.h: 7 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_errno.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_errno.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exception_entry.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_exception_entry.o: HAL/src/alt_exception_entry.S system.h \ 2 | linker.h 3 | 4 | system.h: 5 | 6 | linker.h: 7 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exception_entry.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exception_entry.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exception_muldiv.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_exception_muldiv.o: HAL/src/alt_exception_muldiv.S 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exception_muldiv.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exception_muldiv.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exception_trap.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_exception_trap.o: HAL/src/alt_exception_trap.S 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exception_trap.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exception_trap.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_execve.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_execve.o: HAL/src/alt_execve.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/os/alt_syscall.h 3 | 4 | HAL/inc/sys/alt_errno.h: 5 | 6 | HAL/inc/alt_types.h: 7 | 8 | HAL/inc/sys/alt_warning.h: 9 | 10 | HAL/inc/os/alt_syscall.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_execve.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_execve.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exit.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_exit.o: HAL/src/alt_exit.c HAL/inc/sys/alt_irq.h \ 2 | HAL/inc/nios2.h HAL/inc/alt_types.h system.h linker.h \ 3 | HAL/inc/priv/alt_legacy_irq.h HAL/inc/sys/alt_sim.h \ 4 | HAL/inc/os/alt_hooks.h HAL/inc/os/alt_syscall.h \ 5 | HAL/inc/sys/alt_log_printf.h 6 | 7 | HAL/inc/sys/alt_irq.h: 8 | 9 | HAL/inc/nios2.h: 10 | 11 | HAL/inc/alt_types.h: 12 | 13 | system.h: 14 | 15 | linker.h: 16 | 17 | HAL/inc/priv/alt_legacy_irq.h: 18 | 19 | HAL/inc/sys/alt_sim.h: 20 | 21 | HAL/inc/os/alt_hooks.h: 22 | 23 | HAL/inc/os/alt_syscall.h: 24 | 25 | HAL/inc/sys/alt_log_printf.h: 26 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exit.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_exit.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fcntl.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_fcntl.o: HAL/src/alt_fcntl.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h \ 3 | system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_dev_llist.h \ 4 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h \ 5 | HAL/inc/os/alt_syscall.h 6 | 7 | HAL/inc/sys/alt_errno.h: 8 | 9 | HAL/inc/alt_types.h: 10 | 11 | HAL/inc/priv/alt_file.h: 12 | 13 | HAL/inc/sys/alt_dev.h: 14 | 15 | system.h: 16 | 17 | linker.h: 18 | 19 | HAL/inc/sys/alt_llist.h: 20 | 21 | HAL/inc/priv/alt_dev_llist.h: 22 | 23 | HAL/inc/os/alt_sem.h: 24 | 25 | HAL/inc/priv/alt_no_error.h: 26 | 27 | HAL/inc/os/alt_syscall.h: 28 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fcntl.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fcntl.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fd_lock.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_fd_lock.o: HAL/src/alt_fd_lock.c HAL/inc/priv/alt_file.h \ 2 | HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ 3 | HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h HAL/inc/os/alt_sem.h \ 4 | HAL/inc/priv/alt_no_error.h 5 | 6 | HAL/inc/priv/alt_file.h: 7 | 8 | HAL/inc/sys/alt_dev.h: 9 | 10 | system.h: 11 | 12 | linker.h: 13 | 14 | HAL/inc/sys/alt_llist.h: 15 | 16 | HAL/inc/alt_types.h: 17 | 18 | HAL/inc/priv/alt_dev_llist.h: 19 | 20 | HAL/inc/os/alt_sem.h: 21 | 22 | HAL/inc/priv/alt_no_error.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fd_lock.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fd_lock.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fd_unlock.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_fd_unlock.o: HAL/src/alt_fd_unlock.c \ 2 | HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h system.h linker.h \ 3 | HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h \ 4 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 5 | 6 | HAL/inc/priv/alt_file.h: 7 | 8 | HAL/inc/sys/alt_dev.h: 9 | 10 | system.h: 11 | 12 | linker.h: 13 | 14 | HAL/inc/sys/alt_llist.h: 15 | 16 | HAL/inc/alt_types.h: 17 | 18 | HAL/inc/priv/alt_dev_llist.h: 19 | 20 | HAL/inc/os/alt_sem.h: 21 | 22 | HAL/inc/priv/alt_no_error.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fd_unlock.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fd_unlock.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_find_dev.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_find_dev.o: HAL/src/alt_find_dev.c HAL/inc/sys/alt_dev.h \ 2 | system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 3 | HAL/inc/priv/alt_dev_llist.h HAL/inc/priv/alt_file.h \ 4 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 5 | 6 | HAL/inc/sys/alt_dev.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | 12 | HAL/inc/sys/alt_llist.h: 13 | 14 | HAL/inc/alt_types.h: 15 | 16 | HAL/inc/priv/alt_dev_llist.h: 17 | 18 | HAL/inc/priv/alt_file.h: 19 | 20 | HAL/inc/os/alt_sem.h: 21 | 22 | HAL/inc/priv/alt_no_error.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_find_dev.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_find_dev.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_find_file.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_find_file.o: HAL/src/alt_find_file.c \ 2 | HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ 3 | HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h HAL/inc/priv/alt_file.h \ 4 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 5 | 6 | HAL/inc/sys/alt_dev.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | 12 | HAL/inc/sys/alt_llist.h: 13 | 14 | HAL/inc/alt_types.h: 15 | 16 | HAL/inc/priv/alt_dev_llist.h: 17 | 18 | HAL/inc/priv/alt_file.h: 19 | 20 | HAL/inc/os/alt_sem.h: 21 | 22 | HAL/inc/priv/alt_no_error.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_find_file.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_find_file.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_flash_dev.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_flash_dev.o: HAL/src/alt_flash_dev.c \ 2 | HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/sys/alt_flash_dev.h \ 3 | HAL/inc/sys/alt_flash_types.h HAL/inc/priv/alt_dev_llist.h \ 4 | HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h system.h linker.h \ 5 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 6 | 7 | HAL/inc/sys/alt_llist.h: 8 | 9 | HAL/inc/alt_types.h: 10 | 11 | HAL/inc/sys/alt_flash_dev.h: 12 | 13 | HAL/inc/sys/alt_flash_types.h: 14 | 15 | HAL/inc/priv/alt_dev_llist.h: 16 | 17 | HAL/inc/priv/alt_file.h: 18 | 19 | HAL/inc/sys/alt_dev.h: 20 | 21 | system.h: 22 | 23 | linker.h: 24 | 25 | HAL/inc/os/alt_sem.h: 26 | 27 | HAL/inc/priv/alt_no_error.h: 28 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_flash_dev.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_flash_dev.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fork.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_fork.o: HAL/src/alt_fork.c HAL/inc/sys/alt_warning.h \ 2 | HAL/inc/sys/alt_errno.h HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h 3 | 4 | HAL/inc/sys/alt_warning.h: 5 | 6 | HAL/inc/sys/alt_errno.h: 7 | 8 | HAL/inc/alt_types.h: 9 | 10 | HAL/inc/os/alt_syscall.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fork.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fork.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fs_reg.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_fs_reg.o: HAL/src/alt_fs_reg.c HAL/inc/sys/alt_dev.h \ 2 | system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 3 | HAL/inc/priv/alt_dev_llist.h HAL/inc/priv/alt_file.h \ 4 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 5 | 6 | HAL/inc/sys/alt_dev.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | 12 | HAL/inc/sys/alt_llist.h: 13 | 14 | HAL/inc/alt_types.h: 15 | 16 | HAL/inc/priv/alt_dev_llist.h: 17 | 18 | HAL/inc/priv/alt_file.h: 19 | 20 | HAL/inc/os/alt_sem.h: 21 | 22 | HAL/inc/priv/alt_no_error.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fs_reg.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fs_reg.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fstat.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_fstat.o: HAL/src/alt_fstat.c HAL/inc/sys/alt_dev.h \ 2 | system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 3 | HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_warning.h \ 4 | HAL/inc/sys/alt_errno.h HAL/inc/priv/alt_file.h HAL/inc/os/alt_sem.h \ 5 | HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_syscall.h 6 | 7 | HAL/inc/sys/alt_dev.h: 8 | 9 | system.h: 10 | 11 | linker.h: 12 | 13 | HAL/inc/sys/alt_llist.h: 14 | 15 | HAL/inc/alt_types.h: 16 | 17 | HAL/inc/priv/alt_dev_llist.h: 18 | 19 | HAL/inc/sys/alt_warning.h: 20 | 21 | HAL/inc/sys/alt_errno.h: 22 | 23 | HAL/inc/priv/alt_file.h: 24 | 25 | HAL/inc/os/alt_sem.h: 26 | 27 | HAL/inc/priv/alt_no_error.h: 28 | 29 | HAL/inc/os/alt_syscall.h: 30 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fstat.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_fstat.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_get_fd.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_get_fd.o: HAL/src/alt_get_fd.c HAL/inc/sys/alt_dev.h \ 2 | system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 3 | HAL/inc/priv/alt_dev_llist.h HAL/inc/priv/alt_file.h \ 4 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 5 | 6 | HAL/inc/sys/alt_dev.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | 12 | HAL/inc/sys/alt_llist.h: 13 | 14 | HAL/inc/alt_types.h: 15 | 16 | HAL/inc/priv/alt_dev_llist.h: 17 | 18 | HAL/inc/priv/alt_file.h: 19 | 20 | HAL/inc/os/alt_sem.h: 21 | 22 | HAL/inc/priv/alt_no_error.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_get_fd.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_get_fd.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_getchar.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_getchar.o: HAL/src/alt_getchar.c system.h linker.h \ 2 | HAL/inc/sys/alt_driver.h HAL/inc/sys/alt_stdio.h HAL/inc/priv/alt_file.h \ 3 | HAL/inc/sys/alt_dev.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 4 | HAL/inc/priv/alt_dev_llist.h HAL/inc/os/alt_sem.h \ 5 | HAL/inc/priv/alt_no_error.h 6 | 7 | system.h: 8 | 9 | linker.h: 10 | 11 | HAL/inc/sys/alt_driver.h: 12 | 13 | HAL/inc/sys/alt_stdio.h: 14 | 15 | HAL/inc/priv/alt_file.h: 16 | 17 | HAL/inc/sys/alt_dev.h: 18 | 19 | HAL/inc/sys/alt_llist.h: 20 | 21 | HAL/inc/alt_types.h: 22 | 23 | HAL/inc/priv/alt_dev_llist.h: 24 | 25 | HAL/inc/os/alt_sem.h: 26 | 27 | HAL/inc/priv/alt_no_error.h: 28 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_getchar.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_getchar.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_getpid.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_getpid.o: HAL/src/alt_getpid.c HAL/inc/os/alt_syscall.h 2 | 3 | HAL/inc/os/alt_syscall.h: 4 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_getpid.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_getpid.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_gettod.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_gettod.o: HAL/src/alt_gettod.c HAL/inc/sys/alt_alarm.h \ 2 | HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h HAL/inc/priv/alt_alarm.h \ 3 | HAL/inc/os/alt_syscall.h 4 | 5 | HAL/inc/sys/alt_alarm.h: 6 | 7 | HAL/inc/sys/alt_llist.h: 8 | 9 | HAL/inc/alt_types.h: 10 | 11 | HAL/inc/priv/alt_alarm.h: 12 | 13 | HAL/inc/os/alt_syscall.h: 14 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_gettod.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_gettod.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_gmon.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_gmon.o: HAL/src/alt_gmon.c HAL/inc/priv/nios2_gmon_data.h \ 2 | HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h system.h \ 3 | linker.h HAL/inc/priv/alt_legacy_irq.h HAL/inc/sys/alt_alarm.h \ 4 | HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_alarm.h 5 | 6 | HAL/inc/priv/nios2_gmon_data.h: 7 | 8 | HAL/inc/sys/alt_irq.h: 9 | 10 | HAL/inc/nios2.h: 11 | 12 | HAL/inc/alt_types.h: 13 | 14 | system.h: 15 | 16 | linker.h: 17 | 18 | HAL/inc/priv/alt_legacy_irq.h: 19 | 20 | HAL/inc/sys/alt_alarm.h: 21 | 22 | HAL/inc/sys/alt_llist.h: 23 | 24 | HAL/inc/priv/alt_alarm.h: 25 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_gmon.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_gmon.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_icache_flush.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_icache_flush.o: HAL/src/alt_icache_flush.c \ 2 | HAL/inc/nios2.h system.h linker.h HAL/inc/alt_types.h \ 3 | HAL/inc/sys/alt_cache.h 4 | 5 | HAL/inc/nios2.h: 6 | 7 | system.h: 8 | 9 | linker.h: 10 | 11 | HAL/inc/alt_types.h: 12 | 13 | HAL/inc/sys/alt_cache.h: 14 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_icache_flush.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_icache_flush.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_icache_flush_all.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_icache_flush_all.o: HAL/src/alt_icache_flush_all.c \ 2 | HAL/inc/nios2.h system.h linker.h HAL/inc/alt_types.h \ 3 | HAL/inc/sys/alt_cache.h 4 | 5 | HAL/inc/nios2.h: 6 | 7 | system.h: 8 | 9 | linker.h: 10 | 11 | HAL/inc/alt_types.h: 12 | 13 | HAL/inc/sys/alt_cache.h: 14 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_icache_flush_all.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_icache_flush_all.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_iic.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_iic.o: HAL/src/alt_iic.c system.h linker.h 2 | 3 | system.h: 4 | 5 | linker.h: 6 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_iic.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_iic.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_iic_isr_register.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_iic_isr_register.o: HAL/src/alt_iic_isr_register.c \ 2 | system.h linker.h 3 | 4 | system.h: 5 | 6 | linker.h: 7 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_iic_isr_register.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_iic_isr_register.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_instruction_exception_entry.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_instruction_exception_entry.o: \ 2 | HAL/src/alt_instruction_exception_entry.c HAL/inc/sys/alt_exceptions.h \ 3 | HAL/inc/alt_types.h system.h linker.h HAL/inc/nios2.h 4 | 5 | HAL/inc/sys/alt_exceptions.h: 6 | 7 | HAL/inc/alt_types.h: 8 | 9 | system.h: 10 | 11 | linker.h: 12 | 13 | HAL/inc/nios2.h: 14 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_instruction_exception_entry.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_instruction_exception_entry.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_instruction_exception_register.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_instruction_exception_register.o: \ 2 | HAL/src/alt_instruction_exception_register.c \ 3 | HAL/inc/sys/alt_exceptions.h HAL/inc/alt_types.h system.h linker.h 4 | 5 | HAL/inc/sys/alt_exceptions.h: 6 | 7 | HAL/inc/alt_types.h: 8 | 9 | system.h: 10 | 11 | linker.h: 12 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_instruction_exception_register.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_instruction_exception_register.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_io_redirect.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_io_redirect.o: HAL/src/alt_io_redirect.c \ 2 | HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ 3 | HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h HAL/inc/priv/alt_file.h \ 4 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 5 | 6 | HAL/inc/sys/alt_dev.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | 12 | HAL/inc/sys/alt_llist.h: 13 | 14 | HAL/inc/alt_types.h: 15 | 16 | HAL/inc/priv/alt_dev_llist.h: 17 | 18 | HAL/inc/priv/alt_file.h: 19 | 20 | HAL/inc/os/alt_sem.h: 21 | 22 | HAL/inc/priv/alt_no_error.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_io_redirect.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_io_redirect.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_ioctl.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_ioctl.o: HAL/src/alt_ioctl.c HAL/inc/sys/ioctl.h \ 2 | HAL/inc/sys/alt_errno.h HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h \ 3 | HAL/inc/priv/alt_file.h HAL/inc/sys/alt_dev.h system.h linker.h \ 4 | HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_dev_llist.h \ 5 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h \ 6 | HAL/inc/os/alt_syscall.h HAL/inc/sys/alt_driver.h 7 | 8 | HAL/inc/sys/ioctl.h: 9 | 10 | HAL/inc/sys/alt_errno.h: 11 | 12 | HAL/inc/alt_types.h: 13 | 14 | HAL/inc/sys/alt_warning.h: 15 | 16 | HAL/inc/priv/alt_file.h: 17 | 18 | HAL/inc/sys/alt_dev.h: 19 | 20 | system.h: 21 | 22 | linker.h: 23 | 24 | HAL/inc/sys/alt_llist.h: 25 | 26 | HAL/inc/priv/alt_dev_llist.h: 27 | 28 | HAL/inc/os/alt_sem.h: 29 | 30 | HAL/inc/priv/alt_no_error.h: 31 | 32 | HAL/inc/os/alt_syscall.h: 33 | 34 | HAL/inc/sys/alt_driver.h: 35 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_ioctl.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_ioctl.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_entry.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_irq_entry.o: HAL/src/alt_irq_entry.S system.h linker.h 2 | 3 | system.h: 4 | 5 | linker.h: 6 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_entry.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_entry.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_handler.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_irq_handler.o: HAL/src/alt_irq_handler.c system.h \ 2 | linker.h HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h \ 3 | HAL/inc/priv/alt_legacy_irq.h HAL/inc/os/alt_hooks.h 4 | 5 | system.h: 6 | 7 | linker.h: 8 | 9 | HAL/inc/sys/alt_irq.h: 10 | 11 | HAL/inc/nios2.h: 12 | 13 | HAL/inc/alt_types.h: 14 | 15 | HAL/inc/priv/alt_legacy_irq.h: 16 | 17 | HAL/inc/os/alt_hooks.h: 18 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_handler.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_handler.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_register.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_irq_register.o: HAL/src/alt_irq_register.c system.h \ 2 | linker.h HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h \ 3 | HAL/inc/priv/alt_legacy_irq.h HAL/inc/os/alt_hooks.h \ 4 | HAL/inc/sys/alt_irq_entry.h HAL/inc/priv/alt_irq_table.h 5 | 6 | system.h: 7 | 8 | linker.h: 9 | 10 | HAL/inc/sys/alt_irq.h: 11 | 12 | HAL/inc/nios2.h: 13 | 14 | HAL/inc/alt_types.h: 15 | 16 | HAL/inc/priv/alt_legacy_irq.h: 17 | 18 | HAL/inc/os/alt_hooks.h: 19 | 20 | HAL/inc/sys/alt_irq_entry.h: 21 | 22 | HAL/inc/priv/alt_irq_table.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_register.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_register.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_vars.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_irq_vars.o: HAL/src/alt_irq_vars.c HAL/inc/alt_types.h \ 2 | system.h linker.h 3 | 4 | HAL/inc/alt_types.h: 5 | 6 | system.h: 7 | 8 | linker.h: 9 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_vars.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_irq_vars.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_isatty.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_isatty.o: HAL/src/alt_isatty.c HAL/inc/sys/alt_dev.h \ 2 | system.h linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 3 | HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_errno.h \ 4 | HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h HAL/inc/os/alt_sem.h \ 5 | HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_syscall.h 6 | 7 | HAL/inc/sys/alt_dev.h: 8 | 9 | system.h: 10 | 11 | linker.h: 12 | 13 | HAL/inc/sys/alt_llist.h: 14 | 15 | HAL/inc/alt_types.h: 16 | 17 | HAL/inc/priv/alt_dev_llist.h: 18 | 19 | HAL/inc/sys/alt_errno.h: 20 | 21 | HAL/inc/sys/alt_warning.h: 22 | 23 | HAL/inc/priv/alt_file.h: 24 | 25 | HAL/inc/os/alt_sem.h: 26 | 27 | HAL/inc/priv/alt_no_error.h: 28 | 29 | HAL/inc/os/alt_syscall.h: 30 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_isatty.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_isatty.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_kill.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_kill.o: HAL/src/alt_kill.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h 3 | 4 | HAL/inc/sys/alt_errno.h: 5 | 6 | HAL/inc/alt_types.h: 7 | 8 | HAL/inc/os/alt_syscall.h: 9 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_kill.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_kill.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_link.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_link.o: HAL/src/alt_link.c HAL/inc/sys/alt_warning.h \ 2 | HAL/inc/sys/alt_errno.h HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h 3 | 4 | HAL/inc/sys/alt_warning.h: 5 | 6 | HAL/inc/sys/alt_errno.h: 7 | 8 | HAL/inc/alt_types.h: 9 | 10 | HAL/inc/os/alt_syscall.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_link.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_link.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_load.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_load.o: HAL/src/alt_load.c HAL/inc/sys/alt_load.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_cache.h 3 | 4 | HAL/inc/sys/alt_load.h: 5 | 6 | HAL/inc/alt_types.h: 7 | 8 | HAL/inc/sys/alt_cache.h: 9 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_load.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_load.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_log_macro.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_log_macro.o: HAL/src/alt_log_macro.S 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_log_macro.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_log_macro.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_log_printf.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_log_printf.o: HAL/src/alt_log_printf.c 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_log_printf.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_log_printf.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_lseek.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_lseek.o: HAL/src/alt_lseek.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h \ 3 | HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ 4 | HAL/inc/priv/alt_dev_llist.h HAL/inc/os/alt_sem.h \ 5 | HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_syscall.h 6 | 7 | HAL/inc/sys/alt_errno.h: 8 | 9 | HAL/inc/alt_types.h: 10 | 11 | HAL/inc/sys/alt_warning.h: 12 | 13 | HAL/inc/priv/alt_file.h: 14 | 15 | HAL/inc/sys/alt_dev.h: 16 | 17 | system.h: 18 | 19 | linker.h: 20 | 21 | HAL/inc/sys/alt_llist.h: 22 | 23 | HAL/inc/priv/alt_dev_llist.h: 24 | 25 | HAL/inc/os/alt_sem.h: 26 | 27 | HAL/inc/priv/alt_no_error.h: 28 | 29 | HAL/inc/os/alt_syscall.h: 30 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_lseek.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_lseek.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_main.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_main.o: HAL/src/alt_main.c HAL/inc/sys/alt_dev.h system.h \ 2 | linker.h HAL/inc/sys/alt_llist.h HAL/inc/alt_types.h \ 3 | HAL/inc/priv/alt_dev_llist.h HAL/inc/sys/alt_sys_init.h \ 4 | HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/priv/alt_legacy_irq.h \ 5 | HAL/inc/os/alt_hooks.h HAL/inc/priv/alt_file.h HAL/inc/os/alt_sem.h \ 6 | HAL/inc/priv/alt_no_error.h HAL/inc/sys/alt_log_printf.h 7 | 8 | HAL/inc/sys/alt_dev.h: 9 | 10 | system.h: 11 | 12 | linker.h: 13 | 14 | HAL/inc/sys/alt_llist.h: 15 | 16 | HAL/inc/alt_types.h: 17 | 18 | HAL/inc/priv/alt_dev_llist.h: 19 | 20 | HAL/inc/sys/alt_sys_init.h: 21 | 22 | HAL/inc/sys/alt_irq.h: 23 | 24 | HAL/inc/nios2.h: 25 | 26 | HAL/inc/priv/alt_legacy_irq.h: 27 | 28 | HAL/inc/os/alt_hooks.h: 29 | 30 | HAL/inc/priv/alt_file.h: 31 | 32 | HAL/inc/os/alt_sem.h: 33 | 34 | HAL/inc/priv/alt_no_error.h: 35 | 36 | HAL/inc/sys/alt_log_printf.h: 37 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_main.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_main.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_malloc_lock.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_malloc_lock.o: HAL/src/alt_malloc_lock.c 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_malloc_lock.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_malloc_lock.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_mcount.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_mcount.o: HAL/src/alt_mcount.S 2 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_mcount.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_mcount.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_open.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_open.o: HAL/src/alt_open.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h \ 3 | HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ 4 | HAL/inc/priv/alt_dev_llist.h HAL/inc/os/alt_sem.h \ 5 | HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_syscall.h 6 | 7 | HAL/inc/sys/alt_errno.h: 8 | 9 | HAL/inc/alt_types.h: 10 | 11 | HAL/inc/sys/alt_warning.h: 12 | 13 | HAL/inc/priv/alt_file.h: 14 | 15 | HAL/inc/sys/alt_dev.h: 16 | 17 | system.h: 18 | 19 | linker.h: 20 | 21 | HAL/inc/sys/alt_llist.h: 22 | 23 | HAL/inc/priv/alt_dev_llist.h: 24 | 25 | HAL/inc/os/alt_sem.h: 26 | 27 | HAL/inc/priv/alt_no_error.h: 28 | 29 | HAL/inc/os/alt_syscall.h: 30 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_open.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_open.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_printf.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_printf.o: HAL/src/alt_printf.c HAL/inc/sys/alt_stdio.h 2 | 3 | HAL/inc/sys/alt_stdio.h: 4 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_printf.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_printf.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_putchar.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_putchar.o: HAL/src/alt_putchar.c system.h linker.h \ 2 | HAL/inc/sys/alt_driver.h HAL/inc/sys/alt_stdio.h 3 | 4 | system.h: 5 | 6 | linker.h: 7 | 8 | HAL/inc/sys/alt_driver.h: 9 | 10 | HAL/inc/sys/alt_stdio.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_putchar.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_putchar.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_putstr.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_putstr.o: HAL/src/alt_putstr.c system.h linker.h \ 2 | HAL/inc/sys/alt_driver.h HAL/inc/sys/alt_stdio.h 3 | 4 | system.h: 5 | 6 | linker.h: 7 | 8 | HAL/inc/sys/alt_driver.h: 9 | 10 | HAL/inc/sys/alt_stdio.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_putstr.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_putstr.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_read.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_read.o: HAL/src/alt_read.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h \ 3 | HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ 4 | HAL/inc/priv/alt_dev_llist.h HAL/inc/os/alt_sem.h \ 5 | HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_syscall.h \ 6 | HAL/inc/sys/alt_driver.h 7 | 8 | HAL/inc/sys/alt_errno.h: 9 | 10 | HAL/inc/alt_types.h: 11 | 12 | HAL/inc/sys/alt_warning.h: 13 | 14 | HAL/inc/priv/alt_file.h: 15 | 16 | HAL/inc/sys/alt_dev.h: 17 | 18 | system.h: 19 | 20 | linker.h: 21 | 22 | HAL/inc/sys/alt_llist.h: 23 | 24 | HAL/inc/priv/alt_dev_llist.h: 25 | 26 | HAL/inc/os/alt_sem.h: 27 | 28 | HAL/inc/priv/alt_no_error.h: 29 | 30 | HAL/inc/os/alt_syscall.h: 31 | 32 | HAL/inc/sys/alt_driver.h: 33 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_read.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_read.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_release_fd.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_release_fd.o: HAL/src/alt_release_fd.c \ 2 | HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ 3 | HAL/inc/alt_types.h HAL/inc/priv/alt_dev_llist.h HAL/inc/priv/alt_file.h \ 4 | HAL/inc/os/alt_sem.h HAL/inc/priv/alt_no_error.h 5 | 6 | HAL/inc/sys/alt_dev.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | 12 | HAL/inc/sys/alt_llist.h: 13 | 14 | HAL/inc/alt_types.h: 15 | 16 | HAL/inc/priv/alt_dev_llist.h: 17 | 18 | HAL/inc/priv/alt_file.h: 19 | 20 | HAL/inc/os/alt_sem.h: 21 | 22 | HAL/inc/priv/alt_no_error.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_release_fd.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_release_fd.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_remap_cached.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_remap_cached.o: HAL/src/alt_remap_cached.c \ 2 | HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h system.h linker.h 3 | 4 | HAL/inc/sys/alt_cache.h: 5 | 6 | HAL/inc/alt_types.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_remap_cached.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_remap_cached.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_remap_uncached.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_remap_uncached.o: HAL/src/alt_remap_uncached.c \ 2 | HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h system.h linker.h 3 | 4 | HAL/inc/sys/alt_cache.h: 5 | 6 | HAL/inc/alt_types.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_remap_uncached.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_remap_uncached.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_rename.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_rename.o: HAL/src/alt_rename.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/os/alt_syscall.h 3 | 4 | HAL/inc/sys/alt_errno.h: 5 | 6 | HAL/inc/alt_types.h: 7 | 8 | HAL/inc/sys/alt_warning.h: 9 | 10 | HAL/inc/os/alt_syscall.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_rename.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_rename.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_sbrk.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_sbrk.o: HAL/src/alt_sbrk.c HAL/inc/os/alt_syscall.h \ 2 | HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h system.h \ 3 | linker.h HAL/inc/priv/alt_legacy_irq.h HAL/inc/sys/alt_stack.h 4 | 5 | HAL/inc/os/alt_syscall.h: 6 | 7 | HAL/inc/sys/alt_irq.h: 8 | 9 | HAL/inc/nios2.h: 10 | 11 | HAL/inc/alt_types.h: 12 | 13 | system.h: 14 | 15 | linker.h: 16 | 17 | HAL/inc/priv/alt_legacy_irq.h: 18 | 19 | HAL/inc/sys/alt_stack.h: 20 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_sbrk.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_sbrk.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_settod.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_settod.o: HAL/src/alt_settod.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h \ 3 | HAL/inc/priv/alt_alarm.h HAL/inc/os/alt_syscall.h 4 | 5 | HAL/inc/sys/alt_errno.h: 6 | 7 | HAL/inc/alt_types.h: 8 | 9 | HAL/inc/sys/alt_alarm.h: 10 | 11 | HAL/inc/sys/alt_llist.h: 12 | 13 | HAL/inc/priv/alt_alarm.h: 14 | 15 | HAL/inc/os/alt_syscall.h: 16 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_settod.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_settod.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_software_exception.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_software_exception.o: HAL/src/alt_software_exception.S \ 2 | system.h linker.h 3 | 4 | system.h: 5 | 6 | linker.h: 7 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_software_exception.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_software_exception.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_stat.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_stat.o: HAL/src/alt_stat.c HAL/inc/os/alt_syscall.h 2 | 3 | HAL/inc/os/alt_syscall.h: 4 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_stat.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_stat.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_tick.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_tick.o: HAL/src/alt_tick.c HAL/inc/sys/alt_irq.h \ 2 | HAL/inc/nios2.h HAL/inc/alt_types.h system.h linker.h \ 3 | HAL/inc/priv/alt_legacy_irq.h HAL/inc/sys/alt_alarm.h \ 4 | HAL/inc/sys/alt_llist.h HAL/inc/priv/alt_alarm.h HAL/inc/os/alt_hooks.h 5 | 6 | HAL/inc/sys/alt_irq.h: 7 | 8 | HAL/inc/nios2.h: 9 | 10 | HAL/inc/alt_types.h: 11 | 12 | system.h: 13 | 14 | linker.h: 15 | 16 | HAL/inc/priv/alt_legacy_irq.h: 17 | 18 | HAL/inc/sys/alt_alarm.h: 19 | 20 | HAL/inc/sys/alt_llist.h: 21 | 22 | HAL/inc/priv/alt_alarm.h: 23 | 24 | HAL/inc/os/alt_hooks.h: 25 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_tick.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_tick.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_times.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_times.o: HAL/src/alt_times.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_alarm.h HAL/inc/sys/alt_llist.h \ 3 | HAL/inc/priv/alt_alarm.h HAL/inc/os/alt_syscall.h 4 | 5 | HAL/inc/sys/alt_errno.h: 6 | 7 | HAL/inc/alt_types.h: 8 | 9 | HAL/inc/sys/alt_alarm.h: 10 | 11 | HAL/inc/sys/alt_llist.h: 12 | 13 | HAL/inc/priv/alt_alarm.h: 14 | 15 | HAL/inc/os/alt_syscall.h: 16 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_times.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_times.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_uncached_free.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_uncached_free.o: HAL/src/alt_uncached_free.c \ 2 | HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h system.h linker.h 3 | 4 | HAL/inc/sys/alt_cache.h: 5 | 6 | HAL/inc/alt_types.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_uncached_free.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_uncached_free.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_uncached_malloc.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_uncached_malloc.o: HAL/src/alt_uncached_malloc.c \ 2 | HAL/inc/sys/alt_cache.h HAL/inc/alt_types.h system.h linker.h 3 | 4 | HAL/inc/sys/alt_cache.h: 5 | 6 | HAL/inc/alt_types.h: 7 | 8 | system.h: 9 | 10 | linker.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_uncached_malloc.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_uncached_malloc.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_unlink.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_unlink.o: HAL/src/alt_unlink.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/os/alt_syscall.h 3 | 4 | HAL/inc/sys/alt_errno.h: 5 | 6 | HAL/inc/alt_types.h: 7 | 8 | HAL/inc/sys/alt_warning.h: 9 | 10 | HAL/inc/os/alt_syscall.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_unlink.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_unlink.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_usleep.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_usleep.o: HAL/src/alt_usleep.c \ 2 | HAL/inc/priv/alt_busy_sleep.h HAL/inc/os/alt_syscall.h 3 | 4 | HAL/inc/priv/alt_busy_sleep.h: 5 | 6 | HAL/inc/os/alt_syscall.h: 7 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_usleep.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_usleep.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_wait.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_wait.o: HAL/src/alt_wait.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/os/alt_syscall.h 3 | 4 | HAL/inc/sys/alt_errno.h: 5 | 6 | HAL/inc/alt_types.h: 7 | 8 | HAL/inc/os/alt_syscall.h: 9 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_wait.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_wait.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_write.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/alt_write.o: HAL/src/alt_write.c HAL/inc/sys/alt_errno.h \ 2 | HAL/inc/alt_types.h HAL/inc/sys/alt_warning.h HAL/inc/priv/alt_file.h \ 3 | HAL/inc/sys/alt_dev.h system.h linker.h HAL/inc/sys/alt_llist.h \ 4 | HAL/inc/priv/alt_dev_llist.h HAL/inc/os/alt_sem.h \ 5 | HAL/inc/priv/alt_no_error.h HAL/inc/os/alt_syscall.h \ 6 | HAL/inc/sys/alt_log_printf.h HAL/inc/sys/alt_driver.h 7 | 8 | HAL/inc/sys/alt_errno.h: 9 | 10 | HAL/inc/alt_types.h: 11 | 12 | HAL/inc/sys/alt_warning.h: 13 | 14 | HAL/inc/priv/alt_file.h: 15 | 16 | HAL/inc/sys/alt_dev.h: 17 | 18 | system.h: 19 | 20 | linker.h: 21 | 22 | HAL/inc/sys/alt_llist.h: 23 | 24 | HAL/inc/priv/alt_dev_llist.h: 25 | 26 | HAL/inc/os/alt_sem.h: 27 | 28 | HAL/inc/priv/alt_no_error.h: 29 | 30 | HAL/inc/os/alt_syscall.h: 31 | 32 | HAL/inc/sys/alt_log_printf.h: 33 | 34 | HAL/inc/sys/alt_driver.h: 35 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/alt_write.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/alt_write.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/altera_nios2_qsys_irq.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/altera_nios2_qsys_irq.o: HAL/src/altera_nios2_qsys_irq.c \ 2 | HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h system.h \ 3 | linker.h HAL/inc/priv/alt_legacy_irq.h HAL/inc/altera_nios2_qsys_irq.h 4 | 5 | HAL/inc/sys/alt_irq.h: 6 | 7 | HAL/inc/nios2.h: 8 | 9 | HAL/inc/alt_types.h: 10 | 11 | system.h: 12 | 13 | linker.h: 14 | 15 | HAL/inc/priv/alt_legacy_irq.h: 16 | 17 | HAL/inc/altera_nios2_qsys_irq.h: 18 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/altera_nios2_qsys_irq.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/altera_nios2_qsys_irq.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/crt0.d: -------------------------------------------------------------------------------- 1 | obj/HAL/src/crt0.o: HAL/src/crt0.S system.h linker.h HAL/inc/nios2.h \ 2 | HAL/inc/sys/alt_log_printf.h 3 | 4 | system.h: 5 | 6 | linker.h: 7 | 8 | HAL/inc/nios2.h: 9 | 10 | HAL/inc/sys/alt_log_printf.h: 11 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/HAL/src/crt0.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/HAL/src/crt0.o -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/alt_sys_init.d: -------------------------------------------------------------------------------- 1 | obj/alt_sys_init.o: alt_sys_init.c system.h linker.h \ 2 | HAL/inc/sys/alt_irq.h HAL/inc/nios2.h HAL/inc/alt_types.h system.h \ 3 | HAL/inc/priv/alt_legacy_irq.h HAL/inc/sys/alt_sys_init.h \ 4 | HAL/inc/altera_nios2_qsys_irq.h 5 | 6 | system.h: 7 | 8 | linker.h: 9 | 10 | HAL/inc/sys/alt_irq.h: 11 | 12 | HAL/inc/nios2.h: 13 | 14 | HAL/inc/alt_types.h: 15 | 16 | system.h: 17 | 18 | HAL/inc/priv/alt_legacy_irq.h: 19 | 20 | HAL/inc/sys/alt_sys_init.h: 21 | 22 | HAL/inc/altera_nios2_qsys_irq.h: 23 | -------------------------------------------------------------------------------- /nios/software/pci_uart_test_bsp/obj/alt_sys_init.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/defparam/PCI2Nano-RTL/a44a89b1fe34a632155c064dcb5c1115afdd1e78/nios/software/pci_uart_test_bsp/obj/alt_sys_init.o -------------------------------------------------------------------------------- /pci2nano.qpf: -------------------------------------------------------------------------------- 1 | DATE = "17:42:43 October 12, 2019" 2 | QUARTUS_VERSION = "16.0.2" 3 | 4 | # Revisions 5 | 6 | PROJECT_REVISION = "pci2nano" 7 | -------------------------------------------------------------------------------- /pci2nano.sdc: -------------------------------------------------------------------------------- 1 | set_time_format -unit ns -decimal_places 3 2 | create_clock -name {altera_reserved_tck} -period 100.000 -waveform { 0.000 50.000 } [get_ports {altera_reserved_tck}] 3 | create_clock -name {CLOCK_50} -period 20.000 -waveform { 0.000 10.000 } [get_ports {CLOCK_50}] 4 | create_clock -name {PCI_CLK} -period 15.000 -waveform { 0.000 7.500 } [get_ports {PCI_CLK}] 5 | set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] 0.020 6 | set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] 0.020 7 | set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] 0.020 8 | set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] 0.020 9 | set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] 10 | --------------------------------------------------------------------------------