├── .gitignore ├── README.md ├── c1-dead-lock-sdspi ├── .gitignore ├── .gtkwaverc ├── Makefile ├── README.md ├── bench │ └── cpp │ │ ├── .gitignore │ │ ├── .gtkwaverc │ │ ├── autotest_tb.cpp │ │ ├── sdspisim.cpp │ │ ├── sdspisim.h │ │ ├── testb.h │ │ └── wb_tb.h ├── bug9.instrument.cfg ├── rtl │ ├── llsdspi.v │ └── sdspi.v ├── sources.txt └── vivado_synth │ └── sdspi_wrapper.v ├── c2-producer-consumer-mismatch-optimus ├── .gitignore ├── .gtkwaverc ├── Makefile ├── Makefile.lc ├── README.md ├── bug5.instrument.cfg ├── ccip │ ├── device_if │ │ ├── avalon_mem_if.vh │ │ ├── avalon_mem_if_dbg.vh │ │ ├── ccip_if_clock.sv │ │ ├── ccip_if_pkg.sv │ │ └── device_if.vh │ ├── platform_afu_top_config.vh │ ├── platform_if.vh │ └── platform_shims │ │ ├── README.md │ │ ├── platform_shim_avalon_mem_if.sv │ │ ├── platform_shim_ccip.sv │ │ ├── platform_shim_ccip_std_afu.sv │ │ └── utils │ │ ├── avalon_mem_if_async_shim.sv │ │ ├── avalon_mem_if_connect.sv │ │ ├── avalon_mem_if_reg.sv │ │ ├── platform_utils_ccip_activity_cnt.sv │ │ ├── platform_utils_ccip_async_shim.sv │ │ ├── platform_utils_ccip_reg.sv │ │ └── quartus_ip │ │ ├── README │ │ ├── gen_platform_ip.sh │ │ ├── platform_utils_avalon_dc_fifo.sdc │ │ ├── platform_utils_avalon_dc_fifo.v │ │ ├── platform_utils_avalon_mm_bridge.v │ │ ├── platform_utils_avalon_mm_clock_crossing_bridge.v │ │ ├── platform_utils_dc_fifo.sdc │ │ ├── platform_utils_dc_fifo.sv │ │ ├── platform_utils_dcfifo_synchronizer_bundle.v │ │ └── platform_utils_std_synchronizer_nocut.v ├── lib │ ├── BBB_cci_mpf │ │ ├── README │ │ ├── hw │ │ │ ├── README │ │ │ ├── par │ │ │ │ ├── README │ │ │ │ ├── qsf_cci_mpf_PAR_files.qsf │ │ │ │ └── sdc_cci_mpf.sdc │ │ │ ├── rtl │ │ │ │ ├── cci-if │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_csr_if.vh │ │ │ │ │ ├── cci_csr_if_pkg.sv │ │ │ │ │ ├── ccip_feature_list.h │ │ │ │ │ ├── ccip_feature_list_pkg.sv │ │ │ │ │ ├── ccip_if_funcs_pkg.sv │ │ │ │ │ ├── ccip_if_pkg.sv │ │ │ │ │ ├── ccis_if_funcs_pkg.sv │ │ │ │ │ └── ccis_if_pkg.sv │ │ │ │ ├── cci-mpf-if │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_if.vh │ │ │ │ │ ├── cci_mpf_if_dbg.vh │ │ │ │ │ ├── cci_mpf_if_pkg.sv │ │ │ │ │ ├── cci_mpf_platform.vh │ │ │ │ │ ├── ccip_wires_to_mpf.sv │ │ │ │ │ └── ccis_wires_to_mpf.sv │ │ │ │ ├── cci-mpf-prims │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_prim_arb_rr.sv │ │ │ │ │ ├── cci_mpf_prim_fifo1.sv │ │ │ │ │ ├── cci_mpf_prim_fifo2.sv │ │ │ │ │ ├── cci_mpf_prim_fifo_bram.sv │ │ │ │ │ ├── cci_mpf_prim_fifo_lutram.sv │ │ │ │ │ ├── cci_mpf_prim_filter_cam.sv │ │ │ │ │ ├── cci_mpf_prim_filter_counting.sv │ │ │ │ │ ├── cci_mpf_prim_filter_decode.sv │ │ │ │ │ ├── cci_mpf_prim_hash.vh │ │ │ │ │ ├── cci_mpf_prim_heap.sv │ │ │ │ │ ├── cci_mpf_prim_lfsr.sv │ │ │ │ │ ├── cci_mpf_prim_lutram.sv │ │ │ │ │ ├── cci_mpf_prim_onehot_to_bin.sv │ │ │ │ │ ├── cci_mpf_prim_ram_dualport.sv │ │ │ │ │ ├── cci_mpf_prim_ram_dualport_byteena.sv │ │ │ │ │ ├── cci_mpf_prim_ram_simple.sv │ │ │ │ │ ├── cci_mpf_prim_repl_lru_pseudo.sv │ │ │ │ │ ├── cci_mpf_prim_repl_random.sv │ │ │ │ │ ├── cci_mpf_prim_rob.sv │ │ │ │ │ ├── cci_mpf_prim_semaphore_cam.sv │ │ │ │ │ ├── cci_mpf_prim_track_active_reqs.sv │ │ │ │ │ └── cci_mpf_prim_track_multi_write.sv │ │ │ │ ├── cci-mpf-shims │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_shim.vh │ │ │ │ │ ├── cci_mpf_shim_buffer_afu.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_afu_epoch.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_afu_lockstep.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_fiu.sv │ │ │ │ │ ├── cci_mpf_shim_csr.sv │ │ │ │ │ ├── cci_mpf_shim_dbg_history.sv │ │ │ │ │ ├── cci_mpf_shim_dedup_reads.sv │ │ │ │ │ ├── cci_mpf_shim_detect_eop.sv │ │ │ │ │ ├── cci_mpf_shim_edge │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_edge.vh │ │ │ │ │ │ ├── cci_mpf_shim_edge_afu.sv │ │ │ │ │ │ └── cci_mpf_shim_edge_fiu.sv │ │ │ │ │ ├── cci_mpf_shim_latency_qos.sv │ │ │ │ │ ├── cci_mpf_shim_mux.sv │ │ │ │ │ ├── cci_mpf_shim_null.sv │ │ │ │ │ ├── cci_mpf_shim_pkg.sv │ │ │ │ │ ├── cci_mpf_shim_pwrite │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_pwrite.sv │ │ │ │ │ │ └── cci_mpf_shim_pwrite.vh │ │ │ │ │ ├── cci_mpf_shim_rsp_order.sv │ │ │ │ │ ├── cci_mpf_shim_vc_map.sv │ │ │ │ │ ├── cci_mpf_shim_vtp │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_vtp.sv │ │ │ │ │ │ ├── cci_mpf_shim_vtp.vh │ │ │ │ │ │ ├── cci_mpf_svc_vtp.sv │ │ │ │ │ │ ├── cci_mpf_svc_vtp_pipe.sv │ │ │ │ │ │ ├── cci_mpf_svc_vtp_pt_walk.sv │ │ │ │ │ │ └── cci_mpf_svc_vtp_tlb.sv │ │ │ │ │ └── cci_mpf_shim_wro │ │ │ │ │ │ ├── cci_mpf_shim_wro.sv │ │ │ │ │ │ ├── cci_mpf_shim_wro.vh │ │ │ │ │ │ ├── cci_mpf_shim_wro_epoch_order.sv │ │ │ │ │ │ └── cci_mpf_shim_wro_filter_group.sv │ │ │ │ ├── cci_mpf.sv │ │ │ │ ├── cci_mpf_config.vh │ │ │ │ ├── cci_mpf_csrs.vh │ │ │ │ ├── cci_mpf_csrs_pkg.sv │ │ │ │ ├── cci_mpf_null.sv │ │ │ │ ├── cci_mpf_pipe_std.sv │ │ │ │ └── cci_mpf_sources.txt │ │ │ └── sim │ │ │ │ └── cci_mpf_sim_addenda.txt │ │ ├── samples │ │ │ └── afu │ │ │ │ ├── cci_mpf_library_import.qsf │ │ │ │ ├── ccip_mpf_nlb.sv │ │ │ │ └── ccip_slow_mpf_nlb.sv │ │ ├── scripts │ │ │ ├── iterate.sh │ │ │ └── test-helloalivtpnlb-ase.sh │ │ ├── sw │ │ │ ├── .gitignore │ │ │ ├── CMakeLists.txt │ │ │ ├── README │ │ │ ├── doc │ │ │ │ ├── Doxyfile.in │ │ │ │ ├── DoxygenLayout.xml │ │ │ │ └── doxygen.cmake │ │ │ ├── include │ │ │ │ ├── aalsdk │ │ │ │ │ └── mpf │ │ │ │ │ │ ├── IMPF.h │ │ │ │ │ │ ├── MPFService.h │ │ │ │ │ │ └── config.h │ │ │ │ ├── opae │ │ │ │ │ └── mpf │ │ │ │ │ │ ├── cci_mpf_csrs.h │ │ │ │ │ │ ├── connect.h │ │ │ │ │ │ ├── csrs.h │ │ │ │ │ │ ├── cxx │ │ │ │ │ │ ├── mpf_handle.h │ │ │ │ │ │ └── mpf_shared_buffer.h │ │ │ │ │ │ ├── mpf.h │ │ │ │ │ │ ├── shim_latency_qos.h │ │ │ │ │ │ ├── shim_pwrite.h │ │ │ │ │ │ ├── shim_vc_map.h │ │ │ │ │ │ ├── shim_vtp.h │ │ │ │ │ │ ├── shim_wro.h │ │ │ │ │ │ └── types.h │ │ │ │ └── vai │ │ │ │ │ └── mpf │ │ │ │ │ ├── cci_mpf_csrs.h │ │ │ │ │ ├── connect.h │ │ │ │ │ ├── csrs.h │ │ │ │ │ ├── mpf.h │ │ │ │ │ ├── shim_latency_qos.h │ │ │ │ │ ├── shim_pwrite.h │ │ │ │ │ ├── shim_vc_map.h │ │ │ │ │ ├── shim_wro.h │ │ │ │ │ └── types.h │ │ │ ├── src │ │ │ │ ├── libmpf++ │ │ │ │ │ ├── mpf_handle.cpp │ │ │ │ │ └── mpf_shared_buffer.cpp │ │ │ │ ├── libmpf │ │ │ │ │ ├── connect.c │ │ │ │ │ ├── csrs.c │ │ │ │ │ ├── mpf_internal.h │ │ │ │ │ ├── mpf_os.c │ │ │ │ │ ├── mpf_os.h │ │ │ │ │ ├── shim_latency_qos.c │ │ │ │ │ ├── shim_pwrite.c │ │ │ │ │ ├── shim_vc_map.c │ │ │ │ │ ├── shim_vtp.c │ │ │ │ │ ├── shim_vtp_internal.h │ │ │ │ │ ├── shim_vtp_pt.c │ │ │ │ │ ├── shim_vtp_pt.h │ │ │ │ │ └── shim_wro.c │ │ │ │ └── mpf.cmake │ │ │ ├── src_aal │ │ │ │ ├── cci_mpf_service.cpp │ │ │ │ ├── cci_mpf_service.h │ │ │ │ ├── cci_mpf_shim_latency_qos.cpp │ │ │ │ ├── cci_mpf_shim_latency_qos.h │ │ │ │ ├── cci_mpf_shim_pwrite.cpp │ │ │ │ ├── cci_mpf_shim_pwrite.h │ │ │ │ ├── cci_mpf_shim_vc_map.cpp │ │ │ │ ├── cci_mpf_shim_vc_map.h │ │ │ │ ├── cci_mpf_shim_vtp.cpp │ │ │ │ ├── cci_mpf_shim_vtp.h │ │ │ │ ├── cci_mpf_shim_vtp_pt.cpp │ │ │ │ ├── cci_mpf_shim_vtp_pt.h │ │ │ │ ├── cci_mpf_shim_wro.cpp │ │ │ │ ├── cci_mpf_shim_wro.h │ │ │ │ └── mpf_aal.cmake │ │ │ └── src_vai │ │ │ │ ├── connect.c │ │ │ │ ├── csrs.c │ │ │ │ ├── mpf_internal.h │ │ │ │ ├── mpf_vai.cmake │ │ │ │ ├── shim_latency_qos.c │ │ │ │ ├── shim_pwrite.c │ │ │ │ ├── shim_vc_map.c │ │ │ │ └── shim_wro.c │ │ └── test │ │ │ └── test-mpf │ │ │ ├── base │ │ │ ├── hw │ │ │ │ ├── par │ │ │ │ │ └── cci_mpf_test_base_PAR_files.qsf │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf_default.vh │ │ │ │ │ ├── cci_test_afu.sv │ │ │ │ │ ├── cci_test_csrs.sv │ │ │ │ │ ├── cci_test_csrs.vh │ │ │ │ │ └── sys_cfg_pkg.svh │ │ │ │ └── sim │ │ │ │ │ ├── cci_mpf_test_base_addenda.txt │ │ │ │ │ └── setup_ase_sim.py │ │ │ └── sw │ │ │ │ ├── base_include.mk │ │ │ │ ├── cci_test.h │ │ │ │ ├── cci_test_main.cpp │ │ │ │ ├── opae_svc_wrapper.cpp │ │ │ │ └── opae_svc_wrapper.h │ │ │ ├── test_cci_mpf_null │ │ │ ├── README │ │ │ ├── hw │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ │ ├── sources.txt │ │ │ │ │ ├── test_cci_mpf_null.json │ │ │ │ │ └── test_cci_mpf_null.sv │ │ │ │ └── sim │ │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── test_cci_mpf_null.cpp │ │ │ │ └── test_cci_mpf_null.h │ │ │ ├── test_mem_perf │ │ │ ├── README │ │ │ ├── hw │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ │ ├── sources.txt │ │ │ │ │ ├── test_mem_perf.json │ │ │ │ │ └── test_mem_perf.sv │ │ │ │ └── sim │ │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── compute_latency_qos_params.cpp │ │ │ │ ├── compute_vc_map_params.cpp │ │ │ │ ├── scripts │ │ │ │ ├── plot_buffer_credits.gp │ │ │ │ ├── plot_buffer_credits_rw.gp │ │ │ │ ├── plot_lat.sh │ │ │ │ ├── plot_perf.gp │ │ │ │ ├── plot_perf.sh │ │ │ │ ├── run_lat.sh │ │ │ │ └── run_perf.sh │ │ │ │ ├── test_mem_latency.cpp │ │ │ │ ├── test_mem_perf.cpp │ │ │ │ ├── test_mem_perf.h │ │ │ │ └── test_mem_perf_common.cpp │ │ │ └── test_random │ │ │ ├── hw │ │ │ ├── rtl │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ ├── sources.txt │ │ │ │ ├── test_random.json │ │ │ │ └── test_random.sv │ │ │ └── sim │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── test_random.cpp │ │ │ └── test_random.h │ └── BBB_vai_mux_nested │ │ └── hw │ │ ├── par │ │ └── ccip_mux.qsf │ │ ├── rtl │ │ ├── a10_ram_sdp_wysiwyg.v │ │ ├── ccip_front_end.sv │ │ ├── ccip_intf_regs.sv │ │ ├── ccip_legacy_mux_nested.sv │ │ ├── ccip_mux_legacy.sv │ │ ├── fair_arbiter.sv │ │ ├── gram_sdp.v │ │ ├── sync_C1Tx_fifo.v │ │ ├── vai_audit_rx.sv │ │ ├── vai_audit_rx2.sv │ │ ├── vai_audit_tx.sv │ │ ├── vai_mgr.sv │ │ ├── vai_mgr_afu.sv │ │ ├── vai_mux.sv │ │ └── vendor_defines.vh │ │ └── sim │ │ ├── cci_mux_sim_addenda.txt │ │ └── mux_simfiles.list ├── origin_test │ ├── Makefile │ ├── image.cpp │ ├── image.h │ ├── input.png │ ├── main.cpp │ └── output.png ├── rtl │ ├── cci_mux.sv │ ├── ccip_std_afu.sv │ ├── ccip_std_afu_wrapper.sv │ ├── grayscale.sv │ ├── grayscale_csr.sv │ ├── grayscale_fifo.sv │ ├── grayscale_pkg.sv │ └── grayscale_requestor.sv ├── sources.txt └── test │ ├── ccip_std_afu.h │ ├── ccip_test_pkt.cpp │ ├── ccip_test_pkt.h │ ├── config-1.txt │ ├── config-2.txt │ └── main.cpp ├── c3-signal-asynchrony-sdspi ├── .gitignore ├── .gtkwaverc ├── Makefile ├── README.md ├── bench │ └── cpp │ │ ├── .gitignore │ │ ├── .gtkwaverc │ │ ├── autotest_tb.cpp │ │ ├── sdspisim.cpp │ │ ├── sdspisim.h │ │ ├── testb.h │ │ └── wb_tb.h ├── bug8.instrument.cfg ├── rtl │ ├── llsdspi.v │ └── sdspi.v ├── sources.txt └── vivado_synth │ └── sdspi_wrapper.v ├── c4-signal-asynchrony-axi-stream-fifo ├── .gitignore ├── Makefile ├── Makefile.lc ├── README.md ├── instrument.txt ├── n6.instrument.cfg ├── rtl │ ├── axis_async_fifo.v │ ├── axis_fifo_wrapper.v │ ├── axis_register.v │ └── test_axis_async_fifo.v ├── sources-veripass.txt ├── sources.txt └── test │ └── main.cpp ├── common ├── AXI_WRAPPER.tcl.template ├── Makefile.ILA.rules ├── Makefile.STP.rules ├── Makefile.env ├── Makefile.rules ├── altera │ ├── 220model.v │ └── altera_mf.v ├── cci_afu.json ├── ccip_dewrapper.sv ├── ccip_dewrapper_async.sv ├── clk250.xdc ├── libvai │ ├── include │ │ ├── hardcloud │ │ │ ├── hardcloud_app.h │ │ │ └── vai_svc_wrapper.h │ │ └── vai │ │ │ ├── fpga.h │ │ │ ├── mpf │ │ │ ├── cci_mpf_csrs.h │ │ │ ├── connect.h │ │ │ ├── csrs.h │ │ │ ├── mpf.h │ │ │ ├── shim_latency_qos.h │ │ │ ├── shim_pwrite.h │ │ │ ├── shim_vc_map.h │ │ │ ├── shim_wro.h │ │ │ └── types.h │ │ │ └── wrapper.h │ └── lib │ │ ├── libMPF_VAI.so │ │ ├── libhardcloud.so │ │ └── libvai-c-ase.so ├── trans.pl └── xilinx │ └── fakeila.sv ├── d1-buffer-overflow-rsd ├── .gitignore ├── .gtkwaverc ├── Makefile ├── Makefile.lc ├── README.md ├── bug3.instrument.cfg ├── ccip │ ├── device_if │ │ ├── avalon_mem_if.vh │ │ ├── avalon_mem_if_dbg.vh │ │ ├── ccip_if_clock.sv │ │ ├── ccip_if_pkg.sv │ │ └── device_if.vh │ ├── platform_afu_top_config.vh │ ├── platform_if.vh │ └── platform_shims │ │ ├── README.md │ │ ├── platform_shim_avalon_mem_if.sv │ │ ├── platform_shim_ccip.sv │ │ ├── platform_shim_ccip_std_afu.sv │ │ └── utils │ │ ├── avalon_mem_if_async_shim.sv │ │ ├── avalon_mem_if_connect.sv │ │ ├── avalon_mem_if_reg.sv │ │ ├── platform_utils_ccip_activity_cnt.sv │ │ ├── platform_utils_ccip_async_shim.sv │ │ ├── platform_utils_ccip_reg.sv │ │ └── quartus_ip │ │ ├── README │ │ ├── gen_platform_ip.sh │ │ ├── platform_utils_avalon_dc_fifo.sdc │ │ ├── platform_utils_avalon_dc_fifo.v │ │ ├── platform_utils_avalon_mm_bridge.v │ │ ├── platform_utils_avalon_mm_clock_crossing_bridge.v │ │ ├── platform_utils_dc_fifo.sdc │ │ ├── platform_utils_dc_fifo.sv │ │ ├── platform_utils_dcfifo_synchronizer_bundle.v │ │ └── platform_utils_std_synchronizer_nocut.v ├── lib │ ├── BBB_cci_mpf │ │ ├── README │ │ ├── hw │ │ │ ├── README │ │ │ ├── par │ │ │ │ ├── README │ │ │ │ ├── qsf_cci_mpf_PAR_files.qsf │ │ │ │ └── sdc_cci_mpf.sdc │ │ │ ├── rtl │ │ │ │ ├── cci-if │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_csr_if.vh │ │ │ │ │ ├── cci_csr_if_pkg.sv │ │ │ │ │ ├── ccip_feature_list.h │ │ │ │ │ ├── ccip_feature_list_pkg.sv │ │ │ │ │ ├── ccip_if_funcs_pkg.sv │ │ │ │ │ ├── ccip_if_pkg.sv │ │ │ │ │ ├── ccis_if_funcs_pkg.sv │ │ │ │ │ └── ccis_if_pkg.sv │ │ │ │ ├── cci-mpf-if │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_if.vh │ │ │ │ │ ├── cci_mpf_if_dbg.vh │ │ │ │ │ ├── cci_mpf_if_pkg.sv │ │ │ │ │ ├── cci_mpf_platform.vh │ │ │ │ │ ├── ccip_wires_to_mpf.sv │ │ │ │ │ └── ccis_wires_to_mpf.sv │ │ │ │ ├── cci-mpf-prims │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_prim_arb_rr.sv │ │ │ │ │ ├── cci_mpf_prim_fifo1.sv │ │ │ │ │ ├── cci_mpf_prim_fifo2.sv │ │ │ │ │ ├── cci_mpf_prim_fifo_bram.sv │ │ │ │ │ ├── cci_mpf_prim_fifo_lutram.sv │ │ │ │ │ ├── cci_mpf_prim_filter_cam.sv │ │ │ │ │ ├── cci_mpf_prim_filter_counting.sv │ │ │ │ │ ├── cci_mpf_prim_filter_decode.sv │ │ │ │ │ ├── cci_mpf_prim_hash.vh │ │ │ │ │ ├── cci_mpf_prim_heap.sv │ │ │ │ │ ├── cci_mpf_prim_lfsr.sv │ │ │ │ │ ├── cci_mpf_prim_lutram.sv │ │ │ │ │ ├── cci_mpf_prim_onehot_to_bin.sv │ │ │ │ │ ├── cci_mpf_prim_ram_dualport.sv │ │ │ │ │ ├── cci_mpf_prim_ram_dualport_byteena.sv │ │ │ │ │ ├── cci_mpf_prim_ram_simple.sv │ │ │ │ │ ├── cci_mpf_prim_repl_lru_pseudo.sv │ │ │ │ │ ├── cci_mpf_prim_repl_random.sv │ │ │ │ │ ├── cci_mpf_prim_rob.sv │ │ │ │ │ ├── cci_mpf_prim_semaphore_cam.sv │ │ │ │ │ ├── cci_mpf_prim_track_active_reqs.sv │ │ │ │ │ └── cci_mpf_prim_track_multi_write.sv │ │ │ │ ├── cci-mpf-shims │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_shim.vh │ │ │ │ │ ├── cci_mpf_shim_buffer_afu.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_afu_epoch.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_afu_lockstep.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_fiu.sv │ │ │ │ │ ├── cci_mpf_shim_csr.sv │ │ │ │ │ ├── cci_mpf_shim_dbg_history.sv │ │ │ │ │ ├── cci_mpf_shim_dedup_reads.sv │ │ │ │ │ ├── cci_mpf_shim_detect_eop.sv │ │ │ │ │ ├── cci_mpf_shim_edge │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_edge.vh │ │ │ │ │ │ ├── cci_mpf_shim_edge_afu.sv │ │ │ │ │ │ └── cci_mpf_shim_edge_fiu.sv │ │ │ │ │ ├── cci_mpf_shim_latency_qos.sv │ │ │ │ │ ├── cci_mpf_shim_mux.sv │ │ │ │ │ ├── cci_mpf_shim_null.sv │ │ │ │ │ ├── cci_mpf_shim_pkg.sv │ │ │ │ │ ├── cci_mpf_shim_pwrite │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_pwrite.sv │ │ │ │ │ │ └── cci_mpf_shim_pwrite.vh │ │ │ │ │ ├── cci_mpf_shim_rsp_order.sv │ │ │ │ │ ├── cci_mpf_shim_vc_map.sv │ │ │ │ │ ├── cci_mpf_shim_vtp │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_vtp.sv │ │ │ │ │ │ ├── cci_mpf_shim_vtp.vh │ │ │ │ │ │ ├── cci_mpf_svc_vtp.sv │ │ │ │ │ │ ├── cci_mpf_svc_vtp_pipe.sv │ │ │ │ │ │ ├── cci_mpf_svc_vtp_pt_walk.sv │ │ │ │ │ │ └── cci_mpf_svc_vtp_tlb.sv │ │ │ │ │ └── cci_mpf_shim_wro │ │ │ │ │ │ ├── cci_mpf_shim_wro.sv │ │ │ │ │ │ ├── cci_mpf_shim_wro.vh │ │ │ │ │ │ ├── cci_mpf_shim_wro_epoch_order.sv │ │ │ │ │ │ └── cci_mpf_shim_wro_filter_group.sv │ │ │ │ ├── cci_mpf.sv │ │ │ │ ├── cci_mpf_config.vh │ │ │ │ ├── cci_mpf_csrs.vh │ │ │ │ ├── cci_mpf_csrs_pkg.sv │ │ │ │ ├── cci_mpf_null.sv │ │ │ │ ├── cci_mpf_pipe_std.sv │ │ │ │ └── cci_mpf_sources.txt │ │ │ └── sim │ │ │ │ └── cci_mpf_sim_addenda.txt │ │ ├── samples │ │ │ └── afu │ │ │ │ ├── cci_mpf_library_import.qsf │ │ │ │ ├── ccip_mpf_nlb.sv │ │ │ │ └── ccip_slow_mpf_nlb.sv │ │ ├── scripts │ │ │ ├── iterate.sh │ │ │ └── test-helloalivtpnlb-ase.sh │ │ ├── sw │ │ │ ├── .gitignore │ │ │ ├── CMakeLists.txt │ │ │ ├── README │ │ │ ├── doc │ │ │ │ ├── Doxyfile.in │ │ │ │ ├── DoxygenLayout.xml │ │ │ │ └── doxygen.cmake │ │ │ ├── include │ │ │ │ ├── aalsdk │ │ │ │ │ └── mpf │ │ │ │ │ │ ├── IMPF.h │ │ │ │ │ │ ├── MPFService.h │ │ │ │ │ │ └── config.h │ │ │ │ ├── opae │ │ │ │ │ └── mpf │ │ │ │ │ │ ├── cci_mpf_csrs.h │ │ │ │ │ │ ├── connect.h │ │ │ │ │ │ ├── csrs.h │ │ │ │ │ │ ├── cxx │ │ │ │ │ │ ├── mpf_handle.h │ │ │ │ │ │ └── mpf_shared_buffer.h │ │ │ │ │ │ ├── mpf.h │ │ │ │ │ │ ├── shim_latency_qos.h │ │ │ │ │ │ ├── shim_pwrite.h │ │ │ │ │ │ ├── shim_vc_map.h │ │ │ │ │ │ ├── shim_vtp.h │ │ │ │ │ │ ├── shim_wro.h │ │ │ │ │ │ └── types.h │ │ │ │ └── vai │ │ │ │ │ └── mpf │ │ │ │ │ ├── cci_mpf_csrs.h │ │ │ │ │ ├── connect.h │ │ │ │ │ ├── csrs.h │ │ │ │ │ ├── mpf.h │ │ │ │ │ ├── shim_latency_qos.h │ │ │ │ │ ├── shim_pwrite.h │ │ │ │ │ ├── shim_vc_map.h │ │ │ │ │ ├── shim_wro.h │ │ │ │ │ └── types.h │ │ │ ├── src │ │ │ │ ├── libmpf++ │ │ │ │ │ ├── mpf_handle.cpp │ │ │ │ │ └── mpf_shared_buffer.cpp │ │ │ │ ├── libmpf │ │ │ │ │ ├── connect.c │ │ │ │ │ ├── csrs.c │ │ │ │ │ ├── mpf_internal.h │ │ │ │ │ ├── mpf_os.c │ │ │ │ │ ├── mpf_os.h │ │ │ │ │ ├── shim_latency_qos.c │ │ │ │ │ ├── shim_pwrite.c │ │ │ │ │ ├── shim_vc_map.c │ │ │ │ │ ├── shim_vtp.c │ │ │ │ │ ├── shim_vtp_internal.h │ │ │ │ │ ├── shim_vtp_pt.c │ │ │ │ │ ├── shim_vtp_pt.h │ │ │ │ │ └── shim_wro.c │ │ │ │ └── mpf.cmake │ │ │ ├── src_aal │ │ │ │ ├── cci_mpf_service.cpp │ │ │ │ ├── cci_mpf_service.h │ │ │ │ ├── cci_mpf_shim_latency_qos.cpp │ │ │ │ ├── cci_mpf_shim_latency_qos.h │ │ │ │ ├── cci_mpf_shim_pwrite.cpp │ │ │ │ ├── cci_mpf_shim_pwrite.h │ │ │ │ ├── cci_mpf_shim_vc_map.cpp │ │ │ │ ├── cci_mpf_shim_vc_map.h │ │ │ │ ├── cci_mpf_shim_vtp.cpp │ │ │ │ ├── cci_mpf_shim_vtp.h │ │ │ │ ├── cci_mpf_shim_vtp_pt.cpp │ │ │ │ ├── cci_mpf_shim_vtp_pt.h │ │ │ │ ├── cci_mpf_shim_wro.cpp │ │ │ │ ├── cci_mpf_shim_wro.h │ │ │ │ └── mpf_aal.cmake │ │ │ └── src_vai │ │ │ │ ├── connect.c │ │ │ │ ├── csrs.c │ │ │ │ ├── mpf_internal.h │ │ │ │ ├── mpf_vai.cmake │ │ │ │ ├── shim_latency_qos.c │ │ │ │ ├── shim_pwrite.c │ │ │ │ ├── shim_vc_map.c │ │ │ │ └── shim_wro.c │ │ └── test │ │ │ └── test-mpf │ │ │ ├── base │ │ │ ├── hw │ │ │ │ ├── par │ │ │ │ │ └── cci_mpf_test_base_PAR_files.qsf │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf_default.vh │ │ │ │ │ ├── cci_test_afu.sv │ │ │ │ │ ├── cci_test_csrs.sv │ │ │ │ │ ├── cci_test_csrs.vh │ │ │ │ │ └── sys_cfg_pkg.svh │ │ │ │ └── sim │ │ │ │ │ ├── cci_mpf_test_base_addenda.txt │ │ │ │ │ └── setup_ase_sim.py │ │ │ └── sw │ │ │ │ ├── base_include.mk │ │ │ │ ├── cci_test.h │ │ │ │ ├── cci_test_main.cpp │ │ │ │ ├── opae_svc_wrapper.cpp │ │ │ │ └── opae_svc_wrapper.h │ │ │ ├── test_cci_mpf_null │ │ │ ├── README │ │ │ ├── hw │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ │ ├── sources.txt │ │ │ │ │ ├── test_cci_mpf_null.json │ │ │ │ │ └── test_cci_mpf_null.sv │ │ │ │ └── sim │ │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── test_cci_mpf_null.cpp │ │ │ │ └── test_cci_mpf_null.h │ │ │ ├── test_mem_perf │ │ │ ├── README │ │ │ ├── hw │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ │ ├── sources.txt │ │ │ │ │ ├── test_mem_perf.json │ │ │ │ │ └── test_mem_perf.sv │ │ │ │ └── sim │ │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── compute_latency_qos_params.cpp │ │ │ │ ├── compute_vc_map_params.cpp │ │ │ │ ├── scripts │ │ │ │ ├── plot_buffer_credits.gp │ │ │ │ ├── plot_buffer_credits_rw.gp │ │ │ │ ├── plot_lat.sh │ │ │ │ ├── plot_perf.gp │ │ │ │ ├── plot_perf.sh │ │ │ │ ├── run_lat.sh │ │ │ │ └── run_perf.sh │ │ │ │ ├── test_mem_latency.cpp │ │ │ │ ├── test_mem_perf.cpp │ │ │ │ ├── test_mem_perf.h │ │ │ │ └── test_mem_perf_common.cpp │ │ │ └── test_random │ │ │ ├── hw │ │ │ ├── rtl │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ ├── sources.txt │ │ │ │ ├── test_random.json │ │ │ │ └── test_random.sv │ │ │ └── sim │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── test_random.cpp │ │ │ └── test_random.h │ └── BBB_ccip_async │ │ ├── hw │ │ ├── par │ │ │ ├── ccip_async.sdc │ │ │ ├── ccip_async_addenda.qsf │ │ │ └── sample_ccip_async_import.qsf │ │ ├── rtl │ │ │ ├── ccip_afifo_channel.sv │ │ │ ├── ccip_async_activity_cnt.sv │ │ │ └── ccip_async_shim.sv │ │ └── sim │ │ │ └── ccip_async_sim_addenda.txt │ │ └── samples │ │ ├── async_nlb100.sv │ │ ├── async_nlb150.sv │ │ ├── async_nlb200.sv │ │ └── async_nlb300.sv ├── origin_test │ ├── Makefile │ └── main.cpp ├── rtl │ ├── BM_lamda.v │ ├── DP_RAM.v │ ├── GF_matrix_ascending_binary.v │ ├── GF_matrix_dec.v │ ├── GF_mult_add_syndromes.v │ ├── Omega_Phy.v │ ├── RS_dec.v │ ├── ccip_std_afu.sv │ ├── ccip_std_afu_wrapper.sv │ ├── error_correction.v │ ├── input_syndromes.v │ ├── lamda_roots.v │ ├── nomux.txt │ ├── out_stage.v │ ├── reed_solomon_decoder.json │ ├── reed_solomon_decoder.sv │ ├── reed_solomon_decoder_csr.sv │ ├── reed_solomon_decoder_fifo.sv │ ├── reed_solomon_decoder_pkg.sv │ ├── reed_solomon_decoder_requestor.sv │ ├── sources.txt │ └── transport_in2out.v ├── sources.txt └── test │ ├── ccip_std_afu.h │ ├── ccip_test_pkt.cpp │ ├── ccip_test_pkt.h │ ├── config.txt │ ├── main.cpp │ └── pkt.txt ├── d10-failure-to-update-sha512 ├── .gitignore ├── .gtkwaverc ├── Makefile ├── README.md ├── bug1.instrument.cfg ├── ccip │ ├── device_if │ │ ├── avalon_mem_if.vh │ │ ├── avalon_mem_if_dbg.vh │ │ ├── ccip_if_clock.sv │ │ ├── ccip_if_pkg.sv │ │ └── device_if.vh │ ├── platform_afu_top_config.vh │ ├── platform_if.vh │ └── platform_shims │ │ ├── README.md │ │ ├── platform_shim_avalon_mem_if.sv │ │ ├── platform_shim_ccip.sv │ │ ├── platform_shim_ccip_std_afu.sv │ │ └── utils │ │ ├── avalon_mem_if_async_shim.sv │ │ ├── avalon_mem_if_connect.sv │ │ ├── avalon_mem_if_reg.sv │ │ ├── platform_utils_ccip_activity_cnt.sv │ │ ├── platform_utils_ccip_async_shim.sv │ │ ├── platform_utils_ccip_reg.sv │ │ └── quartus_ip │ │ ├── README │ │ ├── gen_platform_ip.sh │ │ ├── platform_utils_avalon_dc_fifo.sdc │ │ ├── platform_utils_avalon_dc_fifo.v │ │ ├── platform_utils_avalon_mm_bridge.v │ │ ├── platform_utils_avalon_mm_clock_crossing_bridge.v │ │ ├── platform_utils_dc_fifo.sdc │ │ ├── platform_utils_dc_fifo.sv │ │ ├── platform_utils_dcfifo_synchronizer_bundle.v │ │ └── platform_utils_std_synchronizer_nocut.v ├── rtl │ ├── ccip_std_afu.sv │ ├── ccip_std_afu_wrapper.sv │ ├── sha512.sv │ ├── sha512_core.v │ ├── sha512_csr.sv │ ├── sha512_h_constants.v │ ├── sha512_k_constants.v │ ├── sha512_pkg.sv │ ├── sha512_requestor.sv │ └── sha512_w_mem.v ├── sources.txt └── test │ ├── ccip_std_afu.h │ ├── ccip_test_pkt.cpp │ ├── ccip_test_pkt.h │ ├── config.txt │ └── main.cpp ├── d11-failure-to-update-frame-fifo ├── .gitignore ├── Makefile ├── README.md ├── instrument.txt ├── n9.instrument.cfg ├── rtl │ ├── axis_frame_fifo.v │ └── test_axis_fifo.v ├── sources-veripass.txt ├── sources.txt └── test │ └── main.cpp ├── d12-failure-to-update-frame-fifo ├── .gitignore ├── Makefile ├── README.md ├── instrument.txt ├── n3.instrument.cfg ├── rtl │ ├── axis_fifo.v │ └── test_axis_fifo.v ├── sources-veripass.txt ├── sources.txt └── test │ └── main.cpp ├── d13-failure-to-update-frame-len ├── .gitignore ├── Makefile ├── README.md ├── instrument.txt ├── n1.instrument.cfg ├── rtl │ ├── axis_frame_len.v │ ├── axis_frame_len_correctversion.v │ └── test_axis_frame_len_8.v ├── sources-veripass.txt ├── sources.txt └── test │ └── main.cpp ├── d2-buffer-overflow-grayscale ├── .gitignore ├── .gtkwaverc ├── Makefile ├── Makefile.lc ├── README.md ├── bug4.instrument.cfg ├── ccip │ ├── device_if │ │ ├── avalon_mem_if.vh │ │ ├── avalon_mem_if_dbg.vh │ │ ├── ccip_if_clock.sv │ │ ├── ccip_if_pkg.sv │ │ └── device_if.vh │ ├── platform_afu_top_config.vh │ ├── platform_if.vh │ └── platform_shims │ │ ├── README.md │ │ ├── platform_shim_avalon_mem_if.sv │ │ ├── platform_shim_ccip.sv │ │ ├── platform_shim_ccip_std_afu.sv │ │ └── utils │ │ ├── avalon_mem_if_async_shim.sv │ │ ├── avalon_mem_if_connect.sv │ │ ├── avalon_mem_if_reg.sv │ │ ├── platform_utils_ccip_activity_cnt.sv │ │ ├── platform_utils_ccip_async_shim.sv │ │ ├── platform_utils_ccip_reg.sv │ │ └── quartus_ip │ │ ├── README │ │ ├── gen_platform_ip.sh │ │ ├── platform_utils_avalon_dc_fifo.sdc │ │ ├── platform_utils_avalon_dc_fifo.v │ │ ├── platform_utils_avalon_mm_bridge.v │ │ ├── platform_utils_avalon_mm_clock_crossing_bridge.v │ │ ├── platform_utils_dc_fifo.sdc │ │ ├── platform_utils_dc_fifo.sv │ │ ├── platform_utils_dcfifo_synchronizer_bundle.v │ │ └── platform_utils_std_synchronizer_nocut.v ├── lib │ ├── BBB_cci_mpf │ │ ├── README │ │ ├── hw │ │ │ ├── README │ │ │ ├── par │ │ │ │ ├── README │ │ │ │ ├── qsf_cci_mpf_PAR_files.qsf │ │ │ │ └── sdc_cci_mpf.sdc │ │ │ ├── rtl │ │ │ │ ├── cci-if │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_csr_if.vh │ │ │ │ │ ├── cci_csr_if_pkg.sv │ │ │ │ │ ├── ccip_feature_list.h │ │ │ │ │ ├── ccip_feature_list_pkg.sv │ │ │ │ │ ├── ccip_if_funcs_pkg.sv │ │ │ │ │ ├── ccip_if_pkg.sv │ │ │ │ │ ├── ccis_if_funcs_pkg.sv │ │ │ │ │ └── ccis_if_pkg.sv │ │ │ │ ├── cci-mpf-if │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_if.vh │ │ │ │ │ ├── cci_mpf_if_dbg.vh │ │ │ │ │ ├── cci_mpf_if_pkg.sv │ │ │ │ │ ├── cci_mpf_platform.vh │ │ │ │ │ ├── ccip_wires_to_mpf.sv │ │ │ │ │ └── ccis_wires_to_mpf.sv │ │ │ │ ├── cci-mpf-prims │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_prim_arb_rr.sv │ │ │ │ │ ├── cci_mpf_prim_fifo1.sv │ │ │ │ │ ├── cci_mpf_prim_fifo2.sv │ │ │ │ │ ├── cci_mpf_prim_fifo_bram.sv │ │ │ │ │ ├── cci_mpf_prim_fifo_lutram.sv │ │ │ │ │ ├── cci_mpf_prim_filter_cam.sv │ │ │ │ │ ├── cci_mpf_prim_filter_counting.sv │ │ │ │ │ ├── cci_mpf_prim_filter_decode.sv │ │ │ │ │ ├── cci_mpf_prim_hash.vh │ │ │ │ │ ├── cci_mpf_prim_heap.sv │ │ │ │ │ ├── cci_mpf_prim_lfsr.sv │ │ │ │ │ ├── cci_mpf_prim_lutram.sv │ │ │ │ │ ├── cci_mpf_prim_onehot_to_bin.sv │ │ │ │ │ ├── cci_mpf_prim_ram_dualport.sv │ │ │ │ │ ├── cci_mpf_prim_ram_dualport_byteena.sv │ │ │ │ │ ├── cci_mpf_prim_ram_simple.sv │ │ │ │ │ ├── cci_mpf_prim_repl_lru_pseudo.sv │ │ │ │ │ ├── cci_mpf_prim_repl_random.sv │ │ │ │ │ ├── cci_mpf_prim_rob.sv │ │ │ │ │ ├── cci_mpf_prim_semaphore_cam.sv │ │ │ │ │ ├── cci_mpf_prim_track_active_reqs.sv │ │ │ │ │ └── cci_mpf_prim_track_multi_write.sv │ │ │ │ ├── cci-mpf-shims │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_shim.vh │ │ │ │ │ ├── cci_mpf_shim_buffer_afu.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_afu_epoch.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_afu_lockstep.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_fiu.sv │ │ │ │ │ ├── cci_mpf_shim_csr.sv │ │ │ │ │ ├── cci_mpf_shim_dbg_history.sv │ │ │ │ │ ├── cci_mpf_shim_dedup_reads.sv │ │ │ │ │ ├── cci_mpf_shim_detect_eop.sv │ │ │ │ │ ├── cci_mpf_shim_edge │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_edge.vh │ │ │ │ │ │ ├── cci_mpf_shim_edge_afu.sv │ │ │ │ │ │ └── cci_mpf_shim_edge_fiu.sv │ │ │ │ │ ├── cci_mpf_shim_latency_qos.sv │ │ │ │ │ ├── cci_mpf_shim_mux.sv │ │ │ │ │ ├── cci_mpf_shim_null.sv │ │ │ │ │ ├── cci_mpf_shim_pkg.sv │ │ │ │ │ ├── cci_mpf_shim_pwrite │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_pwrite.sv │ │ │ │ │ │ └── cci_mpf_shim_pwrite.vh │ │ │ │ │ ├── cci_mpf_shim_rsp_order.sv │ │ │ │ │ ├── cci_mpf_shim_vc_map.sv │ │ │ │ │ ├── cci_mpf_shim_vtp │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_vtp.sv │ │ │ │ │ │ ├── cci_mpf_shim_vtp.vh │ │ │ │ │ │ ├── cci_mpf_svc_vtp.sv │ │ │ │ │ │ ├── cci_mpf_svc_vtp_pipe.sv │ │ │ │ │ │ ├── cci_mpf_svc_vtp_pt_walk.sv │ │ │ │ │ │ └── cci_mpf_svc_vtp_tlb.sv │ │ │ │ │ └── cci_mpf_shim_wro │ │ │ │ │ │ ├── cci_mpf_shim_wro.sv │ │ │ │ │ │ ├── cci_mpf_shim_wro.vh │ │ │ │ │ │ ├── cci_mpf_shim_wro_epoch_order.sv │ │ │ │ │ │ └── cci_mpf_shim_wro_filter_group.sv │ │ │ │ ├── cci_mpf.sv │ │ │ │ ├── cci_mpf_config.vh │ │ │ │ ├── cci_mpf_csrs.vh │ │ │ │ ├── cci_mpf_csrs_pkg.sv │ │ │ │ ├── cci_mpf_null.sv │ │ │ │ ├── cci_mpf_pipe_std.sv │ │ │ │ └── cci_mpf_sources.txt │ │ │ └── sim │ │ │ │ └── cci_mpf_sim_addenda.txt │ │ ├── samples │ │ │ └── afu │ │ │ │ ├── cci_mpf_library_import.qsf │ │ │ │ ├── ccip_mpf_nlb.sv │ │ │ │ └── ccip_slow_mpf_nlb.sv │ │ ├── scripts │ │ │ ├── iterate.sh │ │ │ └── test-helloalivtpnlb-ase.sh │ │ ├── sw │ │ │ ├── .gitignore │ │ │ ├── CMakeLists.txt │ │ │ ├── README │ │ │ ├── doc │ │ │ │ ├── Doxyfile.in │ │ │ │ ├── DoxygenLayout.xml │ │ │ │ └── doxygen.cmake │ │ │ ├── include │ │ │ │ ├── aalsdk │ │ │ │ │ └── mpf │ │ │ │ │ │ ├── IMPF.h │ │ │ │ │ │ ├── MPFService.h │ │ │ │ │ │ └── config.h │ │ │ │ ├── opae │ │ │ │ │ └── mpf │ │ │ │ │ │ ├── cci_mpf_csrs.h │ │ │ │ │ │ ├── connect.h │ │ │ │ │ │ ├── csrs.h │ │ │ │ │ │ ├── cxx │ │ │ │ │ │ ├── mpf_handle.h │ │ │ │ │ │ └── mpf_shared_buffer.h │ │ │ │ │ │ ├── mpf.h │ │ │ │ │ │ ├── shim_latency_qos.h │ │ │ │ │ │ ├── shim_pwrite.h │ │ │ │ │ │ ├── shim_vc_map.h │ │ │ │ │ │ ├── shim_vtp.h │ │ │ │ │ │ ├── shim_wro.h │ │ │ │ │ │ └── types.h │ │ │ │ └── vai │ │ │ │ │ └── mpf │ │ │ │ │ ├── cci_mpf_csrs.h │ │ │ │ │ ├── connect.h │ │ │ │ │ ├── csrs.h │ │ │ │ │ ├── mpf.h │ │ │ │ │ ├── shim_latency_qos.h │ │ │ │ │ ├── shim_pwrite.h │ │ │ │ │ ├── shim_vc_map.h │ │ │ │ │ ├── shim_wro.h │ │ │ │ │ └── types.h │ │ │ ├── src │ │ │ │ ├── libmpf++ │ │ │ │ │ ├── mpf_handle.cpp │ │ │ │ │ └── mpf_shared_buffer.cpp │ │ │ │ ├── libmpf │ │ │ │ │ ├── connect.c │ │ │ │ │ ├── csrs.c │ │ │ │ │ ├── mpf_internal.h │ │ │ │ │ ├── mpf_os.c │ │ │ │ │ ├── mpf_os.h │ │ │ │ │ ├── shim_latency_qos.c │ │ │ │ │ ├── shim_pwrite.c │ │ │ │ │ ├── shim_vc_map.c │ │ │ │ │ ├── shim_vtp.c │ │ │ │ │ ├── shim_vtp_internal.h │ │ │ │ │ ├── shim_vtp_pt.c │ │ │ │ │ ├── shim_vtp_pt.h │ │ │ │ │ └── shim_wro.c │ │ │ │ └── mpf.cmake │ │ │ ├── src_aal │ │ │ │ ├── cci_mpf_service.cpp │ │ │ │ ├── cci_mpf_service.h │ │ │ │ ├── cci_mpf_shim_latency_qos.cpp │ │ │ │ ├── cci_mpf_shim_latency_qos.h │ │ │ │ ├── cci_mpf_shim_pwrite.cpp │ │ │ │ ├── cci_mpf_shim_pwrite.h │ │ │ │ ├── cci_mpf_shim_vc_map.cpp │ │ │ │ ├── cci_mpf_shim_vc_map.h │ │ │ │ ├── cci_mpf_shim_vtp.cpp │ │ │ │ ├── cci_mpf_shim_vtp.h │ │ │ │ ├── cci_mpf_shim_vtp_pt.cpp │ │ │ │ ├── cci_mpf_shim_vtp_pt.h │ │ │ │ ├── cci_mpf_shim_wro.cpp │ │ │ │ ├── cci_mpf_shim_wro.h │ │ │ │ └── mpf_aal.cmake │ │ │ └── src_vai │ │ │ │ ├── connect.c │ │ │ │ ├── csrs.c │ │ │ │ ├── mpf_internal.h │ │ │ │ ├── mpf_vai.cmake │ │ │ │ ├── shim_latency_qos.c │ │ │ │ ├── shim_pwrite.c │ │ │ │ ├── shim_vc_map.c │ │ │ │ └── shim_wro.c │ │ └── test │ │ │ └── test-mpf │ │ │ ├── base │ │ │ ├── hw │ │ │ │ ├── par │ │ │ │ │ └── cci_mpf_test_base_PAR_files.qsf │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf_default.vh │ │ │ │ │ ├── cci_test_afu.sv │ │ │ │ │ ├── cci_test_csrs.sv │ │ │ │ │ ├── cci_test_csrs.vh │ │ │ │ │ └── sys_cfg_pkg.svh │ │ │ │ └── sim │ │ │ │ │ ├── cci_mpf_test_base_addenda.txt │ │ │ │ │ └── setup_ase_sim.py │ │ │ └── sw │ │ │ │ ├── base_include.mk │ │ │ │ ├── cci_test.h │ │ │ │ ├── cci_test_main.cpp │ │ │ │ ├── opae_svc_wrapper.cpp │ │ │ │ └── opae_svc_wrapper.h │ │ │ ├── test_cci_mpf_null │ │ │ ├── README │ │ │ ├── hw │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ │ ├── sources.txt │ │ │ │ │ ├── test_cci_mpf_null.json │ │ │ │ │ └── test_cci_mpf_null.sv │ │ │ │ └── sim │ │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── test_cci_mpf_null.cpp │ │ │ │ └── test_cci_mpf_null.h │ │ │ ├── test_mem_perf │ │ │ ├── README │ │ │ ├── hw │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ │ ├── sources.txt │ │ │ │ │ ├── test_mem_perf.json │ │ │ │ │ └── test_mem_perf.sv │ │ │ │ └── sim │ │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── compute_latency_qos_params.cpp │ │ │ │ ├── compute_vc_map_params.cpp │ │ │ │ ├── scripts │ │ │ │ ├── plot_buffer_credits.gp │ │ │ │ ├── plot_buffer_credits_rw.gp │ │ │ │ ├── plot_lat.sh │ │ │ │ ├── plot_perf.gp │ │ │ │ ├── plot_perf.sh │ │ │ │ ├── run_lat.sh │ │ │ │ └── run_perf.sh │ │ │ │ ├── test_mem_latency.cpp │ │ │ │ ├── test_mem_perf.cpp │ │ │ │ ├── test_mem_perf.h │ │ │ │ └── test_mem_perf_common.cpp │ │ │ └── test_random │ │ │ ├── hw │ │ │ ├── rtl │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ ├── sources.txt │ │ │ │ ├── test_random.json │ │ │ │ └── test_random.sv │ │ │ └── sim │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── test_random.cpp │ │ │ └── test_random.h │ └── BBB_ccip_async │ │ ├── hw │ │ ├── par │ │ │ ├── ccip_async.sdc │ │ │ ├── ccip_async_addenda.qsf │ │ │ └── sample_ccip_async_import.qsf │ │ ├── rtl │ │ │ ├── ccip_afifo_channel.sv │ │ │ ├── ccip_async_activity_cnt.sv │ │ │ └── ccip_async_shim.sv │ │ └── sim │ │ │ └── ccip_async_sim_addenda.txt │ │ └── samples │ │ ├── async_nlb100.sv │ │ ├── async_nlb150.sv │ │ ├── async_nlb200.sv │ │ └── async_nlb300.sv ├── origin_test │ ├── Makefile │ ├── image.cpp │ ├── image.h │ ├── input.png │ ├── main.cpp │ └── output.png ├── rtl │ ├── ccip_std_afu.sv │ ├── ccip_std_afu_async.sv │ ├── ccip_std_afu_wrapper.sv │ ├── grayscale.sv │ ├── grayscale_csr.sv │ ├── grayscale_fifo.sv │ ├── grayscale_pkg.sv │ └── grayscale_requestor.sv ├── sources.txt └── test │ ├── ccip_std_afu.h │ ├── ccip_test_pkt.cpp │ ├── ccip_test_pkt.h │ ├── config.txt │ └── main.cpp ├── d3-buffer-overflow-optimus ├── .gitignore ├── .gtkwaverc ├── Makefile ├── Makefile.lc ├── README.md ├── bug5.instrument.cfg ├── ccip │ ├── device_if │ │ ├── avalon_mem_if.vh │ │ ├── avalon_mem_if_dbg.vh │ │ ├── ccip_if_clock.sv │ │ ├── ccip_if_pkg.sv │ │ └── device_if.vh │ ├── platform_afu_top_config.vh │ ├── platform_if.vh │ └── platform_shims │ │ ├── README.md │ │ ├── platform_shim_avalon_mem_if.sv │ │ ├── platform_shim_ccip.sv │ │ ├── platform_shim_ccip_std_afu.sv │ │ └── utils │ │ ├── avalon_mem_if_async_shim.sv │ │ ├── avalon_mem_if_connect.sv │ │ ├── avalon_mem_if_reg.sv │ │ ├── platform_utils_ccip_activity_cnt.sv │ │ ├── platform_utils_ccip_async_shim.sv │ │ ├── platform_utils_ccip_reg.sv │ │ └── quartus_ip │ │ ├── README │ │ ├── gen_platform_ip.sh │ │ ├── platform_utils_avalon_dc_fifo.sdc │ │ ├── platform_utils_avalon_dc_fifo.v │ │ ├── platform_utils_avalon_mm_bridge.v │ │ ├── platform_utils_avalon_mm_clock_crossing_bridge.v │ │ ├── platform_utils_dc_fifo.sdc │ │ ├── platform_utils_dc_fifo.sv │ │ ├── platform_utils_dcfifo_synchronizer_bundle.v │ │ └── platform_utils_std_synchronizer_nocut.v ├── lib │ ├── BBB_cci_mpf │ │ ├── README │ │ ├── hw │ │ │ ├── README │ │ │ ├── par │ │ │ │ ├── README │ │ │ │ ├── qsf_cci_mpf_PAR_files.qsf │ │ │ │ └── sdc_cci_mpf.sdc │ │ │ ├── rtl │ │ │ │ ├── cci-if │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_csr_if.vh │ │ │ │ │ ├── cci_csr_if_pkg.sv │ │ │ │ │ ├── ccip_feature_list.h │ │ │ │ │ ├── ccip_feature_list_pkg.sv │ │ │ │ │ ├── ccip_if_funcs_pkg.sv │ │ │ │ │ ├── ccip_if_pkg.sv │ │ │ │ │ ├── ccis_if_funcs_pkg.sv │ │ │ │ │ └── ccis_if_pkg.sv │ │ │ │ ├── cci-mpf-if │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_if.vh │ │ │ │ │ ├── cci_mpf_if_dbg.vh │ │ │ │ │ ├── cci_mpf_if_pkg.sv │ │ │ │ │ ├── cci_mpf_platform.vh │ │ │ │ │ ├── ccip_wires_to_mpf.sv │ │ │ │ │ └── ccis_wires_to_mpf.sv │ │ │ │ ├── cci-mpf-prims │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_prim_arb_rr.sv │ │ │ │ │ ├── cci_mpf_prim_fifo1.sv │ │ │ │ │ ├── cci_mpf_prim_fifo2.sv │ │ │ │ │ ├── cci_mpf_prim_fifo_bram.sv │ │ │ │ │ ├── cci_mpf_prim_fifo_lutram.sv │ │ │ │ │ ├── cci_mpf_prim_filter_cam.sv │ │ │ │ │ ├── cci_mpf_prim_filter_counting.sv │ │ │ │ │ ├── cci_mpf_prim_filter_decode.sv │ │ │ │ │ ├── cci_mpf_prim_hash.vh │ │ │ │ │ ├── cci_mpf_prim_heap.sv │ │ │ │ │ ├── cci_mpf_prim_lfsr.sv │ │ │ │ │ ├── cci_mpf_prim_lutram.sv │ │ │ │ │ ├── cci_mpf_prim_onehot_to_bin.sv │ │ │ │ │ ├── cci_mpf_prim_ram_dualport.sv │ │ │ │ │ ├── cci_mpf_prim_ram_dualport_byteena.sv │ │ │ │ │ ├── cci_mpf_prim_ram_simple.sv │ │ │ │ │ ├── cci_mpf_prim_repl_lru_pseudo.sv │ │ │ │ │ ├── cci_mpf_prim_repl_random.sv │ │ │ │ │ ├── cci_mpf_prim_rob.sv │ │ │ │ │ ├── cci_mpf_prim_semaphore_cam.sv │ │ │ │ │ ├── cci_mpf_prim_track_active_reqs.sv │ │ │ │ │ └── cci_mpf_prim_track_multi_write.sv │ │ │ │ ├── cci-mpf-shims │ │ │ │ │ ├── README │ │ │ │ │ ├── cci_mpf_shim.vh │ │ │ │ │ ├── cci_mpf_shim_buffer_afu.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_afu_epoch.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_afu_lockstep.sv │ │ │ │ │ ├── cci_mpf_shim_buffer_fiu.sv │ │ │ │ │ ├── cci_mpf_shim_csr.sv │ │ │ │ │ ├── cci_mpf_shim_dbg_history.sv │ │ │ │ │ ├── cci_mpf_shim_dedup_reads.sv │ │ │ │ │ ├── cci_mpf_shim_detect_eop.sv │ │ │ │ │ ├── cci_mpf_shim_edge │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_edge.vh │ │ │ │ │ │ ├── cci_mpf_shim_edge_afu.sv │ │ │ │ │ │ └── cci_mpf_shim_edge_fiu.sv │ │ │ │ │ ├── cci_mpf_shim_latency_qos.sv │ │ │ │ │ ├── cci_mpf_shim_mux.sv │ │ │ │ │ ├── cci_mpf_shim_null.sv │ │ │ │ │ ├── cci_mpf_shim_pkg.sv │ │ │ │ │ ├── cci_mpf_shim_pwrite │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_pwrite.sv │ │ │ │ │ │ └── cci_mpf_shim_pwrite.vh │ │ │ │ │ ├── cci_mpf_shim_rsp_order.sv │ │ │ │ │ ├── cci_mpf_shim_vc_map.sv │ │ │ │ │ ├── cci_mpf_shim_vtp │ │ │ │ │ │ ├── README │ │ │ │ │ │ ├── cci_mpf_shim_vtp.sv │ │ │ │ │ │ ├── cci_mpf_shim_vtp.vh │ │ │ │ │ │ ├── cci_mpf_svc_vtp.sv │ │ │ │ │ │ ├── cci_mpf_svc_vtp_pipe.sv │ │ │ │ │ │ ├── cci_mpf_svc_vtp_pt_walk.sv │ │ │ │ │ │ └── cci_mpf_svc_vtp_tlb.sv │ │ │ │ │ └── cci_mpf_shim_wro │ │ │ │ │ │ ├── cci_mpf_shim_wro.sv │ │ │ │ │ │ ├── cci_mpf_shim_wro.vh │ │ │ │ │ │ ├── cci_mpf_shim_wro_epoch_order.sv │ │ │ │ │ │ └── cci_mpf_shim_wro_filter_group.sv │ │ │ │ ├── cci_mpf.sv │ │ │ │ ├── cci_mpf_config.vh │ │ │ │ ├── cci_mpf_csrs.vh │ │ │ │ ├── cci_mpf_csrs_pkg.sv │ │ │ │ ├── cci_mpf_null.sv │ │ │ │ ├── cci_mpf_pipe_std.sv │ │ │ │ └── cci_mpf_sources.txt │ │ │ └── sim │ │ │ │ └── cci_mpf_sim_addenda.txt │ │ ├── samples │ │ │ └── afu │ │ │ │ ├── cci_mpf_library_import.qsf │ │ │ │ ├── ccip_mpf_nlb.sv │ │ │ │ └── ccip_slow_mpf_nlb.sv │ │ ├── scripts │ │ │ ├── iterate.sh │ │ │ └── test-helloalivtpnlb-ase.sh │ │ ├── sw │ │ │ ├── .gitignore │ │ │ ├── CMakeLists.txt │ │ │ ├── README │ │ │ ├── doc │ │ │ │ ├── Doxyfile.in │ │ │ │ ├── DoxygenLayout.xml │ │ │ │ └── doxygen.cmake │ │ │ ├── include │ │ │ │ ├── aalsdk │ │ │ │ │ └── mpf │ │ │ │ │ │ ├── IMPF.h │ │ │ │ │ │ ├── MPFService.h │ │ │ │ │ │ └── config.h │ │ │ │ ├── opae │ │ │ │ │ └── mpf │ │ │ │ │ │ ├── cci_mpf_csrs.h │ │ │ │ │ │ ├── connect.h │ │ │ │ │ │ ├── csrs.h │ │ │ │ │ │ ├── cxx │ │ │ │ │ │ ├── mpf_handle.h │ │ │ │ │ │ └── mpf_shared_buffer.h │ │ │ │ │ │ ├── mpf.h │ │ │ │ │ │ ├── shim_latency_qos.h │ │ │ │ │ │ ├── shim_pwrite.h │ │ │ │ │ │ ├── shim_vc_map.h │ │ │ │ │ │ ├── shim_vtp.h │ │ │ │ │ │ ├── shim_wro.h │ │ │ │ │ │ └── types.h │ │ │ │ └── vai │ │ │ │ │ └── mpf │ │ │ │ │ ├── cci_mpf_csrs.h │ │ │ │ │ ├── connect.h │ │ │ │ │ ├── csrs.h │ │ │ │ │ ├── mpf.h │ │ │ │ │ ├── shim_latency_qos.h │ │ │ │ │ ├── shim_pwrite.h │ │ │ │ │ ├── shim_vc_map.h │ │ │ │ │ ├── shim_wro.h │ │ │ │ │ └── types.h │ │ │ ├── src │ │ │ │ ├── libmpf++ │ │ │ │ │ ├── mpf_handle.cpp │ │ │ │ │ └── mpf_shared_buffer.cpp │ │ │ │ ├── libmpf │ │ │ │ │ ├── connect.c │ │ │ │ │ ├── csrs.c │ │ │ │ │ ├── mpf_internal.h │ │ │ │ │ ├── mpf_os.c │ │ │ │ │ ├── mpf_os.h │ │ │ │ │ ├── shim_latency_qos.c │ │ │ │ │ ├── shim_pwrite.c │ │ │ │ │ ├── shim_vc_map.c │ │ │ │ │ ├── shim_vtp.c │ │ │ │ │ ├── shim_vtp_internal.h │ │ │ │ │ ├── shim_vtp_pt.c │ │ │ │ │ ├── shim_vtp_pt.h │ │ │ │ │ └── shim_wro.c │ │ │ │ └── mpf.cmake │ │ │ ├── src_aal │ │ │ │ ├── cci_mpf_service.cpp │ │ │ │ ├── cci_mpf_service.h │ │ │ │ ├── cci_mpf_shim_latency_qos.cpp │ │ │ │ ├── cci_mpf_shim_latency_qos.h │ │ │ │ ├── cci_mpf_shim_pwrite.cpp │ │ │ │ ├── cci_mpf_shim_pwrite.h │ │ │ │ ├── cci_mpf_shim_vc_map.cpp │ │ │ │ ├── cci_mpf_shim_vc_map.h │ │ │ │ ├── cci_mpf_shim_vtp.cpp │ │ │ │ ├── cci_mpf_shim_vtp.h │ │ │ │ ├── cci_mpf_shim_vtp_pt.cpp │ │ │ │ ├── cci_mpf_shim_vtp_pt.h │ │ │ │ ├── cci_mpf_shim_wro.cpp │ │ │ │ ├── cci_mpf_shim_wro.h │ │ │ │ └── mpf_aal.cmake │ │ │ └── src_vai │ │ │ │ ├── connect.c │ │ │ │ ├── csrs.c │ │ │ │ ├── mpf_internal.h │ │ │ │ ├── mpf_vai.cmake │ │ │ │ ├── shim_latency_qos.c │ │ │ │ ├── shim_pwrite.c │ │ │ │ ├── shim_vc_map.c │ │ │ │ └── shim_wro.c │ │ └── test │ │ │ └── test-mpf │ │ │ ├── base │ │ │ ├── hw │ │ │ │ ├── par │ │ │ │ │ └── cci_mpf_test_base_PAR_files.qsf │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf_default.vh │ │ │ │ │ ├── cci_test_afu.sv │ │ │ │ │ ├── cci_test_csrs.sv │ │ │ │ │ ├── cci_test_csrs.vh │ │ │ │ │ └── sys_cfg_pkg.svh │ │ │ │ └── sim │ │ │ │ │ ├── cci_mpf_test_base_addenda.txt │ │ │ │ │ └── setup_ase_sim.py │ │ │ └── sw │ │ │ │ ├── base_include.mk │ │ │ │ ├── cci_test.h │ │ │ │ ├── cci_test_main.cpp │ │ │ │ ├── opae_svc_wrapper.cpp │ │ │ │ └── opae_svc_wrapper.h │ │ │ ├── test_cci_mpf_null │ │ │ ├── README │ │ │ ├── hw │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ │ ├── sources.txt │ │ │ │ │ ├── test_cci_mpf_null.json │ │ │ │ │ └── test_cci_mpf_null.sv │ │ │ │ └── sim │ │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── test_cci_mpf_null.cpp │ │ │ │ └── test_cci_mpf_null.h │ │ │ ├── test_mem_perf │ │ │ ├── README │ │ │ ├── hw │ │ │ │ ├── rtl │ │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ │ ├── sources.txt │ │ │ │ │ ├── test_mem_perf.json │ │ │ │ │ └── test_mem_perf.sv │ │ │ │ └── sim │ │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── compute_latency_qos_params.cpp │ │ │ │ ├── compute_vc_map_params.cpp │ │ │ │ ├── scripts │ │ │ │ ├── plot_buffer_credits.gp │ │ │ │ ├── plot_buffer_credits_rw.gp │ │ │ │ ├── plot_lat.sh │ │ │ │ ├── plot_perf.gp │ │ │ │ ├── plot_perf.sh │ │ │ │ ├── run_lat.sh │ │ │ │ └── run_perf.sh │ │ │ │ ├── test_mem_latency.cpp │ │ │ │ ├── test_mem_perf.cpp │ │ │ │ ├── test_mem_perf.h │ │ │ │ └── test_mem_perf_common.cpp │ │ │ └── test_random │ │ │ ├── hw │ │ │ ├── rtl │ │ │ │ ├── cci_mpf_test_conf.vh │ │ │ │ ├── sources.txt │ │ │ │ ├── test_random.json │ │ │ │ └── test_random.sv │ │ │ └── sim │ │ │ │ └── setup_ase │ │ │ └── sw │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── test_random.cpp │ │ │ └── test_random.h │ └── BBB_vai_mux_nested │ │ └── hw │ │ ├── par │ │ └── ccip_mux.qsf │ │ ├── rtl │ │ ├── a10_ram_sdp_wysiwyg.v │ │ ├── ccip_front_end.sv │ │ ├── ccip_intf_regs.sv │ │ ├── ccip_legacy_mux_nested.sv │ │ ├── ccip_mux_legacy.sv │ │ ├── fair_arbiter.sv │ │ ├── gram_sdp.v │ │ ├── sync_C1Tx_fifo.v │ │ ├── vai_audit_rx.sv │ │ ├── vai_audit_rx2.sv │ │ ├── vai_audit_tx.sv │ │ ├── vai_mgr.sv │ │ ├── vai_mgr_afu.sv │ │ ├── vai_mux.sv │ │ └── vendor_defines.vh │ │ └── sim │ │ ├── cci_mux_sim_addenda.txt │ │ └── mux_simfiles.list ├── origin_test │ ├── Makefile │ ├── image.cpp │ ├── image.h │ ├── input.png │ ├── main.cpp │ └── output.png ├── rtl │ ├── cci_mux.sv │ ├── ccip_std_afu.sv │ ├── ccip_std_afu_wrapper.sv │ ├── grayscale.sv │ ├── grayscale_csr.sv │ ├── grayscale_fifo.sv │ ├── grayscale_pkg.sv │ └── grayscale_requestor.sv ├── sources.txt └── test │ ├── ccip_std_afu.h │ ├── ccip_test_pkt.cpp │ ├── ccip_test_pkt.h │ ├── config-1.txt │ ├── config-2.txt │ └── main.cpp ├── d4-buffer-overflow-frame-fifo ├── .gitignore ├── .gtkwaverc ├── Makefile ├── Makefile.lc ├── README.md ├── n7.instrument.cfg ├── rtl │ ├── axis_fifo.v │ └── axis_fifo_wrapper.sv ├── sources-sim.txt ├── sources-veripass.txt ├── sources.txt └── test │ └── main.cpp ├── d5-bit-truncation-sha512 ├── .gitignore ├── .gtkwaverc ├── Makefile ├── README.md ├── bug2.instrument.cfg ├── ccip │ ├── device_if │ │ ├── avalon_mem_if.vh │ │ ├── avalon_mem_if_dbg.vh │ │ ├── ccip_if_clock.sv │ │ ├── ccip_if_pkg.sv │ │ └── device_if.vh │ ├── platform_afu_top_config.vh │ ├── platform_if.vh │ └── platform_shims │ │ ├── README.md │ │ ├── platform_shim_avalon_mem_if.sv │ │ ├── platform_shim_ccip.sv │ │ ├── platform_shim_ccip_std_afu.sv │ │ └── utils │ │ ├── avalon_mem_if_async_shim.sv │ │ ├── avalon_mem_if_connect.sv │ │ ├── avalon_mem_if_reg.sv │ │ ├── platform_utils_ccip_activity_cnt.sv │ │ ├── platform_utils_ccip_async_shim.sv │ │ ├── platform_utils_ccip_reg.sv │ │ └── quartus_ip │ │ ├── README │ │ ├── gen_platform_ip.sh │ │ ├── platform_utils_avalon_dc_fifo.sdc │ │ ├── platform_utils_avalon_dc_fifo.v │ │ ├── platform_utils_avalon_mm_bridge.v │ │ ├── platform_utils_avalon_mm_clock_crossing_bridge.v │ │ ├── platform_utils_dc_fifo.sdc │ │ ├── platform_utils_dc_fifo.sv │ │ ├── platform_utils_dcfifo_synchronizer_bundle.v │ │ └── platform_utils_std_synchronizer_nocut.v ├── rtl │ ├── ccip_std_afu.sv │ ├── ccip_std_afu_wrapper.sv │ ├── sha512.sv │ ├── sha512_core.v │ ├── sha512_csr.sv │ ├── sha512_h_constants.v │ ├── sha512_k_constants.v │ ├── sha512_pkg.sv │ ├── sha512_requestor.sv │ └── sha512_w_mem.v ├── sources.txt └── test │ ├── ccip_std_afu.h │ ├── ccip_test_pkt.cpp │ ├── ccip_test_pkt.h │ ├── config.txt │ └── main.cpp ├── d6-bit-truncation-fft ├── .gitignore ├── .gtkwaverc ├── Makefile ├── bug12.instrument.cfg ├── cores │ ├── bimpy.v │ ├── bitreverse.v │ ├── butterfly.v │ ├── convround.v │ ├── fftmain.v │ ├── fftstage.v │ ├── hwbfly.v │ ├── laststage.v │ ├── longbimpy.v │ ├── qtrstage.v │ └── shiftaddmpy.v ├── hex │ ├── cmem_128.hex │ ├── cmem_16.hex │ ├── cmem_32.hex │ ├── cmem_64.hex │ └── cmem_8.hex ├── instrument.cfg ├── readme.md ├── source.txt ├── test │ ├── .gitignore │ ├── Makefile │ ├── README.md │ ├── data_in.raw │ ├── expected.iq │ ├── fft_tb.cpp │ ├── fftsize.h │ ├── shit.txt │ ├── twoc.cpp │ ├── twoc.h │ └── vversion.sh └── vivado_synth │ └── fft_wrapper.v ├── d7-misindexing-fadd ├── .gitignore ├── .gtkwaverc ├── Makefile ├── README.md ├── bug11.instrument.cfg ├── rtl │ ├── fadd.sv │ ├── fadd_buggy.sv │ └── fadd_correct.sv ├── sources-sim.txt ├── sources-veripass.txt ├── sources.txt ├── test │ └── main.cpp └── vivado_synth │ └── fadd_wrapper.v ├── d8-misindexing-axis-switch ├── .gitignore ├── Makefile ├── README.md ├── instrument.txt ├── n4.instrument.cfg ├── rtl │ ├── arbiter.v │ ├── axis_register.v │ ├── axis_switch.v │ ├── axis_switch_4x1.v │ ├── priority_encoder.v │ └── test_axis_switch_4x1.v ├── sources-veripass.txt ├── sources.txt └── test │ └── main.cpp ├── d9-endianness-mismatch-sdspi ├── .gitignore ├── .gtkwaverc ├── Makefile ├── README.md ├── bench │ └── cpp │ │ ├── .gitignore │ │ ├── .gtkwaverc │ │ ├── autotest_tb.cpp │ │ ├── sdspisim.cpp │ │ ├── sdspisim.h │ │ ├── testb.h │ │ └── wb_tb.h ├── bug10.instrument.cfg ├── rtl │ ├── llsdspi.v │ └── sdspi.v ├── sources.txt └── vivado_synth │ └── sdspi_wrapper.v ├── manual_debug_log └── used_tools.xlsx ├── s1-protocol-violation-axi-lite ├── .gitignore ├── .gtkwaverc ├── Makefile ├── README.md ├── bug6.instrument.cfg ├── rtl │ ├── bugfix.patch │ ├── faxil_slave.v │ ├── trace_tb0.v │ ├── trace_tb1.v │ ├── xlnxdemo.sby │ └── xlnxdemo.v ├── sources.txt ├── sources0.txt ├── sources1.txt ├── test │ └── main.cpp └── vivado_synth │ └── xlnxdemo_wrapper.v ├── s2-protocol-violation-axi-stream ├── .gitignore ├── .gtkwaverc ├── Makefile ├── README.md ├── bug7.instrument.cfg ├── rtl │ ├── bugfix.patch │ ├── faxis_master.v │ ├── trace_tb.v │ ├── xlnxstream_2018_3.sby │ └── xlnxstream_2018_3.v ├── sources.txt ├── sources0.txt ├── test │ └── main.cpp └── vivado_synth │ └── xlnxstream_2018_3_wrapper.v ├── s3-incomplete-implementation-axis-adapter ├── .gitignore ├── Makefile ├── README.md ├── instrument.txt ├── n8.instrument.cfg ├── rtl │ ├── axis_adapter.v │ ├── axis_adapter_correct.v │ └── test_axis_adapter_64_8.v ├── sources-veripass.txt ├── sources.txt └── test │ └── main.cpp └── scripts ├── report_sweep.py ├── signaltap_util ├── README.txt ├── report_util.py ├── sweep.sh └── utils.py └── xilinxila_util ├── report_util.py ├── sweep.sh └── utils.py /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/.gitignore -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/README.md -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/.gitignore -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/.gtkwaverc -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/Makefile -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/README.md -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/bench/cpp/.gitignore: -------------------------------------------------------------------------------- 1 | *.vcd 2 | sdcard.img 3 | -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/bench/cpp/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/bench/cpp/.gtkwaverc -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/bench/cpp/autotest_tb.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/bench/cpp/autotest_tb.cpp -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/bench/cpp/sdspisim.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/bench/cpp/sdspisim.cpp -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/bench/cpp/sdspisim.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/bench/cpp/sdspisim.h -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/bench/cpp/testb.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/bench/cpp/testb.h -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/bench/cpp/wb_tb.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/bench/cpp/wb_tb.h -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/bug9.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/bug9.instrument.cfg -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/rtl/llsdspi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/rtl/llsdspi.v -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/rtl/sdspi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/rtl/sdspi.v -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/sources.txt -------------------------------------------------------------------------------- /c1-dead-lock-sdspi/vivado_synth/sdspi_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c1-dead-lock-sdspi/vivado_synth/sdspi_wrapper.v -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/.gitignore -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/.gtkwaverc -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/Makefile -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/Makefile.lc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/Makefile.lc -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/README.md -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/bug5.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/bug5.instrument.cfg -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/ccip/device_if/avalon_mem_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/ccip/device_if/avalon_mem_if.vh -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/ccip/device_if/avalon_mem_if_dbg.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/ccip/device_if/avalon_mem_if_dbg.vh -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/ccip/device_if/ccip_if_clock.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/ccip/device_if/ccip_if_clock.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/ccip/device_if/ccip_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/ccip/device_if/ccip_if_pkg.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/ccip/device_if/device_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/ccip/device_if/device_if.vh -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/ccip/platform_afu_top_config.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/ccip/platform_afu_top_config.vh -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/ccip/platform_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/ccip/platform_if.vh -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/ccip/platform_shims/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/ccip/platform_shims/README.md -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/README -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/hw/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/hw/README -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/hw/par/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/hw/par/README -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/README -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/hw/rtl/cci-mpf-shims/cci_mpf_shim_vtp/README: -------------------------------------------------------------------------------- 1 | The virtual to physical (VTP) shim. 2 | -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/scripts/iterate.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/scripts/iterate.sh -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/.gitignore: -------------------------------------------------------------------------------- 1 | build* 2 | -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/CMakeLists.txt -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/README -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/doc/Doxyfile.in: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/doc/Doxyfile.in -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/doc/doxygen.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/doc/doxygen.cmake -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/src/libmpf/csrs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/src/libmpf/csrs.c -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/src/mpf.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/src/mpf.cmake -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/src_vai/connect.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/src_vai/connect.c -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/src_vai/csrs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/lib/BBB_cci_mpf/sw/src_vai/csrs.c -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/origin_test/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/origin_test/Makefile -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/origin_test/image.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/origin_test/image.cpp -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/origin_test/image.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/origin_test/image.h -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/origin_test/input.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/origin_test/input.png -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/origin_test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/origin_test/main.cpp -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/origin_test/output.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/origin_test/output.png -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/rtl/cci_mux.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/rtl/cci_mux.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/rtl/ccip_std_afu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/rtl/ccip_std_afu.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/rtl/ccip_std_afu_wrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/rtl/ccip_std_afu_wrapper.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/rtl/grayscale.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/rtl/grayscale.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/rtl/grayscale_csr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/rtl/grayscale_csr.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/rtl/grayscale_fifo.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/rtl/grayscale_fifo.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/rtl/grayscale_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/rtl/grayscale_pkg.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/rtl/grayscale_requestor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/rtl/grayscale_requestor.sv -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/sources.txt -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/test/ccip_std_afu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/test/ccip_std_afu.h -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/test/ccip_test_pkt.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/test/ccip_test_pkt.cpp -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/test/ccip_test_pkt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/test/ccip_test_pkt.h -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/test/config-1.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/test/config-1.txt -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/test/config-2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/test/config-2.txt -------------------------------------------------------------------------------- /c2-producer-consumer-mismatch-optimus/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c2-producer-consumer-mismatch-optimus/test/main.cpp -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/.gitignore -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/.gtkwaverc -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/Makefile -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/README.md -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/bench/cpp/.gitignore: -------------------------------------------------------------------------------- 1 | *.vcd 2 | sdcard.img 3 | -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/bench/cpp/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/bench/cpp/.gtkwaverc -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/bench/cpp/autotest_tb.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/bench/cpp/autotest_tb.cpp -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/bench/cpp/sdspisim.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/bench/cpp/sdspisim.cpp -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/bench/cpp/sdspisim.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/bench/cpp/sdspisim.h -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/bench/cpp/testb.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/bench/cpp/testb.h -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/bench/cpp/wb_tb.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/bench/cpp/wb_tb.h -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/bug8.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/bug8.instrument.cfg -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/rtl/llsdspi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/rtl/llsdspi.v -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/rtl/sdspi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/rtl/sdspi.v -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/sources.txt -------------------------------------------------------------------------------- /c3-signal-asynchrony-sdspi/vivado_synth/sdspi_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c3-signal-asynchrony-sdspi/vivado_synth/sdspi_wrapper.v -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/.gitignore -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/Makefile -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/Makefile.lc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/Makefile.lc -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/README.md -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/instrument.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/instrument.txt -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/n6.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/n6.instrument.cfg -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/rtl/axis_async_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/rtl/axis_async_fifo.v -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/rtl/axis_fifo_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/rtl/axis_fifo_wrapper.v -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/rtl/axis_register.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/rtl/axis_register.v -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/rtl/test_axis_async_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/rtl/test_axis_async_fifo.v -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/sources-veripass.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/sources-veripass.txt -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/sources.txt -------------------------------------------------------------------------------- /c4-signal-asynchrony-axi-stream-fifo/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/c4-signal-asynchrony-axi-stream-fifo/test/main.cpp -------------------------------------------------------------------------------- /common/AXI_WRAPPER.tcl.template: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/AXI_WRAPPER.tcl.template -------------------------------------------------------------------------------- /common/Makefile.ILA.rules: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/Makefile.ILA.rules -------------------------------------------------------------------------------- /common/Makefile.STP.rules: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/Makefile.STP.rules -------------------------------------------------------------------------------- /common/Makefile.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/Makefile.env -------------------------------------------------------------------------------- /common/Makefile.rules: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/Makefile.rules -------------------------------------------------------------------------------- /common/altera/220model.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/altera/220model.v -------------------------------------------------------------------------------- /common/altera/altera_mf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/altera/altera_mf.v -------------------------------------------------------------------------------- /common/cci_afu.json: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/cci_afu.json -------------------------------------------------------------------------------- /common/ccip_dewrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/ccip_dewrapper.sv -------------------------------------------------------------------------------- /common/ccip_dewrapper_async.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/ccip_dewrapper_async.sv -------------------------------------------------------------------------------- /common/clk250.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/clk250.xdc -------------------------------------------------------------------------------- /common/libvai/include/hardcloud/hardcloud_app.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/hardcloud/hardcloud_app.h -------------------------------------------------------------------------------- /common/libvai/include/hardcloud/vai_svc_wrapper.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/hardcloud/vai_svc_wrapper.h -------------------------------------------------------------------------------- /common/libvai/include/vai/fpga.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/fpga.h -------------------------------------------------------------------------------- /common/libvai/include/vai/mpf/cci_mpf_csrs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/mpf/cci_mpf_csrs.h -------------------------------------------------------------------------------- /common/libvai/include/vai/mpf/connect.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/mpf/connect.h -------------------------------------------------------------------------------- /common/libvai/include/vai/mpf/csrs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/mpf/csrs.h -------------------------------------------------------------------------------- /common/libvai/include/vai/mpf/mpf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/mpf/mpf.h -------------------------------------------------------------------------------- /common/libvai/include/vai/mpf/shim_latency_qos.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/mpf/shim_latency_qos.h -------------------------------------------------------------------------------- /common/libvai/include/vai/mpf/shim_pwrite.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/mpf/shim_pwrite.h -------------------------------------------------------------------------------- /common/libvai/include/vai/mpf/shim_vc_map.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/mpf/shim_vc_map.h -------------------------------------------------------------------------------- /common/libvai/include/vai/mpf/shim_wro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/mpf/shim_wro.h -------------------------------------------------------------------------------- /common/libvai/include/vai/mpf/types.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/mpf/types.h -------------------------------------------------------------------------------- /common/libvai/include/vai/wrapper.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/include/vai/wrapper.h -------------------------------------------------------------------------------- /common/libvai/lib/libMPF_VAI.so: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/lib/libMPF_VAI.so -------------------------------------------------------------------------------- /common/libvai/lib/libhardcloud.so: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/lib/libhardcloud.so -------------------------------------------------------------------------------- /common/libvai/lib/libvai-c-ase.so: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/libvai/lib/libvai-c-ase.so -------------------------------------------------------------------------------- /common/trans.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/trans.pl -------------------------------------------------------------------------------- /common/xilinx/fakeila.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/common/xilinx/fakeila.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/.gitignore -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/.gtkwaverc -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/Makefile -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/Makefile.lc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/Makefile.lc -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/README.md -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/bug3.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/bug3.instrument.cfg -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/device_if/avalon_mem_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/device_if/avalon_mem_if.vh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/device_if/avalon_mem_if_dbg.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/device_if/avalon_mem_if_dbg.vh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/device_if/ccip_if_clock.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/device_if/ccip_if_clock.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/device_if/ccip_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/device_if/ccip_if_pkg.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/device_if/device_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/device_if/device_if.vh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/platform_afu_top_config.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/platform_afu_top_config.vh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/platform_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/platform_if.vh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/platform_shims/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/platform_shims/README.md -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/platform_shims/platform_shim_avalon_mem_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/platform_shims/platform_shim_avalon_mem_if.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/platform_shims/platform_shim_ccip.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/platform_shims/platform_shim_ccip.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/platform_shims/platform_shim_ccip_std_afu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/platform_shims/platform_shim_ccip_std_afu.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/platform_shims/utils/avalon_mem_if_connect.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/platform_shims/utils/avalon_mem_if_connect.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/platform_shims/utils/avalon_mem_if_reg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/platform_shims/utils/avalon_mem_if_reg.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/ccip/platform_shims/utils/quartus_ip/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/ccip/platform_shims/utils/quartus_ip/README -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/README -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/README -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/par/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/par/README -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/par/qsf_cci_mpf_PAR_files.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/par/qsf_cci_mpf_PAR_files.qsf -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/par/sdc_cci_mpf.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/par/sdc_cci_mpf.sdc -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/README -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/cci_csr_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/cci_csr_if.vh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/cci_csr_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/cci_csr_if_pkg.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/ccip_feature_list.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/ccip_feature_list.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/ccip_if_funcs_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/ccip_if_funcs_pkg.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/ccip_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/ccip_if_pkg.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/ccis_if_funcs_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/ccis_if_funcs_pkg.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/ccis_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-if/ccis_if_pkg.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/README -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/cci_mpf_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/cci_mpf_if.vh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/cci_mpf_if_dbg.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/cci_mpf_if_dbg.vh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/cci_mpf_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/cci_mpf_if_pkg.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-prims/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-prims/README -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-shims/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-shims/README -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci-mpf-shims/cci_mpf_shim_vtp/README: -------------------------------------------------------------------------------- 1 | The virtual to physical (VTP) shim. 2 | -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_config.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_config.vh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs.vh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs_pkg.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_null.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_null.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_pipe_std.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_pipe_std.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/rtl/cci_mpf_sources.txt -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/sim/cci_mpf_sim_addenda.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/hw/sim/cci_mpf_sim_addenda.txt -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/samples/afu/ccip_mpf_nlb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/samples/afu/ccip_mpf_nlb.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/samples/afu/ccip_slow_mpf_nlb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/samples/afu/ccip_slow_mpf_nlb.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/scripts/iterate.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/scripts/iterate.sh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/scripts/test-helloalivtpnlb-ase.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/scripts/test-helloalivtpnlb-ase.sh -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/.gitignore: -------------------------------------------------------------------------------- 1 | build* 2 | -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/CMakeLists.txt -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/README -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/doc/Doxyfile.in: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/doc/Doxyfile.in -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/doc/DoxygenLayout.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/doc/DoxygenLayout.xml -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/doc/doxygen.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/doc/doxygen.cmake -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/IMPF.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/IMPF.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/MPFService.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/MPFService.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/config.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/config.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/cci_mpf_csrs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/cci_mpf_csrs.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/connect.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/connect.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/csrs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/csrs.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/mpf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/mpf.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_pwrite.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_pwrite.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_vc_map.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_vc_map.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_vtp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_vtp.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_wro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_wro.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/types.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/opae/mpf/types.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/cci_mpf_csrs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/cci_mpf_csrs.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/connect.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/connect.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/csrs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/csrs.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/mpf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/mpf.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/shim_pwrite.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/shim_pwrite.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/shim_vc_map.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/shim_vc_map.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/shim_wro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/shim_wro.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/types.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/include/vai/mpf/types.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf++/mpf_handle.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf++/mpf_handle.cpp -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/connect.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/connect.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/csrs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/csrs.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/mpf_internal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/mpf_internal.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_latency_qos.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_latency_qos.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_pwrite.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_pwrite.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_vc_map.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_vc_map.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_internal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_internal.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_wro.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/libmpf/shim_wro.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/mpf.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src/mpf.cmake -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_service.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_service.cpp -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_service.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_service.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_pwrite.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_pwrite.cpp -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_pwrite.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_pwrite.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vc_map.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vc_map.cpp -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vc_map.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vc_map.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp.cpp -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp_pt.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp_pt.cpp -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp_pt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp_pt.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_wro.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_wro.cpp -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_wro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_wro.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/mpf_aal.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_aal/mpf_aal.cmake -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/connect.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/connect.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/csrs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/csrs.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/mpf_internal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/mpf_internal.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/mpf_vai.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/mpf_vai.cmake -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/shim_latency_qos.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/shim_latency_qos.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/shim_pwrite.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/shim_pwrite.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/shim_vc_map.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/shim_vc_map.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/shim_wro.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/sw/src_vai/shim_wro.c -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/test/test-mpf/base/sw/cci_test.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/test/test-mpf/base/sw/cci_test.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_cci_mpf/test/test-mpf/test_mem_perf/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_cci_mpf/test/test-mpf/test_mem_perf/README -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_ccip_async/hw/par/ccip_async.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_ccip_async/hw/par/ccip_async.sdc -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_ccip_async/hw/par/ccip_async_addenda.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_ccip_async/hw/par/ccip_async_addenda.qsf -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_ccip_async/hw/rtl/ccip_afifo_channel.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_ccip_async/hw/rtl/ccip_afifo_channel.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_ccip_async/hw/rtl/ccip_async_shim.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_ccip_async/hw/rtl/ccip_async_shim.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_ccip_async/samples/async_nlb100.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_ccip_async/samples/async_nlb100.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_ccip_async/samples/async_nlb150.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_ccip_async/samples/async_nlb150.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_ccip_async/samples/async_nlb200.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_ccip_async/samples/async_nlb200.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/lib/BBB_ccip_async/samples/async_nlb300.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/lib/BBB_ccip_async/samples/async_nlb300.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/origin_test/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/origin_test/Makefile -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/origin_test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/origin_test/main.cpp -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/BM_lamda.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/BM_lamda.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/DP_RAM.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/DP_RAM.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/GF_matrix_ascending_binary.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/GF_matrix_ascending_binary.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/GF_matrix_dec.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/GF_matrix_dec.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/GF_mult_add_syndromes.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/GF_mult_add_syndromes.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/Omega_Phy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/Omega_Phy.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/RS_dec.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/RS_dec.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/ccip_std_afu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/ccip_std_afu.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/ccip_std_afu_wrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/ccip_std_afu_wrapper.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/error_correction.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/error_correction.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/input_syndromes.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/input_syndromes.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/lamda_roots.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/lamda_roots.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/nomux.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/nomux.txt -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/out_stage.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/out_stage.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/reed_solomon_decoder.json: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/reed_solomon_decoder.json -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/reed_solomon_decoder.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/reed_solomon_decoder.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/reed_solomon_decoder_csr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/reed_solomon_decoder_csr.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/reed_solomon_decoder_fifo.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/reed_solomon_decoder_fifo.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/reed_solomon_decoder_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/reed_solomon_decoder_pkg.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/reed_solomon_decoder_requestor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/reed_solomon_decoder_requestor.sv -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/sources.txt -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/rtl/transport_in2out.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/rtl/transport_in2out.v -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/sources.txt -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/test/ccip_std_afu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/test/ccip_std_afu.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/test/ccip_test_pkt.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/test/ccip_test_pkt.cpp -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/test/ccip_test_pkt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/test/ccip_test_pkt.h -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/test/config.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/test/config.txt -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/test/main.cpp -------------------------------------------------------------------------------- /d1-buffer-overflow-rsd/test/pkt.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d1-buffer-overflow-rsd/test/pkt.txt -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/.gitignore -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/.gtkwaverc -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/Makefile -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/README.md -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/bug1.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/bug1.instrument.cfg -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/ccip/device_if/avalon_mem_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/ccip/device_if/avalon_mem_if.vh -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/ccip/device_if/avalon_mem_if_dbg.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/ccip/device_if/avalon_mem_if_dbg.vh -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/ccip/device_if/ccip_if_clock.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/ccip/device_if/ccip_if_clock.sv -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/ccip/device_if/ccip_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/ccip/device_if/ccip_if_pkg.sv -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/ccip/device_if/device_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/ccip/device_if/device_if.vh -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/ccip/platform_afu_top_config.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/ccip/platform_afu_top_config.vh -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/ccip/platform_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/ccip/platform_if.vh -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/ccip/platform_shims/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/ccip/platform_shims/README.md -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/ccip/platform_shims/platform_shim_ccip.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/ccip/platform_shims/platform_shim_ccip.sv -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/ccip/platform_shims/utils/quartus_ip/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/ccip/platform_shims/utils/quartus_ip/README -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/rtl/ccip_std_afu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/rtl/ccip_std_afu.sv -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/rtl/ccip_std_afu_wrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/rtl/ccip_std_afu_wrapper.sv -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/rtl/sha512.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/rtl/sha512.sv -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/rtl/sha512_core.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/rtl/sha512_core.v -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/rtl/sha512_csr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/rtl/sha512_csr.sv -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/rtl/sha512_h_constants.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/rtl/sha512_h_constants.v -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/rtl/sha512_k_constants.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/rtl/sha512_k_constants.v -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/rtl/sha512_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/rtl/sha512_pkg.sv -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/rtl/sha512_requestor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/rtl/sha512_requestor.sv -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/rtl/sha512_w_mem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/rtl/sha512_w_mem.v -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/sources.txt -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/test/ccip_std_afu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/test/ccip_std_afu.h -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/test/ccip_test_pkt.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/test/ccip_test_pkt.cpp -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/test/ccip_test_pkt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/test/ccip_test_pkt.h -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/test/config.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/test/config.txt -------------------------------------------------------------------------------- /d10-failure-to-update-sha512/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d10-failure-to-update-sha512/test/main.cpp -------------------------------------------------------------------------------- /d11-failure-to-update-frame-fifo/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d11-failure-to-update-frame-fifo/.gitignore -------------------------------------------------------------------------------- /d11-failure-to-update-frame-fifo/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d11-failure-to-update-frame-fifo/Makefile -------------------------------------------------------------------------------- /d11-failure-to-update-frame-fifo/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d11-failure-to-update-frame-fifo/README.md -------------------------------------------------------------------------------- /d11-failure-to-update-frame-fifo/instrument.txt: -------------------------------------------------------------------------------- 1 | +define+FORMAL 2 | withtask.v 3 | rtl/test_axis_fifo.v 4 | 5 | -------------------------------------------------------------------------------- /d11-failure-to-update-frame-fifo/n9.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d11-failure-to-update-frame-fifo/n9.instrument.cfg -------------------------------------------------------------------------------- /d11-failure-to-update-frame-fifo/rtl/axis_frame_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d11-failure-to-update-frame-fifo/rtl/axis_frame_fifo.v -------------------------------------------------------------------------------- /d11-failure-to-update-frame-fifo/rtl/test_axis_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d11-failure-to-update-frame-fifo/rtl/test_axis_fifo.v -------------------------------------------------------------------------------- /d11-failure-to-update-frame-fifo/sources-veripass.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d11-failure-to-update-frame-fifo/sources-veripass.txt -------------------------------------------------------------------------------- /d11-failure-to-update-frame-fifo/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d11-failure-to-update-frame-fifo/sources.txt -------------------------------------------------------------------------------- /d11-failure-to-update-frame-fifo/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d11-failure-to-update-frame-fifo/test/main.cpp -------------------------------------------------------------------------------- /d12-failure-to-update-frame-fifo/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d12-failure-to-update-frame-fifo/.gitignore -------------------------------------------------------------------------------- /d12-failure-to-update-frame-fifo/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d12-failure-to-update-frame-fifo/Makefile -------------------------------------------------------------------------------- /d12-failure-to-update-frame-fifo/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d12-failure-to-update-frame-fifo/README.md -------------------------------------------------------------------------------- /d12-failure-to-update-frame-fifo/instrument.txt: -------------------------------------------------------------------------------- 1 | +define+FORMAL 2 | withtask.v 3 | rtl/test_axis_fifo.v 4 | 5 | -------------------------------------------------------------------------------- /d12-failure-to-update-frame-fifo/n3.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d12-failure-to-update-frame-fifo/n3.instrument.cfg -------------------------------------------------------------------------------- /d12-failure-to-update-frame-fifo/rtl/axis_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d12-failure-to-update-frame-fifo/rtl/axis_fifo.v -------------------------------------------------------------------------------- /d12-failure-to-update-frame-fifo/rtl/test_axis_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d12-failure-to-update-frame-fifo/rtl/test_axis_fifo.v -------------------------------------------------------------------------------- /d12-failure-to-update-frame-fifo/sources-veripass.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d12-failure-to-update-frame-fifo/sources-veripass.txt -------------------------------------------------------------------------------- /d12-failure-to-update-frame-fifo/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d12-failure-to-update-frame-fifo/sources.txt -------------------------------------------------------------------------------- /d12-failure-to-update-frame-fifo/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d12-failure-to-update-frame-fifo/test/main.cpp -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d13-failure-to-update-frame-len/.gitignore -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d13-failure-to-update-frame-len/Makefile -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d13-failure-to-update-frame-len/README.md -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/instrument.txt: -------------------------------------------------------------------------------- 1 | +define+FORMAL 2 | withtask.v 3 | rtl/test_axis_frame_len_8.v 4 | -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/n1.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d13-failure-to-update-frame-len/n1.instrument.cfg -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/rtl/axis_frame_len.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d13-failure-to-update-frame-len/rtl/axis_frame_len.v -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/rtl/axis_frame_len_correctversion.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d13-failure-to-update-frame-len/rtl/axis_frame_len_correctversion.v -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/rtl/test_axis_frame_len_8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d13-failure-to-update-frame-len/rtl/test_axis_frame_len_8.v -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/sources-veripass.txt: -------------------------------------------------------------------------------- 1 | +define+FORMAL 2 | rtl/axis_frame_len.v 3 | -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d13-failure-to-update-frame-len/sources.txt -------------------------------------------------------------------------------- /d13-failure-to-update-frame-len/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d13-failure-to-update-frame-len/test/main.cpp -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/.gitignore -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/.gtkwaverc -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/Makefile -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/Makefile.lc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/Makefile.lc -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/README.md -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/bug4.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/bug4.instrument.cfg -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/ccip/device_if/avalon_mem_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/ccip/device_if/avalon_mem_if.vh -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/ccip/device_if/avalon_mem_if_dbg.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/ccip/device_if/avalon_mem_if_dbg.vh -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/ccip/device_if/ccip_if_clock.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/ccip/device_if/ccip_if_clock.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/ccip/device_if/ccip_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/ccip/device_if/ccip_if_pkg.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/ccip/device_if/device_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/ccip/device_if/device_if.vh -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/ccip/platform_afu_top_config.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/ccip/platform_afu_top_config.vh -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/ccip/platform_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/ccip/platform_if.vh -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/ccip/platform_shims/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/ccip/platform_shims/README.md -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/ccip/platform_shims/platform_shim_ccip.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/ccip/platform_shims/platform_shim_ccip.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/ccip/platform_shims/utils/quartus_ip/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/ccip/platform_shims/utils/quartus_ip/README -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/README -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/README -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/par/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/par/README -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/par/sdc_cci_mpf.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/par/sdc_cci_mpf.sdc -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-if/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-if/README -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-if/cci_csr_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-if/cci_csr_if.vh -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-if/ccip_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-if/ccip_if_pkg.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-if/ccis_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-if/ccis_if_pkg.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/README -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-mpf-prims/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-mpf-prims/README -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-mpf-shims/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-mpf-shims/README -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci-mpf-shims/cci_mpf_shim_vtp/README: -------------------------------------------------------------------------------- 1 | The virtual to physical (VTP) shim. 2 | -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_config.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_config.vh -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs.vh -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs_pkg.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_null.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_null.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_pipe_std.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_pipe_std.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/hw/rtl/cci_mpf_sources.txt -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/samples/afu/ccip_mpf_nlb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/samples/afu/ccip_mpf_nlb.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/scripts/iterate.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/scripts/iterate.sh -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/.gitignore: -------------------------------------------------------------------------------- 1 | build* 2 | -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/CMakeLists.txt -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/README -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/doc/Doxyfile.in: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/doc/Doxyfile.in -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/doc/DoxygenLayout.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/doc/DoxygenLayout.xml -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/doc/doxygen.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/doc/doxygen.cmake -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/IMPF.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/IMPF.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/opae/mpf/connect.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/opae/mpf/connect.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/opae/mpf/csrs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/opae/mpf/csrs.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/opae/mpf/mpf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/opae/mpf/mpf.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/opae/mpf/types.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/opae/mpf/types.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/vai/mpf/connect.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/vai/mpf/connect.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/vai/mpf/csrs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/vai/mpf/csrs.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/vai/mpf/mpf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/vai/mpf/mpf.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/vai/mpf/shim_wro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/vai/mpf/shim_wro.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/vai/mpf/types.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/include/vai/mpf/types.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/connect.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/connect.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/csrs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/csrs.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/mpf_internal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/mpf_internal.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_pwrite.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_pwrite.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_vc_map.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_vc_map.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_wro.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/libmpf/shim_wro.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/mpf.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src/mpf.cmake -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_service.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_service.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_wro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_wro.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_aal/mpf_aal.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_aal/mpf_aal.cmake -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/connect.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/connect.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/csrs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/csrs.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/mpf_internal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/mpf_internal.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/mpf_vai.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/mpf_vai.cmake -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/shim_latency_qos.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/shim_latency_qos.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/shim_pwrite.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/shim_pwrite.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/shim_vc_map.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/shim_vc_map.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/shim_wro.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_cci_mpf/sw/src_vai/shim_wro.c -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_ccip_async/hw/par/ccip_async.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_ccip_async/hw/par/ccip_async.sdc -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_ccip_async/hw/rtl/ccip_async_shim.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_ccip_async/hw/rtl/ccip_async_shim.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_ccip_async/samples/async_nlb100.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_ccip_async/samples/async_nlb100.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_ccip_async/samples/async_nlb150.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_ccip_async/samples/async_nlb150.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_ccip_async/samples/async_nlb200.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_ccip_async/samples/async_nlb200.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/lib/BBB_ccip_async/samples/async_nlb300.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/lib/BBB_ccip_async/samples/async_nlb300.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/origin_test/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/origin_test/Makefile -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/origin_test/image.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/origin_test/image.cpp -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/origin_test/image.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/origin_test/image.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/origin_test/input.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/origin_test/input.png -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/origin_test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/origin_test/main.cpp -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/origin_test/output.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/origin_test/output.png -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/rtl/ccip_std_afu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/rtl/ccip_std_afu.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/rtl/ccip_std_afu_async.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/rtl/ccip_std_afu_async.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/rtl/ccip_std_afu_wrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/rtl/ccip_std_afu_wrapper.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/rtl/grayscale.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/rtl/grayscale.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/rtl/grayscale_csr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/rtl/grayscale_csr.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/rtl/grayscale_fifo.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/rtl/grayscale_fifo.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/rtl/grayscale_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/rtl/grayscale_pkg.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/rtl/grayscale_requestor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/rtl/grayscale_requestor.sv -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/sources.txt -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/test/ccip_std_afu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/test/ccip_std_afu.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/test/ccip_test_pkt.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/test/ccip_test_pkt.cpp -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/test/ccip_test_pkt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/test/ccip_test_pkt.h -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/test/config.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/test/config.txt -------------------------------------------------------------------------------- /d2-buffer-overflow-grayscale/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d2-buffer-overflow-grayscale/test/main.cpp -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/.gitignore -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/.gtkwaverc -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/Makefile -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/Makefile.lc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/Makefile.lc -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/README.md -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/bug5.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/bug5.instrument.cfg -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/device_if/avalon_mem_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/device_if/avalon_mem_if.vh -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/device_if/avalon_mem_if_dbg.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/device_if/avalon_mem_if_dbg.vh -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/device_if/ccip_if_clock.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/device_if/ccip_if_clock.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/device_if/ccip_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/device_if/ccip_if_pkg.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/device_if/device_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/device_if/device_if.vh -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/platform_afu_top_config.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/platform_afu_top_config.vh -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/platform_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/platform_if.vh -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/platform_shims/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/platform_shims/README.md -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/platform_shims/platform_shim_ccip.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/platform_shims/platform_shim_ccip.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/platform_shims/utils/avalon_mem_if_reg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/platform_shims/utils/avalon_mem_if_reg.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/ccip/platform_shims/utils/quartus_ip/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/ccip/platform_shims/utils/quartus_ip/README -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/README -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/README -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/par/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/par/README -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/par/sdc_cci_mpf.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/par/sdc_cci_mpf.sdc -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/README -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/cci_csr_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/cci_csr_if.vh -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/cci_csr_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/cci_csr_if_pkg.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/ccip_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/ccip_if_pkg.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/ccis_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-if/ccis_if_pkg.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/README -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/cci_mpf_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-mpf-if/cci_mpf_if.vh -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-mpf-prims/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-mpf-prims/README -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-mpf-shims/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-mpf-shims/README -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci-mpf-shims/cci_mpf_shim_vtp/README: -------------------------------------------------------------------------------- 1 | The virtual to physical (VTP) shim. 2 | -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_config.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_config.vh -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs.vh -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_csrs_pkg.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_null.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_null.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_pipe_std.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_pipe_std.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/rtl/cci_mpf_sources.txt -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/sim/cci_mpf_sim_addenda.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/hw/sim/cci_mpf_sim_addenda.txt -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/samples/afu/ccip_mpf_nlb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/samples/afu/ccip_mpf_nlb.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/scripts/iterate.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/scripts/iterate.sh -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/.gitignore: -------------------------------------------------------------------------------- 1 | build* 2 | -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/CMakeLists.txt -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/README -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/doc/Doxyfile.in: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/doc/Doxyfile.in -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/doc/DoxygenLayout.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/doc/DoxygenLayout.xml -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/doc/doxygen.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/doc/doxygen.cmake -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/IMPF.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/IMPF.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/config.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/aalsdk/mpf/config.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/connect.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/connect.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/csrs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/csrs.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/mpf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/mpf.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_vtp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_vtp.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_wro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/shim_wro.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/types.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/opae/mpf/types.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/vai/mpf/connect.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/vai/mpf/connect.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/vai/mpf/csrs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/vai/mpf/csrs.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/vai/mpf/mpf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/vai/mpf/mpf.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/vai/mpf/shim_wro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/vai/mpf/shim_wro.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/vai/mpf/types.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/include/vai/mpf/types.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf++/mpf_handle.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf++/mpf_handle.cpp -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/connect.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/connect.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/csrs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/csrs.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/mpf_internal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/mpf_internal.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/mpf_os.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_pwrite.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_pwrite.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_vc_map.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_vc_map.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_vtp_pt.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_wro.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/libmpf/shim_wro.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/mpf.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src/mpf.cmake -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_service.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_service.cpp -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_service.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_service.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp.cpp -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_vtp.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_wro.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_wro.cpp -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_wro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/cci_mpf_shim_wro.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/mpf_aal.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_aal/mpf_aal.cmake -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/connect.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/connect.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/csrs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/csrs.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/mpf_internal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/mpf_internal.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/mpf_vai.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/mpf_vai.cmake -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/shim_latency_qos.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/shim_latency_qos.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/shim_pwrite.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/shim_pwrite.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/shim_vc_map.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/shim_vc_map.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/shim_wro.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_cci_mpf/sw/src_vai/shim_wro.c -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/par/ccip_mux.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/par/ccip_mux.qsf -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/ccip_front_end.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/ccip_front_end.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/ccip_intf_regs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/ccip_intf_regs.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/fair_arbiter.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/fair_arbiter.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/gram_sdp.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/gram_sdp.v -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/sync_C1Tx_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/sync_C1Tx_fifo.v -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/vai_audit_rx.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/vai_audit_rx.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/vai_mgr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/vai_mgr.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/vai_mgr_afu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/vai_mgr_afu.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/vai_mux.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/lib/BBB_vai_mux_nested/hw/rtl/vai_mux.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/origin_test/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/origin_test/Makefile -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/origin_test/image.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/origin_test/image.cpp -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/origin_test/image.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/origin_test/image.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/origin_test/input.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/origin_test/input.png -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/origin_test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/origin_test/main.cpp -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/origin_test/output.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/origin_test/output.png -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/rtl/cci_mux.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/rtl/cci_mux.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/rtl/ccip_std_afu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/rtl/ccip_std_afu.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/rtl/ccip_std_afu_wrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/rtl/ccip_std_afu_wrapper.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/rtl/grayscale.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/rtl/grayscale.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/rtl/grayscale_csr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/rtl/grayscale_csr.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/rtl/grayscale_fifo.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/rtl/grayscale_fifo.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/rtl/grayscale_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/rtl/grayscale_pkg.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/rtl/grayscale_requestor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/rtl/grayscale_requestor.sv -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/sources.txt -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/test/ccip_std_afu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/test/ccip_std_afu.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/test/ccip_test_pkt.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/test/ccip_test_pkt.cpp -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/test/ccip_test_pkt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/test/ccip_test_pkt.h -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/test/config-1.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/test/config-1.txt -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/test/config-2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/test/config-2.txt -------------------------------------------------------------------------------- /d3-buffer-overflow-optimus/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d3-buffer-overflow-optimus/test/main.cpp -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/.gitignore -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/.gtkwaverc -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/Makefile -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/Makefile.lc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/Makefile.lc -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/README.md -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/n7.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/n7.instrument.cfg -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/rtl/axis_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/rtl/axis_fifo.v -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/rtl/axis_fifo_wrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/rtl/axis_fifo_wrapper.sv -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/sources-sim.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/sources-sim.txt -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/sources-veripass.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/sources-veripass.txt -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/sources.txt: -------------------------------------------------------------------------------- 1 | test.v 2 | ../common/xilinx/fakeila.sv 3 | -------------------------------------------------------------------------------- /d4-buffer-overflow-frame-fifo/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d4-buffer-overflow-frame-fifo/test/main.cpp -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/.gitignore -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/.gtkwaverc -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/Makefile -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/README.md -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/bug2.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/bug2.instrument.cfg -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/device_if/avalon_mem_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/device_if/avalon_mem_if.vh -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/device_if/avalon_mem_if_dbg.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/device_if/avalon_mem_if_dbg.vh -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/device_if/ccip_if_clock.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/device_if/ccip_if_clock.sv -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/device_if/ccip_if_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/device_if/ccip_if_pkg.sv -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/device_if/device_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/device_if/device_if.vh -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/platform_afu_top_config.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/platform_afu_top_config.vh -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/platform_if.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/platform_if.vh -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/platform_shims/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/platform_shims/README.md -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/platform_shims/platform_shim_ccip.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/platform_shims/platform_shim_ccip.sv -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/platform_shims/utils/avalon_mem_if_reg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/platform_shims/utils/avalon_mem_if_reg.sv -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/ccip/platform_shims/utils/quartus_ip/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/ccip/platform_shims/utils/quartus_ip/README -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/rtl/ccip_std_afu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/rtl/ccip_std_afu.sv -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/rtl/ccip_std_afu_wrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/rtl/ccip_std_afu_wrapper.sv -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/rtl/sha512.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/rtl/sha512.sv -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/rtl/sha512_core.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/rtl/sha512_core.v -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/rtl/sha512_csr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/rtl/sha512_csr.sv -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/rtl/sha512_h_constants.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/rtl/sha512_h_constants.v -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/rtl/sha512_k_constants.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/rtl/sha512_k_constants.v -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/rtl/sha512_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/rtl/sha512_pkg.sv -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/rtl/sha512_requestor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/rtl/sha512_requestor.sv -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/rtl/sha512_w_mem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/rtl/sha512_w_mem.v -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/sources.txt -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/test/ccip_std_afu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/test/ccip_std_afu.h -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/test/ccip_test_pkt.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/test/ccip_test_pkt.cpp -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/test/ccip_test_pkt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/test/ccip_test_pkt.h -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/test/config.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/test/config.txt -------------------------------------------------------------------------------- /d5-bit-truncation-sha512/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d5-bit-truncation-sha512/test/main.cpp -------------------------------------------------------------------------------- /d6-bit-truncation-fft/.gitignore: -------------------------------------------------------------------------------- 1 | __pycache__/ 2 | results.xml 3 | sim_build/ 4 | obj_dir/ 5 | -------------------------------------------------------------------------------- /d6-bit-truncation-fft/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/.gtkwaverc -------------------------------------------------------------------------------- /d6-bit-truncation-fft/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/Makefile -------------------------------------------------------------------------------- /d6-bit-truncation-fft/bug12.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/bug12.instrument.cfg -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/bimpy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/bimpy.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/bitreverse.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/bitreverse.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/butterfly.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/butterfly.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/convround.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/convround.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/fftmain.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/fftmain.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/fftstage.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/fftstage.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/hwbfly.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/hwbfly.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/laststage.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/laststage.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/longbimpy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/longbimpy.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/qtrstage.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/qtrstage.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/cores/shiftaddmpy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/cores/shiftaddmpy.v -------------------------------------------------------------------------------- /d6-bit-truncation-fft/hex/cmem_128.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/hex/cmem_128.hex -------------------------------------------------------------------------------- /d6-bit-truncation-fft/hex/cmem_16.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/hex/cmem_16.hex -------------------------------------------------------------------------------- /d6-bit-truncation-fft/hex/cmem_32.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/hex/cmem_32.hex -------------------------------------------------------------------------------- /d6-bit-truncation-fft/hex/cmem_64.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/hex/cmem_64.hex -------------------------------------------------------------------------------- /d6-bit-truncation-fft/hex/cmem_8.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/hex/cmem_8.hex -------------------------------------------------------------------------------- /d6-bit-truncation-fft/instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/instrument.cfg -------------------------------------------------------------------------------- /d6-bit-truncation-fft/readme.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/readme.md -------------------------------------------------------------------------------- /d6-bit-truncation-fft/source.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/source.txt -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/.gitignore: -------------------------------------------------------------------------------- 1 | *.pass 2 | fft_tb 3 | -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/test/Makefile -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/test/README.md -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/data_in.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/test/data_in.raw -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/expected.iq: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/test/expected.iq -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/fft_tb.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/test/fft_tb.cpp -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/fftsize.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/test/fftsize.h -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/shit.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/test/shit.txt -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/twoc.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/test/twoc.cpp -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/twoc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/test/twoc.h -------------------------------------------------------------------------------- /d6-bit-truncation-fft/test/vversion.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/test/vversion.sh -------------------------------------------------------------------------------- /d6-bit-truncation-fft/vivado_synth/fft_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d6-bit-truncation-fft/vivado_synth/fft_wrapper.v -------------------------------------------------------------------------------- /d7-misindexing-fadd/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/.gitignore -------------------------------------------------------------------------------- /d7-misindexing-fadd/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/.gtkwaverc -------------------------------------------------------------------------------- /d7-misindexing-fadd/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/Makefile -------------------------------------------------------------------------------- /d7-misindexing-fadd/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/README.md -------------------------------------------------------------------------------- /d7-misindexing-fadd/bug11.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/bug11.instrument.cfg -------------------------------------------------------------------------------- /d7-misindexing-fadd/rtl/fadd.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/rtl/fadd.sv -------------------------------------------------------------------------------- /d7-misindexing-fadd/rtl/fadd_buggy.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/rtl/fadd_buggy.sv -------------------------------------------------------------------------------- /d7-misindexing-fadd/rtl/fadd_correct.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/rtl/fadd_correct.sv -------------------------------------------------------------------------------- /d7-misindexing-fadd/sources-sim.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/sources-sim.txt -------------------------------------------------------------------------------- /d7-misindexing-fadd/sources-veripass.txt: -------------------------------------------------------------------------------- 1 | rtl/fadd_buggy.sv 2 | -------------------------------------------------------------------------------- /d7-misindexing-fadd/sources.txt: -------------------------------------------------------------------------------- 1 | test.v 2 | -------------------------------------------------------------------------------- /d7-misindexing-fadd/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/test/main.cpp -------------------------------------------------------------------------------- /d7-misindexing-fadd/vivado_synth/fadd_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d7-misindexing-fadd/vivado_synth/fadd_wrapper.v -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/.gitignore -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/Makefile -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/README.md -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/instrument.txt: -------------------------------------------------------------------------------- 1 | +define+FORMAL 2 | withtask.v 3 | rtl/test_axis_fifo.v 4 | 5 | -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/n4.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/n4.instrument.cfg -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/rtl/arbiter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/rtl/arbiter.v -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/rtl/axis_register.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/rtl/axis_register.v -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/rtl/axis_switch.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/rtl/axis_switch.v -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/rtl/axis_switch_4x1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/rtl/axis_switch_4x1.v -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/rtl/priority_encoder.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/rtl/priority_encoder.v -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/rtl/test_axis_switch_4x1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/rtl/test_axis_switch_4x1.v -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/sources-veripass.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/sources-veripass.txt -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/sources.txt -------------------------------------------------------------------------------- /d8-misindexing-axis-switch/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d8-misindexing-axis-switch/test/main.cpp -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/.gitignore -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/.gtkwaverc -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/Makefile -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/README.md -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/bench/cpp/.gitignore: -------------------------------------------------------------------------------- 1 | *.vcd 2 | sdcard.img 3 | -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/bench/cpp/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/bench/cpp/.gtkwaverc -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/bench/cpp/autotest_tb.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/bench/cpp/autotest_tb.cpp -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/bench/cpp/sdspisim.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/bench/cpp/sdspisim.cpp -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/bench/cpp/sdspisim.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/bench/cpp/sdspisim.h -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/bench/cpp/testb.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/bench/cpp/testb.h -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/bench/cpp/wb_tb.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/bench/cpp/wb_tb.h -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/bug10.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/bug10.instrument.cfg -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/rtl/llsdspi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/rtl/llsdspi.v -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/rtl/sdspi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/rtl/sdspi.v -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/sources.txt -------------------------------------------------------------------------------- /d9-endianness-mismatch-sdspi/vivado_synth/sdspi_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/d9-endianness-mismatch-sdspi/vivado_synth/sdspi_wrapper.v -------------------------------------------------------------------------------- /manual_debug_log/used_tools.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/manual_debug_log/used_tools.xlsx -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/.gitignore -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/.gtkwaverc -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/Makefile -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/README.md -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/bug6.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/bug6.instrument.cfg -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/rtl/bugfix.patch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/rtl/bugfix.patch -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/rtl/faxil_slave.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/rtl/faxil_slave.v -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/rtl/trace_tb0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/rtl/trace_tb0.v -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/rtl/trace_tb1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/rtl/trace_tb1.v -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/rtl/xlnxdemo.sby: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/rtl/xlnxdemo.sby -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/rtl/xlnxdemo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/rtl/xlnxdemo.v -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/sources.txt -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/sources0.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/sources0.txt -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/sources1.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/sources1.txt -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/test/main.cpp -------------------------------------------------------------------------------- /s1-protocol-violation-axi-lite/vivado_synth/xlnxdemo_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s1-protocol-violation-axi-lite/vivado_synth/xlnxdemo_wrapper.v -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/.gitignore -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/.gtkwaverc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/.gtkwaverc -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/Makefile -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/README.md -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/bug7.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/bug7.instrument.cfg -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/rtl/bugfix.patch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/rtl/bugfix.patch -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/rtl/faxis_master.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/rtl/faxis_master.v -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/rtl/trace_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/rtl/trace_tb.v -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/rtl/xlnxstream_2018_3.sby: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/rtl/xlnxstream_2018_3.sby -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/rtl/xlnxstream_2018_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/rtl/xlnxstream_2018_3.v -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/sources.txt -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/sources0.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/sources0.txt -------------------------------------------------------------------------------- /s2-protocol-violation-axi-stream/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s2-protocol-violation-axi-stream/test/main.cpp -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s3-incomplete-implementation-axis-adapter/.gitignore -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s3-incomplete-implementation-axis-adapter/Makefile -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s3-incomplete-implementation-axis-adapter/README.md -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/instrument.txt: -------------------------------------------------------------------------------- 1 | +define+FORMAL 2 | withtask.v 3 | rtl/test_axis_adapter_64_8.v 4 | 5 | -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/n8.instrument.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s3-incomplete-implementation-axis-adapter/n8.instrument.cfg -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/rtl/axis_adapter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s3-incomplete-implementation-axis-adapter/rtl/axis_adapter.v -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/rtl/axis_adapter_correct.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s3-incomplete-implementation-axis-adapter/rtl/axis_adapter_correct.v -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/rtl/test_axis_adapter_64_8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s3-incomplete-implementation-axis-adapter/rtl/test_axis_adapter_64_8.v -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/sources-veripass.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s3-incomplete-implementation-axis-adapter/sources-veripass.txt -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/sources.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s3-incomplete-implementation-axis-adapter/sources.txt -------------------------------------------------------------------------------- /s3-incomplete-implementation-axis-adapter/test/main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/s3-incomplete-implementation-axis-adapter/test/main.cpp -------------------------------------------------------------------------------- /scripts/report_sweep.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/scripts/report_sweep.py -------------------------------------------------------------------------------- /scripts/signaltap_util/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/scripts/signaltap_util/README.txt -------------------------------------------------------------------------------- /scripts/signaltap_util/report_util.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/scripts/signaltap_util/report_util.py -------------------------------------------------------------------------------- /scripts/signaltap_util/sweep.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/scripts/signaltap_util/sweep.sh -------------------------------------------------------------------------------- /scripts/signaltap_util/utils.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/scripts/signaltap_util/utils.py -------------------------------------------------------------------------------- /scripts/xilinxila_util/report_util.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/scripts/xilinxila_util/report_util.py -------------------------------------------------------------------------------- /scripts/xilinxila_util/sweep.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/scripts/xilinxila_util/sweep.sh -------------------------------------------------------------------------------- /scripts/xilinxila_util/utils.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/efeslab/hardware-bugbase/HEAD/scripts/xilinxila_util/utils.py --------------------------------------------------------------------------------