├── COPYING ├── KitProg ├── SCSI2SDKit_Copy_03.hex └── readme ├── README.md ├── SCSI2SD Bauanleitung.pdf ├── creator ├── SCSI2SDKit_Copy_03.cydsn │ ├── Analog_LPF_v1_0 │ │ ├── API │ │ │ ├── lpf.c │ │ │ └── lpf.h │ │ ├── Analog_LPF_v1_0.cysch │ │ └── Analog_LPF_v1_0.cysym │ ├── BUILD.log │ ├── Bootloader │ │ ├── USB_BootloaderKit.elf │ │ └── USB_BootloaderKit.hex │ ├── CortexM3 │ │ └── ARM_GCC_541 │ │ │ └── Debug │ │ │ ├── README │ │ │ ├── SCSI2SDKit_Copy_03.a │ │ │ ├── SCSI2SDKit_Copy_03.cyacd │ │ │ ├── SCSI2SDKit_Copy_03.elf │ │ │ ├── SCSI2SDKit_Copy_03.hex │ │ │ └── SCSI2SDKit_Copy_03.map │ ├── Export │ │ └── PSoCCreatorExportIDE.xml │ ├── GENERATE_API.log │ ├── Generated_Source │ │ └── PSoC5 │ │ │ ├── Bootloadable_1.c │ │ │ ├── Bootloadable_1.h │ │ │ ├── CFG_EEPROM.c │ │ │ ├── CFG_EEPROM.h │ │ │ ├── Cm3Iar.icf │ │ │ ├── Cm3RealView.scat │ │ │ ├── Cm3Start.c │ │ │ ├── CyBootAsmGnu.s │ │ │ ├── CyBootAsmIar.s │ │ │ ├── CyBootAsmRv.s │ │ │ ├── CyDmac.c │ │ │ ├── CyDmac.h │ │ │ ├── CyFlash.c │ │ │ ├── CyFlash.h │ │ │ ├── CyLib.c │ │ │ ├── CyLib.h │ │ │ ├── CySpc.c │ │ │ ├── CySpc.h │ │ │ ├── Debug_Timer.c │ │ │ ├── Debug_Timer.h │ │ │ ├── Debug_Timer_Interrupt.c │ │ │ ├── Debug_Timer_Interrupt.h │ │ │ ├── Debug_Timer_PM.c │ │ │ ├── EXTLED.c │ │ │ ├── EXTLED.h │ │ │ ├── EXTLED_aliases.h │ │ │ ├── LED1.c │ │ │ ├── LED1.h │ │ │ ├── LED1_aliases.h │ │ │ ├── LPF_1.c │ │ │ ├── LPF_1.h │ │ │ ├── PGA_1.c │ │ │ ├── PGA_1.h │ │ │ ├── PGA_1_PM.c │ │ │ ├── SCSI_ATN.c │ │ │ ├── SCSI_ATN.h │ │ │ ├── SCSI_ATN_aliases.h │ │ │ ├── SCSI_CLK.c │ │ │ ├── SCSI_CLK.h │ │ │ ├── SCSI_CTL_PHASE.c │ │ │ ├── SCSI_CTL_PHASE.h │ │ │ ├── SCSI_CTL_PHASE_PM.c │ │ │ ├── SCSI_Filtered.c │ │ │ ├── SCSI_Filtered.h │ │ │ ├── SCSI_Glitch_Ctl.c │ │ │ ├── SCSI_Glitch_Ctl.h │ │ │ ├── SCSI_Glitch_Ctl_PM.c │ │ │ ├── SCSI_In_DBx_aliases.h │ │ │ ├── SCSI_In_aliases.h │ │ │ ├── SCSI_Noise_aliases.h │ │ │ ├── SCSI_Out_Bits.c │ │ │ ├── SCSI_Out_Bits.h │ │ │ ├── SCSI_Out_Bits_PM.c │ │ │ ├── SCSI_Out_Ctl.c │ │ │ ├── SCSI_Out_Ctl.h │ │ │ ├── SCSI_Out_Ctl_PM.c │ │ │ ├── SCSI_Out_DBx_aliases.h │ │ │ ├── SCSI_Out_aliases.h │ │ │ ├── SCSI_Parity_Error.c │ │ │ ├── SCSI_Parity_Error.h │ │ │ ├── SCSI_RST.c │ │ │ ├── SCSI_RST.h │ │ │ ├── SCSI_RST_ISR.c │ │ │ ├── SCSI_RST_ISR.h │ │ │ ├── SCSI_RST_aliases.h │ │ │ ├── SCSI_RX_DMA_COMPLETE.c │ │ │ ├── SCSI_RX_DMA_COMPLETE.h │ │ │ ├── SCSI_RX_DMA_dma.c │ │ │ ├── SCSI_RX_DMA_dma.h │ │ │ ├── SCSI_SEL_ISR.c │ │ │ ├── SCSI_SEL_ISR.h │ │ │ ├── SCSI_TX_DMA_COMPLETE.c │ │ │ ├── SCSI_TX_DMA_COMPLETE.h │ │ │ ├── SCSI_TX_DMA_dma.c │ │ │ ├── SCSI_TX_DMA_dma.h │ │ │ ├── SDCard.c │ │ │ ├── SDCard.h │ │ │ ├── SDCard_INT.c │ │ │ ├── SDCard_PM.c │ │ │ ├── SDCard_PVT.h │ │ │ ├── SD_CD.c │ │ │ ├── SD_CD.h │ │ │ ├── SD_CD_aliases.h │ │ │ ├── SD_CS.c │ │ │ ├── SD_CS.h │ │ │ ├── SD_CS_aliases.h │ │ │ ├── SD_Data_Clk.c │ │ │ ├── SD_Data_Clk.h │ │ │ ├── SD_MISO.c │ │ │ ├── SD_MISO.h │ │ │ ├── SD_MISO_aliases.h │ │ │ ├── SD_MOSI.c │ │ │ ├── SD_MOSI.h │ │ │ ├── SD_MOSI_aliases.h │ │ │ ├── SD_RX_DMA_COMPLETE.c │ │ │ ├── SD_RX_DMA_COMPLETE.h │ │ │ ├── SD_RX_DMA_dma.c │ │ │ ├── SD_RX_DMA_dma.h │ │ │ ├── SD_SCK.c │ │ │ ├── SD_SCK.h │ │ │ ├── SD_SCK_aliases.h │ │ │ ├── SD_TX_DMA_COMPLETE.c │ │ │ ├── SD_TX_DMA_COMPLETE.h │ │ │ ├── SD_TX_DMA_dma.c │ │ │ ├── SD_TX_DMA_dma.h │ │ │ ├── SOUND.c │ │ │ ├── SOUND.h │ │ │ ├── SOUND_CLK.c │ │ │ ├── SOUND_CLK.h │ │ │ ├── SOUND_ISR.c │ │ │ ├── SOUND_ISR.h │ │ │ ├── SOUND_aliases.h │ │ │ ├── USBFS.c │ │ │ ├── USBFS.h │ │ │ ├── USBFS_Dm.c │ │ │ ├── USBFS_Dm.h │ │ │ ├── USBFS_Dm_aliases.h │ │ │ ├── USBFS_Dp.c │ │ │ ├── USBFS_Dp.h │ │ │ ├── USBFS_Dp_aliases.h │ │ │ ├── USBFS_audio.c │ │ │ ├── USBFS_audio.h │ │ │ ├── USBFS_boot.c │ │ │ ├── USBFS_cdc.c │ │ │ ├── USBFS_cdc.h │ │ │ ├── USBFS_cdc.inf │ │ │ ├── USBFS_cls.c │ │ │ ├── USBFS_cydmac.h │ │ │ ├── USBFS_descr.c │ │ │ ├── USBFS_drv.c │ │ │ ├── USBFS_episr.c │ │ │ ├── USBFS_hid.c │ │ │ ├── USBFS_hid.h │ │ │ ├── USBFS_midi.c │ │ │ ├── USBFS_midi.h │ │ │ ├── USBFS_msc.c │ │ │ ├── USBFS_msc.h │ │ │ ├── USBFS_pm.c │ │ │ ├── USBFS_pvt.h │ │ │ ├── USBFS_std.c │ │ │ ├── USBFS_vnd.c │ │ │ ├── VDAC8_1.c │ │ │ ├── VDAC8_1.h │ │ │ ├── VDAC8_1_PM.c │ │ │ ├── Vout_1.c │ │ │ ├── Vout_1.h │ │ │ ├── Vout_1_aliases.h │ │ │ ├── cm3gcc.ld │ │ │ ├── cmsis_armcc.h │ │ │ ├── cmsis_compiler.h │ │ │ ├── cmsis_gcc.h │ │ │ ├── core_cm3.h │ │ │ ├── core_cm3_psoc5.h │ │ │ ├── core_cmFunc.h │ │ │ ├── core_cmInstr.h │ │ │ ├── cyPm.c │ │ │ ├── cyPm.h │ │ │ ├── cy_em_eeprom.c │ │ │ ├── cy_em_eeprom.h │ │ │ ├── cybootloader.c │ │ │ ├── cybootloader.icf │ │ │ ├── cydevice.h │ │ │ ├── cydevice_trm.h │ │ │ ├── cydevicegnu.inc │ │ │ ├── cydevicegnu_trm.inc │ │ │ ├── cydeviceiar.inc │ │ │ ├── cydeviceiar_trm.inc │ │ │ ├── cydevicerv.inc │ │ │ ├── cydevicerv_trm.inc │ │ │ ├── cydisabledsheets.h │ │ │ ├── cyfitter.h │ │ │ ├── cyfitter_cfg.c │ │ │ ├── cyfitter_cfg.h │ │ │ ├── cyfittergnu.inc │ │ │ ├── cyfitteriar.inc │ │ │ ├── cyfitterrv.inc │ │ │ ├── cymetadata.c │ │ │ ├── cypins.h │ │ │ ├── cytypes.h │ │ │ ├── cyutils.c │ │ │ ├── project.h │ │ │ ├── protect.hex │ │ │ ├── timer_clock.c │ │ │ └── timer_clock.h │ ├── LPF.cycomp │ ├── OddParityGen │ │ ├── OddParityGen.cysym │ │ └── OddParityGen.v │ ├── REBUILD.log │ ├── SCSI2SDKit_Copy_03-000.cywrk │ ├── SCSI2SDKit_Copy_03-000.cywrk.r │ ├── SCSI2SDKit_Copy_03.cycdx │ ├── SCSI2SDKit_Copy_03.cydwr │ ├── SCSI2SDKit_Copy_03.cyfit │ ├── SCSI2SDKit_Copy_03.cyprj │ ├── SCSI2SDKit_Copy_03.cyprj.r │ ├── SCSI2SDKit_Copy_03.rpt │ ├── SCSI2SDKit_Copy_03.svd │ ├── SCSI2SDKit_Copy_03_timing.html │ ├── TopDesign │ │ └── TopDesign.cysch │ ├── codegentemp │ │ ├── Bootloadable_1.c │ │ ├── Bootloadable_1.h │ │ ├── CFG_EEPROM.c │ │ ├── CFG_EEPROM.h │ │ ├── Cm3Iar.icf │ │ ├── Cm3RealView.scat │ │ ├── Cm3Start.c │ │ ├── CyBootAsmGnu.s │ │ ├── CyBootAsmIar.s │ │ ├── CyBootAsmRv.s │ │ ├── CyDmac.c │ │ ├── CyDmac.h │ │ ├── CyFlash.c │ │ ├── CyFlash.h │ │ ├── CyLib.c │ │ ├── CyLib.h │ │ ├── CySpc.c │ │ ├── CySpc.h │ │ ├── Debug_Timer.c │ │ ├── Debug_Timer.h │ │ ├── Debug_Timer_Interrupt.c │ │ ├── Debug_Timer_Interrupt.h │ │ ├── Debug_Timer_PM.c │ │ ├── LED1.c │ │ ├── LED1.h │ │ ├── LED1_aliases.h │ │ ├── LPF_1.c │ │ ├── LPF_1.h │ │ ├── PGA_1.c │ │ ├── PGA_1.h │ │ ├── PGA_1_PM.c │ │ ├── SCSI2SDKit_Copy_03.bvf │ │ ├── SCSI2SDKit_Copy_03.ctl │ │ ├── SCSI2SDKit_Copy_03.cycdx │ │ ├── SCSI2SDKit_Copy_03.cyfit │ │ ├── SCSI2SDKit_Copy_03.dsf │ │ ├── SCSI2SDKit_Copy_03.pci │ │ ├── SCSI2SDKit_Copy_03.pco │ │ ├── SCSI2SDKit_Copy_03.plc_log │ │ ├── SCSI2SDKit_Copy_03.route │ │ ├── SCSI2SDKit_Copy_03.rpt │ │ ├── SCSI2SDKit_Copy_03.rt_log │ │ ├── SCSI2SDKit_Copy_03.sdc │ │ ├── SCSI2SDKit_Copy_03.sdf │ │ ├── SCSI2SDKit_Copy_03.svd │ │ ├── SCSI2SDKit_Copy_03.tr │ │ ├── SCSI2SDKit_Copy_03.v │ │ ├── SCSI2SDKit_Copy_03.vh2 │ │ ├── SCSI2SDKit_Copy_03.wde │ │ ├── SCSI2SDKit_Copy_03_p.lib │ │ ├── SCSI2SDKit_Copy_03_p.pco │ │ ├── SCSI2SDKit_Copy_03_p.vh2 │ │ ├── SCSI2SDKit_Copy_03_r.lib │ │ ├── SCSI2SDKit_Copy_03_r.vh2 │ │ ├── SCSI2SDKit_Copy_03_t.lib │ │ ├── SCSI2SDKit_Copy_03_t.vh2 │ │ ├── SCSI2SDKit_Copy_03_timing.html │ │ ├── SCSI2SDKit_Copy_03_u.sdc │ │ ├── SCSI_CLK.c │ │ ├── SCSI_CLK.h │ │ ├── SCSI_CTL_PHASE.c │ │ ├── SCSI_CTL_PHASE.h │ │ ├── SCSI_CTL_PHASE_PM.c │ │ ├── SCSI_Filtered.c │ │ ├── SCSI_Filtered.h │ │ ├── SCSI_Glitch_Ctl.c │ │ ├── SCSI_Glitch_Ctl.h │ │ ├── SCSI_Glitch_Ctl_PM.c │ │ ├── SCSI_In_DBx_aliases.h │ │ ├── SCSI_In_aliases.h │ │ ├── SCSI_Noise_aliases.h │ │ ├── SCSI_Out_Bits.c │ │ ├── SCSI_Out_Bits.h │ │ ├── SCSI_Out_Bits_PM.c │ │ ├── SCSI_Out_Ctl.c │ │ ├── SCSI_Out_Ctl.h │ │ ├── SCSI_Out_Ctl_PM.c │ │ ├── SCSI_Out_DBx_aliases.h │ │ ├── SCSI_Out_aliases.h │ │ ├── SCSI_Parity_Error.c │ │ ├── SCSI_Parity_Error.h │ │ ├── SCSI_RST_ISR.c │ │ ├── SCSI_RST_ISR.h │ │ ├── SCSI_RX_DMA_COMPLETE.c │ │ ├── SCSI_RX_DMA_COMPLETE.h │ │ ├── SCSI_RX_DMA_dma.c │ │ ├── SCSI_RX_DMA_dma.h │ │ ├── SCSI_SEL_ISR.c │ │ ├── SCSI_SEL_ISR.h │ │ ├── SCSI_TX_DMA_COMPLETE.c │ │ ├── SCSI_TX_DMA_COMPLETE.h │ │ ├── SCSI_TX_DMA_dma.c │ │ ├── SCSI_TX_DMA_dma.h │ │ ├── SDCard.c │ │ ├── SDCard.h │ │ ├── SDCard_INT.c │ │ ├── SDCard_PM.c │ │ ├── SDCard_PVT.h │ │ ├── SD_CD.c │ │ ├── SD_CD.h │ │ ├── SD_CD_aliases.h │ │ ├── SD_CS.c │ │ ├── SD_CS.h │ │ ├── SD_CS_aliases.h │ │ ├── SD_Data_Clk.c │ │ ├── SD_Data_Clk.h │ │ ├── SD_MISO.c │ │ ├── SD_MISO.h │ │ ├── SD_MISO_aliases.h │ │ ├── SD_MOSI.c │ │ ├── SD_MOSI.h │ │ ├── SD_MOSI_aliases.h │ │ ├── SD_RX_DMA_COMPLETE.c │ │ ├── SD_RX_DMA_COMPLETE.h │ │ ├── SD_RX_DMA_dma.c │ │ ├── SD_RX_DMA_dma.h │ │ ├── SD_SCK.c │ │ ├── SD_SCK.h │ │ ├── SD_SCK_aliases.h │ │ ├── SD_TX_DMA_COMPLETE.c │ │ ├── SD_TX_DMA_COMPLETE.h │ │ ├── SD_TX_DMA_dma.c │ │ ├── SD_TX_DMA_dma.h │ │ ├── SOUND.c │ │ ├── SOUND.h │ │ ├── SOUND_CLK.c │ │ ├── SOUND_CLK.h │ │ ├── SOUND_ISR.c │ │ ├── SOUND_ISR.h │ │ ├── SOUND_aliases.h │ │ ├── USBFS.c │ │ ├── USBFS.h │ │ ├── USBFS_Dm.c │ │ ├── USBFS_Dm.h │ │ ├── USBFS_Dm_aliases.h │ │ ├── USBFS_Dp.c │ │ ├── USBFS_Dp.h │ │ ├── USBFS_Dp_aliases.h │ │ ├── USBFS_audio.c │ │ ├── USBFS_audio.h │ │ ├── USBFS_boot.c │ │ ├── USBFS_cdc.c │ │ ├── USBFS_cdc.h │ │ ├── USBFS_cdc.inf │ │ ├── USBFS_cls.c │ │ ├── USBFS_cydmac.h │ │ ├── USBFS_descr.c │ │ ├── USBFS_drv.c │ │ ├── USBFS_episr.c │ │ ├── USBFS_hid.c │ │ ├── USBFS_hid.h │ │ ├── USBFS_midi.c │ │ ├── USBFS_midi.h │ │ ├── USBFS_msc.c │ │ ├── USBFS_msc.h │ │ ├── USBFS_pm.c │ │ ├── USBFS_pvt.h │ │ ├── USBFS_std.c │ │ ├── USBFS_vnd.c │ │ ├── VDAC8_1.c │ │ ├── VDAC8_1.h │ │ ├── VDAC8_1_PM.c │ │ ├── bitstream.txt │ │ ├── cm3gcc.ld │ │ ├── cmsis_armcc.h │ │ ├── cmsis_compiler.h │ │ ├── cmsis_gcc.h │ │ ├── core_cm3.h │ │ ├── core_cm3_psoc5.h │ │ ├── core_cmFunc.h │ │ ├── core_cmInstr.h │ │ ├── cyPm.c │ │ ├── cyPm.h │ │ ├── cy_em_eeprom.c │ │ ├── cy_em_eeprom.h │ │ ├── cybootloader.c │ │ ├── cybootloader.icf │ │ ├── cydevice.h │ │ ├── cydevice_trm.h │ │ ├── cydevicegnu.inc │ │ ├── cydevicegnu_trm.inc │ │ ├── cydeviceiar.inc │ │ ├── cydeviceiar_trm.inc │ │ ├── cydevicerv.inc │ │ ├── cydevicerv_trm.inc │ │ ├── cydisabledsheets.h │ │ ├── cyfitter.h │ │ ├── cyfitter_cfg.c │ │ ├── cyfitter_cfg.h │ │ ├── cyfittergnu.inc │ │ ├── cyfitteriar.inc │ │ ├── cyfitterrv.inc │ │ ├── cymetadata.c │ │ ├── cypins.h │ │ ├── cytypes.h │ │ ├── cyutils.c │ │ ├── device.lib │ │ ├── elab_dependencies.txt │ │ ├── generated_files.txt │ │ ├── lcpsoc3 │ │ │ └── index │ │ ├── liberty_reader.log │ │ ├── pins_68-QFN.xml │ │ ├── placer.log │ │ ├── project.h │ │ ├── project_ids.txt │ │ ├── referenced_files.txt │ │ ├── timer_clock.c │ │ ├── timer_clock.h │ │ └── warp_dependencies.txt │ ├── readme │ └── scsiTarget │ │ ├── scsiTarget.cysym │ │ └── scsiTarget.v └── readme ├── kicad ├── empty.kicad_wks ├── readme ├── scsi2sdkit10.pretty │ ├── 3,2-PAD.kicad_mod │ ├── 57102-F08-25THINTOP.kicad_mod │ ├── AUDIO_AMP.kicad_mod │ ├── C0805K.kicad_mod │ ├── C1206K.kicad_mod │ ├── DIL52.kicad_mod │ ├── DO214AC.kicad_mod │ ├── DPACK.kicad_mod │ ├── FE06WZZ.kicad_mod │ ├── H2M25ST_WO_HOLES.kicad_mod │ ├── M0805.kicad_mod │ ├── R1206.kicad_mod │ ├── SIL10.kicad_mod │ ├── SJ.kicad_mod │ ├── SMC.kicad_mod │ ├── SO14.kicad_mod │ ├── TE5.kicad_mod │ └── TP20R.kicad_mod ├── scsi2sdkit10.pro ├── scsi2sdkit10.sch ├── scsi2sdkit11.pdf └── sym-lib-table ├── scsi2sd-monitor.exe ├── scsi2sd-util.exe └── src ├── bits.c ├── bits.h ├── cdrom.c ├── cdrom.h ├── config.c ├── config.h ├── debug.h ├── device.h ├── diagnostic.c ├── diagnostic.h ├── disk.c ├── disk.h ├── geometry.c ├── geometry.h ├── hidpacket.c ├── include ├── hidpacket.h └── scsi2sd.h ├── inquiry.c ├── inquiry.h ├── led.c ├── led.h ├── main.c ├── mo.c ├── mo.h ├── mode.c ├── mode.h ├── readme ├── scsi.c ├── scsi.h ├── scsiPhy.c ├── scsiPhy.h ├── sd.c ├── sd.h ├── sense.h ├── sound8.h ├── tape.c ├── tape.h ├── time.c ├── time.h ├── trace.c ├── trace.h ├── vendor.c └── vendor.h /KitProg/readme: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | # SCSI2SD-Mod 2 | version for CY8CKIT-059 3 | -------------------------------------------------------------------------------- /SCSI2SD Bauanleitung.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/SCSI2SD Bauanleitung.pdf -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Analog_LPF_v1_0/Analog_LPF_v1_0.cysch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/Analog_LPF_v1_0/Analog_LPF_v1_0.cysch -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Analog_LPF_v1_0/Analog_LPF_v1_0.cysym: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/Analog_LPF_v1_0/Analog_LPF_v1_0.cysym -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Bootloader/USB_BootloaderKit.elf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/Bootloader/USB_BootloaderKit.elf -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/CortexM3/ARM_GCC_541/Debug/README: -------------------------------------------------------------------------------- 1 | / 2 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/CortexM3/ARM_GCC_541/Debug/SCSI2SDKit_Copy_03.a: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/CortexM3/ARM_GCC_541/Debug/SCSI2SDKit_Copy_03.a -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/CortexM3/ARM_GCC_541/Debug/SCSI2SDKit_Copy_03.elf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/CortexM3/ARM_GCC_541/Debug/SCSI2SDKit_Copy_03.elf -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/GENERATE_API.log: -------------------------------------------------------------------------------- 1 | --------------- Build Started: 12/14/2018 02:08:26 Project: SCSI2SDKit_Copy_03, Configuration: ARM GCC 5.4-2016-q2-update Debug --------------- 2 | The code generation step is up to date. 3 | --------------- Build Skipped: 12/14/2018 02:08:28 --------------- 4 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/EXTLED_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: EXTLED.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_EXTLED_ALIASES_H) /* Pins EXTLED_ALIASES_H */ 19 | #define CY_PINS_EXTLED_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define EXTLED_0 (EXTLED__0__PC) 29 | #define EXTLED_0_INTR ((uint16)((uint16)0x0001u << EXTLED__0__SHIFT)) 30 | 31 | #define EXTLED_INTR_ALL ((uint16)(EXTLED_0_INTR)) 32 | 33 | #endif /* End Pins EXTLED_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/LED1_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: LED1.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_LED1_ALIASES_H) /* Pins LED1_ALIASES_H */ 19 | #define CY_PINS_LED1_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define LED1_0 (LED1__0__PC) 29 | #define LED1_0_INTR ((uint16)((uint16)0x0001u << LED1__0__SHIFT)) 30 | 31 | #define LED1_INTR_ALL ((uint16)(LED1_0_INTR)) 32 | 33 | #endif /* End Pins LED1_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_ATN_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_ATN.h 3 | * Version 1.90 4 | * 5 | * Description: 6 | * This file containts Control Register function prototypes and register defines 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #if !defined(CY_PINS_SCSI_ATN_ALIASES_H) /* Pins SCSI_ATN_ALIASES_H */ 18 | #define CY_PINS_SCSI_ATN_ALIASES_H 19 | 20 | #include "cytypes.h" 21 | #include "cyfitter.h" 22 | 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SCSI_ATN_0 SCSI_ATN__0__PC 29 | 30 | #define SCSI_ATN_INT SCSI_ATN__INT__PC 31 | 32 | #endif /* End Pins SCSI_ATN_ALIASES_H */ 33 | 34 | /* [] END OF FILE */ 35 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_CTL_PHASE.c: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_CTL_PHASE.c 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file contains API to enable firmware control of a Control Register. 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #include "SCSI_CTL_PHASE.h" 18 | 19 | /* Check for removal by optimization */ 20 | #if !defined(SCSI_CTL_PHASE_Sync_ctrl_reg__REMOVED) 21 | 22 | 23 | /******************************************************************************* 24 | * Function Name: SCSI_CTL_PHASE_Write 25 | ******************************************************************************** 26 | * 27 | * Summary: 28 | * Write a byte to the Control Register. 29 | * 30 | * Parameters: 31 | * control: The value to be assigned to the Control Register. 32 | * 33 | * Return: 34 | * None. 35 | * 36 | *******************************************************************************/ 37 | void SCSI_CTL_PHASE_Write(uint8 control) 38 | { 39 | SCSI_CTL_PHASE_Control = control; 40 | } 41 | 42 | 43 | /******************************************************************************* 44 | * Function Name: SCSI_CTL_PHASE_Read 45 | ******************************************************************************** 46 | * 47 | * Summary: 48 | * Reads the current value assigned to the Control Register. 49 | * 50 | * Parameters: 51 | * None. 52 | * 53 | * Return: 54 | * Returns the current value in the Control Register. 55 | * 56 | *******************************************************************************/ 57 | uint8 SCSI_CTL_PHASE_Read(void) 58 | { 59 | return SCSI_CTL_PHASE_Control; 60 | } 61 | 62 | #endif /* End check for removal by optimization */ 63 | 64 | 65 | /* [] END OF FILE */ 66 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_CTL_PHASE.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_CTL_PHASE.h 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file containts Control Register function prototypes and register defines 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #if !defined(CY_CONTROL_REG_SCSI_CTL_PHASE_H) /* CY_CONTROL_REG_SCSI_CTL_PHASE_H */ 18 | #define CY_CONTROL_REG_SCSI_CTL_PHASE_H 19 | 20 | #include "cyfitter.h" 21 | 22 | #if ((CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) || \ 23 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) || \ 24 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5)) 25 | #include "cytypes.h" 26 | #else 27 | #include "syslib/cy_syslib.h" 28 | #endif 29 | 30 | 31 | /*************************************** 32 | * Data Struct Definitions 33 | ***************************************/ 34 | 35 | /* Sleep Mode API Support */ 36 | typedef struct 37 | { 38 | uint8 controlState; 39 | 40 | } SCSI_CTL_PHASE_BACKUP_STRUCT; 41 | 42 | 43 | /*************************************** 44 | * Function Prototypes 45 | ***************************************/ 46 | 47 | void SCSI_CTL_PHASE_Write(uint8 control) ; 48 | uint8 SCSI_CTL_PHASE_Read(void) ; 49 | 50 | void SCSI_CTL_PHASE_SaveConfig(void) ; 51 | void SCSI_CTL_PHASE_RestoreConfig(void) ; 52 | void SCSI_CTL_PHASE_Sleep(void) ; 53 | void SCSI_CTL_PHASE_Wakeup(void) ; 54 | 55 | 56 | /*************************************** 57 | * Registers 58 | ***************************************/ 59 | 60 | /* Control Register */ 61 | #define SCSI_CTL_PHASE_Control (* (reg8 *) SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG ) 62 | #define SCSI_CTL_PHASE_Control_PTR ( (reg8 *) SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG ) 63 | 64 | #endif /* End CY_CONTROL_REG_SCSI_CTL_PHASE_H */ 65 | 66 | 67 | /* [] END OF FILE */ 68 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_Glitch_Ctl.c: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Glitch_Ctl.c 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file contains API to enable firmware control of a Control Register. 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #include "SCSI_Glitch_Ctl.h" 18 | 19 | /* Check for removal by optimization */ 20 | #if !defined(SCSI_Glitch_Ctl_Sync_ctrl_reg__REMOVED) 21 | 22 | 23 | /******************************************************************************* 24 | * Function Name: SCSI_Glitch_Ctl_Write 25 | ******************************************************************************** 26 | * 27 | * Summary: 28 | * Write a byte to the Control Register. 29 | * 30 | * Parameters: 31 | * control: The value to be assigned to the Control Register. 32 | * 33 | * Return: 34 | * None. 35 | * 36 | *******************************************************************************/ 37 | void SCSI_Glitch_Ctl_Write(uint8 control) 38 | { 39 | SCSI_Glitch_Ctl_Control = control; 40 | } 41 | 42 | 43 | /******************************************************************************* 44 | * Function Name: SCSI_Glitch_Ctl_Read 45 | ******************************************************************************** 46 | * 47 | * Summary: 48 | * Reads the current value assigned to the Control Register. 49 | * 50 | * Parameters: 51 | * None. 52 | * 53 | * Return: 54 | * Returns the current value in the Control Register. 55 | * 56 | *******************************************************************************/ 57 | uint8 SCSI_Glitch_Ctl_Read(void) 58 | { 59 | return SCSI_Glitch_Ctl_Control; 60 | } 61 | 62 | #endif /* End check for removal by optimization */ 63 | 64 | 65 | /* [] END OF FILE */ 66 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_Glitch_Ctl.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Glitch_Ctl.h 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file containts Control Register function prototypes and register defines 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #if !defined(CY_CONTROL_REG_SCSI_Glitch_Ctl_H) /* CY_CONTROL_REG_SCSI_Glitch_Ctl_H */ 18 | #define CY_CONTROL_REG_SCSI_Glitch_Ctl_H 19 | 20 | #include "cyfitter.h" 21 | 22 | #if ((CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) || \ 23 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) || \ 24 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5)) 25 | #include "cytypes.h" 26 | #else 27 | #include "syslib/cy_syslib.h" 28 | #endif 29 | 30 | 31 | /*************************************** 32 | * Data Struct Definitions 33 | ***************************************/ 34 | 35 | /* Sleep Mode API Support */ 36 | typedef struct 37 | { 38 | uint8 controlState; 39 | 40 | } SCSI_Glitch_Ctl_BACKUP_STRUCT; 41 | 42 | 43 | /*************************************** 44 | * Function Prototypes 45 | ***************************************/ 46 | 47 | void SCSI_Glitch_Ctl_Write(uint8 control) ; 48 | uint8 SCSI_Glitch_Ctl_Read(void) ; 49 | 50 | void SCSI_Glitch_Ctl_SaveConfig(void) ; 51 | void SCSI_Glitch_Ctl_RestoreConfig(void) ; 52 | void SCSI_Glitch_Ctl_Sleep(void) ; 53 | void SCSI_Glitch_Ctl_Wakeup(void) ; 54 | 55 | 56 | /*************************************** 57 | * Registers 58 | ***************************************/ 59 | 60 | /* Control Register */ 61 | #define SCSI_Glitch_Ctl_Control (* (reg8 *) SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG ) 62 | #define SCSI_Glitch_Ctl_Control_PTR ( (reg8 *) SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG ) 63 | 64 | #endif /* End CY_CONTROL_REG_SCSI_Glitch_Ctl_H */ 65 | 66 | 67 | /* [] END OF FILE */ 68 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_In_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_In.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SCSI_In_ALIASES_H) /* Pins SCSI_In_ALIASES_H */ 19 | #define CY_PINS_SCSI_In_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SCSI_In_0 (SCSI_In__0__PC) 29 | #define SCSI_In_0_INTR ((uint16)((uint16)0x0001u << SCSI_In__0__SHIFT)) 30 | 31 | #define SCSI_In_1 (SCSI_In__1__PC) 32 | #define SCSI_In_1_INTR ((uint16)((uint16)0x0001u << SCSI_In__1__SHIFT)) 33 | 34 | #define SCSI_In_2 (SCSI_In__2__PC) 35 | #define SCSI_In_2_INTR ((uint16)((uint16)0x0001u << SCSI_In__2__SHIFT)) 36 | 37 | #define SCSI_In_3 (SCSI_In__3__PC) 38 | #define SCSI_In_3_INTR ((uint16)((uint16)0x0001u << SCSI_In__3__SHIFT)) 39 | 40 | #define SCSI_In_4 (SCSI_In__4__PC) 41 | #define SCSI_In_4_INTR ((uint16)((uint16)0x0001u << SCSI_In__4__SHIFT)) 42 | 43 | #define SCSI_In_INTR_ALL ((uint16)(SCSI_In_0_INTR| SCSI_In_1_INTR| SCSI_In_2_INTR| SCSI_In_3_INTR| SCSI_In_4_INTR)) 44 | #define SCSI_In_DBP (SCSI_In__DBP__PC) 45 | #define SCSI_In_DBP_INTR ((uint16)((uint16)0x0001u << SCSI_In__0__SHIFT)) 46 | 47 | #define SCSI_In_MSG (SCSI_In__MSG__PC) 48 | #define SCSI_In_MSG_INTR ((uint16)((uint16)0x0001u << SCSI_In__1__SHIFT)) 49 | 50 | #define SCSI_In_CD (SCSI_In__CD__PC) 51 | #define SCSI_In_CD_INTR ((uint16)((uint16)0x0001u << SCSI_In__2__SHIFT)) 52 | 53 | #define SCSI_In_REQ (SCSI_In__REQ__PC) 54 | #define SCSI_In_REQ_INTR ((uint16)((uint16)0x0001u << SCSI_In__3__SHIFT)) 55 | 56 | #define SCSI_In_IO (SCSI_In__IO__PC) 57 | #define SCSI_In_IO_INTR ((uint16)((uint16)0x0001u << SCSI_In__4__SHIFT)) 58 | 59 | #endif /* End Pins SCSI_In_ALIASES_H */ 60 | 61 | 62 | /* [] END OF FILE */ 63 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_Noise_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Noise.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SCSI_Noise_ALIASES_H) /* Pins SCSI_Noise_ALIASES_H */ 19 | #define CY_PINS_SCSI_Noise_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SCSI_Noise_0 (SCSI_Noise__0__PC) 29 | #define SCSI_Noise_0_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__0__SHIFT)) 30 | 31 | #define SCSI_Noise_1 (SCSI_Noise__1__PC) 32 | #define SCSI_Noise_1_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__1__SHIFT)) 33 | 34 | #define SCSI_Noise_2 (SCSI_Noise__2__PC) 35 | #define SCSI_Noise_2_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__2__SHIFT)) 36 | 37 | #define SCSI_Noise_3 (SCSI_Noise__3__PC) 38 | #define SCSI_Noise_3_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__3__SHIFT)) 39 | 40 | #define SCSI_Noise_4 (SCSI_Noise__4__PC) 41 | #define SCSI_Noise_4_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__4__SHIFT)) 42 | 43 | #define SCSI_Noise_INTR_ALL ((uint16)(SCSI_Noise_0_INTR| SCSI_Noise_1_INTR| SCSI_Noise_2_INTR| SCSI_Noise_3_INTR| SCSI_Noise_4_INTR)) 44 | #define SCSI_Noise_ATN (SCSI_Noise__ATN__PC) 45 | #define SCSI_Noise_ATN_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__0__SHIFT)) 46 | 47 | #define SCSI_Noise_BSY (SCSI_Noise__BSY__PC) 48 | #define SCSI_Noise_BSY_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__1__SHIFT)) 49 | 50 | #define SCSI_Noise_SEL (SCSI_Noise__SEL__PC) 51 | #define SCSI_Noise_SEL_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__2__SHIFT)) 52 | 53 | #define SCSI_Noise_RST (SCSI_Noise__RST__PC) 54 | #define SCSI_Noise_RST_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__3__SHIFT)) 55 | 56 | #define SCSI_Noise_ACK (SCSI_Noise__ACK__PC) 57 | #define SCSI_Noise_ACK_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__4__SHIFT)) 58 | 59 | #endif /* End Pins SCSI_Noise_ALIASES_H */ 60 | 61 | 62 | /* [] END OF FILE */ 63 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_Out_Bits.c: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Out_Bits.c 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file contains API to enable firmware control of a Control Register. 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #include "SCSI_Out_Bits.h" 18 | 19 | /* Check for removal by optimization */ 20 | #if !defined(SCSI_Out_Bits_Sync_ctrl_reg__REMOVED) 21 | 22 | 23 | /******************************************************************************* 24 | * Function Name: SCSI_Out_Bits_Write 25 | ******************************************************************************** 26 | * 27 | * Summary: 28 | * Write a byte to the Control Register. 29 | * 30 | * Parameters: 31 | * control: The value to be assigned to the Control Register. 32 | * 33 | * Return: 34 | * None. 35 | * 36 | *******************************************************************************/ 37 | void SCSI_Out_Bits_Write(uint8 control) 38 | { 39 | SCSI_Out_Bits_Control = control; 40 | } 41 | 42 | 43 | /******************************************************************************* 44 | * Function Name: SCSI_Out_Bits_Read 45 | ******************************************************************************** 46 | * 47 | * Summary: 48 | * Reads the current value assigned to the Control Register. 49 | * 50 | * Parameters: 51 | * None. 52 | * 53 | * Return: 54 | * Returns the current value in the Control Register. 55 | * 56 | *******************************************************************************/ 57 | uint8 SCSI_Out_Bits_Read(void) 58 | { 59 | return SCSI_Out_Bits_Control; 60 | } 61 | 62 | #endif /* End check for removal by optimization */ 63 | 64 | 65 | /* [] END OF FILE */ 66 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_Out_Bits.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Out_Bits.h 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file containts Control Register function prototypes and register defines 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #if !defined(CY_CONTROL_REG_SCSI_Out_Bits_H) /* CY_CONTROL_REG_SCSI_Out_Bits_H */ 18 | #define CY_CONTROL_REG_SCSI_Out_Bits_H 19 | 20 | #include "cyfitter.h" 21 | 22 | #if ((CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) || \ 23 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) || \ 24 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5)) 25 | #include "cytypes.h" 26 | #else 27 | #include "syslib/cy_syslib.h" 28 | #endif 29 | 30 | 31 | /*************************************** 32 | * Data Struct Definitions 33 | ***************************************/ 34 | 35 | /* Sleep Mode API Support */ 36 | typedef struct 37 | { 38 | uint8 controlState; 39 | 40 | } SCSI_Out_Bits_BACKUP_STRUCT; 41 | 42 | 43 | /*************************************** 44 | * Function Prototypes 45 | ***************************************/ 46 | 47 | void SCSI_Out_Bits_Write(uint8 control) ; 48 | uint8 SCSI_Out_Bits_Read(void) ; 49 | 50 | void SCSI_Out_Bits_SaveConfig(void) ; 51 | void SCSI_Out_Bits_RestoreConfig(void) ; 52 | void SCSI_Out_Bits_Sleep(void) ; 53 | void SCSI_Out_Bits_Wakeup(void) ; 54 | 55 | 56 | /*************************************** 57 | * Registers 58 | ***************************************/ 59 | 60 | /* Control Register */ 61 | #define SCSI_Out_Bits_Control (* (reg8 *) SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG ) 62 | #define SCSI_Out_Bits_Control_PTR ( (reg8 *) SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG ) 63 | 64 | #endif /* End CY_CONTROL_REG_SCSI_Out_Bits_H */ 65 | 66 | 67 | /* [] END OF FILE */ 68 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_Out_Ctl.c: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Out_Ctl.c 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file contains API to enable firmware control of a Control Register. 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #include "SCSI_Out_Ctl.h" 18 | 19 | /* Check for removal by optimization */ 20 | #if !defined(SCSI_Out_Ctl_Sync_ctrl_reg__REMOVED) 21 | 22 | 23 | /******************************************************************************* 24 | * Function Name: SCSI_Out_Ctl_Write 25 | ******************************************************************************** 26 | * 27 | * Summary: 28 | * Write a byte to the Control Register. 29 | * 30 | * Parameters: 31 | * control: The value to be assigned to the Control Register. 32 | * 33 | * Return: 34 | * None. 35 | * 36 | *******************************************************************************/ 37 | void SCSI_Out_Ctl_Write(uint8 control) 38 | { 39 | SCSI_Out_Ctl_Control = control; 40 | } 41 | 42 | 43 | /******************************************************************************* 44 | * Function Name: SCSI_Out_Ctl_Read 45 | ******************************************************************************** 46 | * 47 | * Summary: 48 | * Reads the current value assigned to the Control Register. 49 | * 50 | * Parameters: 51 | * None. 52 | * 53 | * Return: 54 | * Returns the current value in the Control Register. 55 | * 56 | *******************************************************************************/ 57 | uint8 SCSI_Out_Ctl_Read(void) 58 | { 59 | return SCSI_Out_Ctl_Control; 60 | } 61 | 62 | #endif /* End check for removal by optimization */ 63 | 64 | 65 | /* [] END OF FILE */ 66 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_Out_Ctl.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Out_Ctl.h 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file containts Control Register function prototypes and register defines 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #if !defined(CY_CONTROL_REG_SCSI_Out_Ctl_H) /* CY_CONTROL_REG_SCSI_Out_Ctl_H */ 18 | #define CY_CONTROL_REG_SCSI_Out_Ctl_H 19 | 20 | #include "cyfitter.h" 21 | 22 | #if ((CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) || \ 23 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) || \ 24 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5)) 25 | #include "cytypes.h" 26 | #else 27 | #include "syslib/cy_syslib.h" 28 | #endif 29 | 30 | 31 | /*************************************** 32 | * Data Struct Definitions 33 | ***************************************/ 34 | 35 | /* Sleep Mode API Support */ 36 | typedef struct 37 | { 38 | uint8 controlState; 39 | 40 | } SCSI_Out_Ctl_BACKUP_STRUCT; 41 | 42 | 43 | /*************************************** 44 | * Function Prototypes 45 | ***************************************/ 46 | 47 | void SCSI_Out_Ctl_Write(uint8 control) ; 48 | uint8 SCSI_Out_Ctl_Read(void) ; 49 | 50 | void SCSI_Out_Ctl_SaveConfig(void) ; 51 | void SCSI_Out_Ctl_RestoreConfig(void) ; 52 | void SCSI_Out_Ctl_Sleep(void) ; 53 | void SCSI_Out_Ctl_Wakeup(void) ; 54 | 55 | 56 | /*************************************** 57 | * Registers 58 | ***************************************/ 59 | 60 | /* Control Register */ 61 | #define SCSI_Out_Ctl_Control (* (reg8 *) SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG ) 62 | #define SCSI_Out_Ctl_Control_PTR ( (reg8 *) SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG ) 63 | 64 | #endif /* End CY_CONTROL_REG_SCSI_Out_Ctl_H */ 65 | 66 | 67 | /* [] END OF FILE */ 68 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_RST_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_RST.h 3 | * Version 1.90 4 | * 5 | * Description: 6 | * This file containts Control Register function prototypes and register defines 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #if !defined(CY_PINS_SCSI_RST_ALIASES_H) /* Pins SCSI_RST_ALIASES_H */ 18 | #define CY_PINS_SCSI_RST_ALIASES_H 19 | 20 | #include "cytypes.h" 21 | #include "cyfitter.h" 22 | 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SCSI_RST_0 SCSI_RST__0__PC 29 | 30 | #define SCSI_RST_INT SCSI_RST__INT__PC 31 | 32 | #endif /* End Pins SCSI_RST_ALIASES_H */ 33 | 34 | /* [] END OF FILE */ 35 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_RX_DMA_dma.h: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * File Name: SCSI_RX_DMA_dma.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the DMA Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | ********************************************************************************/ 15 | #if !defined(CY_DMA_SCSI_RX_DMA_DMA_H__) 16 | #define CY_DMA_SCSI_RX_DMA_DMA_H__ 17 | 18 | 19 | 20 | #include 21 | #include 22 | 23 | #define SCSI_RX_DMA__TD_TERMOUT_EN (((0 != SCSI_RX_DMA__TERMOUT0_EN) ? TD_TERMOUT0_EN : 0) | \ 24 | (SCSI_RX_DMA__TERMOUT1_EN ? TD_TERMOUT1_EN : 0)) 25 | 26 | /* Zero based index of SCSI_RX_DMA dma channel */ 27 | extern uint8 SCSI_RX_DMA_DmaHandle; 28 | 29 | 30 | uint8 SCSI_RX_DMA_DmaInitialize(uint8 BurstCount, uint8 ReqestPerBurst, uint16 UpperSrcAddress, uint16 UpperDestAddress) ; 31 | void SCSI_RX_DMA_DmaRelease(void) ; 32 | 33 | 34 | /* CY_DMA_SCSI_RX_DMA_DMA_H__ */ 35 | #endif 36 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SCSI_TX_DMA_dma.h: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * File Name: SCSI_TX_DMA_dma.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the DMA Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | ********************************************************************************/ 15 | #if !defined(CY_DMA_SCSI_TX_DMA_DMA_H__) 16 | #define CY_DMA_SCSI_TX_DMA_DMA_H__ 17 | 18 | 19 | 20 | #include 21 | #include 22 | 23 | #define SCSI_TX_DMA__TD_TERMOUT_EN (((0 != SCSI_TX_DMA__TERMOUT0_EN) ? TD_TERMOUT0_EN : 0) | \ 24 | (SCSI_TX_DMA__TERMOUT1_EN ? TD_TERMOUT1_EN : 0)) 25 | 26 | /* Zero based index of SCSI_TX_DMA dma channel */ 27 | extern uint8 SCSI_TX_DMA_DmaHandle; 28 | 29 | 30 | uint8 SCSI_TX_DMA_DmaInitialize(uint8 BurstCount, uint8 ReqestPerBurst, uint16 UpperSrcAddress, uint16 UpperDestAddress) ; 31 | void SCSI_TX_DMA_DmaRelease(void) ; 32 | 33 | 34 | /* CY_DMA_SCSI_TX_DMA_DMA_H__ */ 35 | #endif 36 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SDCard_PVT.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: .h 3 | * Version 2.50 4 | * 5 | * Description: 6 | * This private header file contains internal definitions for the SPIM 7 | * component. Do not use these definitions directly in your application. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2012-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_SPIM_PVT_SDCard_H) 19 | #define CY_SPIM_PVT_SDCard_H 20 | 21 | #include "SDCard.h" 22 | 23 | 24 | /********************************** 25 | * Functions with external linkage 26 | **********************************/ 27 | 28 | 29 | /********************************** 30 | * Variables with external linkage 31 | **********************************/ 32 | 33 | extern volatile uint8 SDCard_swStatusTx; 34 | extern volatile uint8 SDCard_swStatusRx; 35 | 36 | #if(SDCard_TX_SOFTWARE_BUF_ENABLED) 37 | extern volatile uint8 SDCard_txBuffer[SDCard_TX_BUFFER_SIZE]; 38 | extern volatile uint8 SDCard_txBufferRead; 39 | extern volatile uint8 SDCard_txBufferWrite; 40 | extern volatile uint8 SDCard_txBufferFull; 41 | #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ 42 | 43 | #if(SDCard_RX_SOFTWARE_BUF_ENABLED) 44 | extern volatile uint8 SDCard_rxBuffer[SDCard_RX_BUFFER_SIZE]; 45 | extern volatile uint8 SDCard_rxBufferRead; 46 | extern volatile uint8 SDCard_rxBufferWrite; 47 | extern volatile uint8 SDCard_rxBufferFull; 48 | #endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ 49 | 50 | #endif /* CY_SPIM_PVT_SDCard_H */ 51 | 52 | 53 | /* [] END OF FILE */ 54 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SD_CD_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SD_CD.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SD_CD_ALIASES_H) /* Pins SD_CD_ALIASES_H */ 19 | #define CY_PINS_SD_CD_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SD_CD_0 (SD_CD__0__PC) 29 | #define SD_CD_0_INTR ((uint16)((uint16)0x0001u << SD_CD__0__SHIFT)) 30 | 31 | #define SD_CD_INTR_ALL ((uint16)(SD_CD_0_INTR)) 32 | 33 | #endif /* End Pins SD_CD_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SD_CS_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SD_CS.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SD_CS_ALIASES_H) /* Pins SD_CS_ALIASES_H */ 19 | #define CY_PINS_SD_CS_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SD_CS_0 (SD_CS__0__PC) 29 | #define SD_CS_0_INTR ((uint16)((uint16)0x0001u << SD_CS__0__SHIFT)) 30 | 31 | #define SD_CS_INTR_ALL ((uint16)(SD_CS_0_INTR)) 32 | 33 | #endif /* End Pins SD_CS_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SD_MISO_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SD_MISO.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SD_MISO_ALIASES_H) /* Pins SD_MISO_ALIASES_H */ 19 | #define CY_PINS_SD_MISO_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SD_MISO_0 (SD_MISO__0__PC) 29 | #define SD_MISO_0_INTR ((uint16)((uint16)0x0001u << SD_MISO__0__SHIFT)) 30 | 31 | #define SD_MISO_INTR_ALL ((uint16)(SD_MISO_0_INTR)) 32 | 33 | #endif /* End Pins SD_MISO_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SD_MOSI_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SD_MOSI.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SD_MOSI_ALIASES_H) /* Pins SD_MOSI_ALIASES_H */ 19 | #define CY_PINS_SD_MOSI_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SD_MOSI_0 (SD_MOSI__0__PC) 29 | #define SD_MOSI_0_INTR ((uint16)((uint16)0x0001u << SD_MOSI__0__SHIFT)) 30 | 31 | #define SD_MOSI_INTR_ALL ((uint16)(SD_MOSI_0_INTR)) 32 | 33 | #endif /* End Pins SD_MOSI_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SD_RX_DMA_dma.h: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * File Name: SD_RX_DMA_dma.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the DMA Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | ********************************************************************************/ 15 | #if !defined(CY_DMA_SD_RX_DMA_DMA_H__) 16 | #define CY_DMA_SD_RX_DMA_DMA_H__ 17 | 18 | 19 | 20 | #include 21 | #include 22 | 23 | #define SD_RX_DMA__TD_TERMOUT_EN (((0 != SD_RX_DMA__TERMOUT0_EN) ? TD_TERMOUT0_EN : 0) | \ 24 | (SD_RX_DMA__TERMOUT1_EN ? TD_TERMOUT1_EN : 0)) 25 | 26 | /* Zero based index of SD_RX_DMA dma channel */ 27 | extern uint8 SD_RX_DMA_DmaHandle; 28 | 29 | 30 | uint8 SD_RX_DMA_DmaInitialize(uint8 BurstCount, uint8 ReqestPerBurst, uint16 UpperSrcAddress, uint16 UpperDestAddress) ; 31 | void SD_RX_DMA_DmaRelease(void) ; 32 | 33 | 34 | /* CY_DMA_SD_RX_DMA_DMA_H__ */ 35 | #endif 36 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SD_SCK_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SD_SCK.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SD_SCK_ALIASES_H) /* Pins SD_SCK_ALIASES_H */ 19 | #define CY_PINS_SD_SCK_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SD_SCK_0 (SD_SCK__0__PC) 29 | #define SD_SCK_0_INTR ((uint16)((uint16)0x0001u << SD_SCK__0__SHIFT)) 30 | 31 | #define SD_SCK_INTR_ALL ((uint16)(SD_SCK_0_INTR)) 32 | 33 | #endif /* End Pins SD_SCK_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SD_TX_DMA_dma.h: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * File Name: SD_TX_DMA_dma.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the DMA Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | ********************************************************************************/ 15 | #if !defined(CY_DMA_SD_TX_DMA_DMA_H__) 16 | #define CY_DMA_SD_TX_DMA_DMA_H__ 17 | 18 | 19 | 20 | #include 21 | #include 22 | 23 | #define SD_TX_DMA__TD_TERMOUT_EN (((0 != SD_TX_DMA__TERMOUT0_EN) ? TD_TERMOUT0_EN : 0) | \ 24 | (SD_TX_DMA__TERMOUT1_EN ? TD_TERMOUT1_EN : 0)) 25 | 26 | /* Zero based index of SD_TX_DMA dma channel */ 27 | extern uint8 SD_TX_DMA_DmaHandle; 28 | 29 | 30 | uint8 SD_TX_DMA_DmaInitialize(uint8 BurstCount, uint8 ReqestPerBurst, uint16 UpperSrcAddress, uint16 UpperDestAddress) ; 31 | void SD_TX_DMA_DmaRelease(void) ; 32 | 33 | 34 | /* CY_DMA_SD_TX_DMA_DMA_H__ */ 35 | #endif 36 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SOUND_ISR.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SOUND_ISR.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the Interrupt Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | *******************************************************************************/ 15 | #if !defined(CY_ISR_SOUND_ISR_H) 16 | #define CY_ISR_SOUND_ISR_H 17 | 18 | 19 | #include 20 | #include 21 | 22 | /* Interrupt Controller API. */ 23 | void SOUND_ISR_Start(void); 24 | void SOUND_ISR_StartEx(cyisraddress address); 25 | void SOUND_ISR_Stop(void); 26 | 27 | CY_ISR_PROTO(SOUND_ISR_Interrupt); 28 | 29 | void SOUND_ISR_SetVector(cyisraddress address); 30 | cyisraddress SOUND_ISR_GetVector(void); 31 | 32 | void SOUND_ISR_SetPriority(uint8 priority); 33 | uint8 SOUND_ISR_GetPriority(void); 34 | 35 | void SOUND_ISR_Enable(void); 36 | uint8 SOUND_ISR_GetState(void); 37 | void SOUND_ISR_Disable(void); 38 | 39 | void SOUND_ISR_SetPending(void); 40 | void SOUND_ISR_ClearPending(void); 41 | 42 | 43 | /* Interrupt Controller Constants */ 44 | 45 | /* Address of the INTC.VECT[x] register that contains the Address of the SOUND_ISR ISR. */ 46 | #define SOUND_ISR_INTC_VECTOR ((reg32 *) SOUND_ISR__INTC_VECT) 47 | 48 | /* Address of the SOUND_ISR ISR priority. */ 49 | #define SOUND_ISR_INTC_PRIOR ((reg8 *) SOUND_ISR__INTC_PRIOR_REG) 50 | 51 | /* Priority of the SOUND_ISR interrupt. */ 52 | #define SOUND_ISR_INTC_PRIOR_NUMBER SOUND_ISR__INTC_PRIOR_NUM 53 | 54 | /* Address of the INTC.SET_EN[x] byte to bit enable SOUND_ISR interrupt. */ 55 | #define SOUND_ISR_INTC_SET_EN ((reg32 *) SOUND_ISR__INTC_SET_EN_REG) 56 | 57 | /* Address of the INTC.CLR_EN[x] register to bit clear the SOUND_ISR interrupt. */ 58 | #define SOUND_ISR_INTC_CLR_EN ((reg32 *) SOUND_ISR__INTC_CLR_EN_REG) 59 | 60 | /* Address of the INTC.SET_PD[x] register to set the SOUND_ISR interrupt state to pending. */ 61 | #define SOUND_ISR_INTC_SET_PD ((reg32 *) SOUND_ISR__INTC_SET_PD_REG) 62 | 63 | /* Address of the INTC.CLR_PD[x] register to clear the SOUND_ISR interrupt. */ 64 | #define SOUND_ISR_INTC_CLR_PD ((reg32 *) SOUND_ISR__INTC_CLR_PD_REG) 65 | 66 | 67 | #endif /* CY_ISR_SOUND_ISR_H */ 68 | 69 | 70 | /* [] END OF FILE */ 71 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/SOUND_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SOUND.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SOUND_ALIASES_H) /* Pins SOUND_ALIASES_H */ 19 | #define CY_PINS_SOUND_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SOUND_0 (SOUND__0__PC) 29 | #define SOUND_0_INTR ((uint16)((uint16)0x0001u << SOUND__0__SHIFT)) 30 | 31 | #define SOUND_INTR_ALL ((uint16)(SOUND_0_INTR)) 32 | 33 | #endif /* End Pins SOUND_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: USBFS_Dm.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_USBFS_Dm_ALIASES_H) /* Pins USBFS_Dm_ALIASES_H */ 19 | #define CY_PINS_USBFS_Dm_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define USBFS_Dm_0 (USBFS_Dm__0__PC) 29 | #define USBFS_Dm_0_INTR ((uint16)((uint16)0x0001u << USBFS_Dm__0__SHIFT)) 30 | 31 | #define USBFS_Dm_INTR_ALL ((uint16)(USBFS_Dm_0_INTR)) 32 | 33 | #endif /* End Pins USBFS_Dm_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: USBFS_Dp.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_USBFS_Dp_ALIASES_H) /* Pins USBFS_Dp_ALIASES_H */ 19 | #define CY_PINS_USBFS_Dp_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define USBFS_Dp_0 (USBFS_Dp__0__PC) 29 | #define USBFS_Dp_0_INTR ((uint16)((uint16)0x0001u << USBFS_Dp__0__SHIFT)) 30 | 31 | #define USBFS_Dp_INTR_ALL ((uint16)(USBFS_Dp_0_INTR)) 32 | 33 | #endif /* End Pins USBFS_Dp_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/USBFS_hid.h: -------------------------------------------------------------------------------- 1 | /***************************************************************************//** 2 | * \file USBFS_hid.h 3 | * \version 3.20 4 | * 5 | * \brief 6 | * This file provides function prototypes and constants for the USBFS component 7 | * HID class. 8 | * 9 | * Related Document: 10 | * Device Class Definition for Human Interface Devices (HID) Version 1.11 11 | * 12 | ******************************************************************************** 13 | * \copyright 14 | * Copyright 2008-2016, Cypress Semiconductor Corporation. All rights reserved. 15 | * You may use this file only in accordance with the license, terms, conditions, 16 | * disclaimers, and limitations in the end user license agreement accompanying 17 | * the software package with which this file was provided. 18 | *******************************************************************************/ 19 | 20 | #if !defined(CY_USBFS_USBFS_hid_H) 21 | #define CY_USBFS_USBFS_hid_H 22 | 23 | #include "USBFS.h" 24 | 25 | /*************************************** 26 | * Prototypes of the USBFS_hid API. 27 | ***************************************/ 28 | /** 29 | * \addtogroup group_hid 30 | * @{ 31 | */ 32 | uint8 USBFS_UpdateHIDTimer(uint8 interface) ; 33 | uint8 USBFS_GetProtocol(uint8 interface) ; 34 | /** @} hid */ 35 | 36 | /*************************************** 37 | *Renamed Functions for backward compatible 38 | ***************************************/ 39 | 40 | #define USBFS_bGetProtocol USBFS_GetProtocol 41 | 42 | 43 | /*************************************** 44 | * Constants for USBFS_hid API. 45 | ***************************************/ 46 | 47 | #define USBFS_PROTOCOL_BOOT (0x00u) 48 | #define USBFS_PROTOCOL_REPORT (0x01u) 49 | 50 | /* Request Types (HID Chapter 7.2) */ 51 | #define USBFS_HID_GET_REPORT (0x01u) 52 | #define USBFS_HID_GET_IDLE (0x02u) 53 | #define USBFS_HID_GET_PROTOCOL (0x03u) 54 | #define USBFS_HID_SET_REPORT (0x09u) 55 | #define USBFS_HID_SET_IDLE (0x0Au) 56 | #define USBFS_HID_SET_PROTOCOL (0x0Bu) 57 | 58 | /* Descriptor Types (HID Chapter 7.1) */ 59 | #define USBFS_DESCR_HID_CLASS (0x21u) 60 | #define USBFS_DESCR_HID_REPORT (0x22u) 61 | #define USBFS_DESCR_HID_PHYSICAL (0x23u) 62 | 63 | /* Report Request Types (HID Chapter 7.2.1) */ 64 | #define USBFS_HID_GET_REPORT_INPUT (0x01u) 65 | #define USBFS_HID_GET_REPORT_OUTPUT (0x02u) 66 | #define USBFS_HID_GET_REPORT_FEATURE (0x03u) 67 | 68 | #endif /* CY_USBFS_USBFS_hid_H */ 69 | 70 | 71 | /* [] END OF FILE */ 72 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/USBFS_msc.h: -------------------------------------------------------------------------------- 1 | /***************************************************************************//** 2 | * \file USBFS_msc.h 3 | * \version 3.20 4 | * 5 | * \brief 6 | * This file provides function prototypes and constants for the USBFS component 7 | * MSC class support. 8 | * 9 | * Related Document: 10 | * Device Class Definition for Mass Storage (MSC) Version TDB 11 | * 12 | ******************************************************************************** 13 | * \copyright 14 | * Copyright 2008-2016, Cypress Semiconductor Corporation. All rights reserved. 15 | * You may use this file only in accordance with the license, terms, conditions, 16 | * disclaimers, and limitations in the end user license agreement accompanying 17 | * the software package with which this file was provided. 18 | *******************************************************************************/ 19 | 20 | #if !defined(CY_USBFS_USBFS_msc_H) 21 | #define CY_USBFS_USBFS_msc_H 22 | 23 | #include "USBFS.h" 24 | 25 | /*************************************** 26 | * Initial Parameter Constants 27 | ***************************************/ 28 | 29 | #define USBFS_HANDLE_MSC_REQUESTS (0u != (1u)) 30 | #define USBFS_MSC_LUN_NUMBER (1u - 1u) 31 | 32 | 33 | /*************************************** 34 | * Function Prototypes 35 | ***************************************/ 36 | /** 37 | * \addtogroup group_msc 38 | * @{ 39 | */ 40 | #if (USBFS_HANDLE_MSC_REQUESTS) 41 | void USBFS_MSC_SetLunCount(uint8 lunCount) ; 42 | uint8 USBFS_MSC_GetLunCount(void) ; 43 | #endif /* (USBFS_HANDLE_MSC_REQUESTS) */ 44 | /** @} msc */ 45 | 46 | /*************************************** 47 | * Constants 48 | ***************************************/ 49 | 50 | /* MSC Class-Specific requests */ 51 | #define USBFS_MSC_RESET (0xFFu) 52 | #define USBFS_MSC_GET_MAX_LUN (0xFEu) 53 | 54 | /* MSC Class-Specific requests constant fields. */ 55 | #define USBFS_MSC_RESET_WVALUE (0u) 56 | #define USBFS_MSC_RESET_WLENGTH (0u) 57 | 58 | #define USBFS_MSC_GET_MAX_LUN_WVALUE (0u) 59 | #define USBFS_MSC_GET_MAX_LUN_WLENGTH (1u) 60 | 61 | #endif /* CY_USBFS_USBFS_msc_H */ 62 | 63 | 64 | /* [] END OF FILE */ 65 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/Vout_1_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: Vout_1.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_Vout_1_ALIASES_H) /* Pins Vout_1_ALIASES_H */ 19 | #define CY_PINS_Vout_1_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define Vout_1_0 (Vout_1__0__PC) 29 | #define Vout_1_0_INTR ((uint16)((uint16)0x0001u << Vout_1__0__SHIFT)) 30 | 31 | #define Vout_1_INTR_ALL ((uint16)(Vout_1_0_INTR)) 32 | 33 | #endif /* End Pins Vout_1_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * \file core_cm3_psoc5.h 3 | * \version 5.80 4 | * 5 | * \brief Provides important type information for the PSoC5. This includes types 6 | * necessary for core_cm3.h. 7 | * 8 | * \note Documentation of the API's in this file is located in the 9 | * System Reference Guide provided with PSoC Creator. 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2018, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | ********************************************************************************/ 17 | 18 | 19 | #if !defined(__CORE_CM3_PSOC5_H__) 20 | #define __CORE_CM3_PSOC5_H__ 21 | 22 | /** Interrupt Number Definition */ 23 | typedef enum IRQn 24 | { 25 | /****** Cortex-M3 Processor Exceptions Numbers ***************************************************/ 26 | NonMaskableInt_IRQn = -14, /*!< 2 Non Maskable Interrupt */ 27 | HardFault_IRQn = -13, /*!< 3 Cortex-M3 Hard Fault Interrupt */ 28 | MemoryManagement_IRQn = -12, /*!< 4 Cortex-M3 Memory Management Interrupt */ 29 | BusFault_IRQn = -11, /*!< 5 Cortex-M3 Bus Fault Interrupt */ 30 | UsageFault_IRQn = -10, /*!< 6 Cortex-M3 Usage Fault Interrupt */ 31 | SVCall_IRQn = -5, /*!< 11 Cortex-M3 SV Call Interrupt */ 32 | DebugMonitor_IRQn = -4, /*!< 12 Cortex-M3 Debug Monitor Interrupt */ 33 | PendSV_IRQn = -2, /*!< 14 Cortex-M3 Pend SV Interrupt */ 34 | SysTick_IRQn = -1 /*!< 15 Cortex-M3 System Tick Interrupt */ 35 | /****** PSoC5 Peripheral Interrupt Numbers *******************************************************/ 36 | /* Not relevant. All peripheral interrupts are defined by the user */ 37 | } IRQn_Type; 38 | 39 | #include 40 | 41 | #define __CHECK_DEVICE_DEFINES 42 | 43 | #define __CM3_REV 0x0201 44 | 45 | #define __MPU_PRESENT 0 46 | #define __NVIC_PRIO_BITS 3 47 | #define __Vendor_SysTickConfig 0 48 | 49 | #include 50 | 51 | 52 | #endif /* __CORE_CM3_PSOC5_H__ */ 53 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/cybootloader.icf: -------------------------------------------------------------------------------- 1 | /* GENERATED CODE -- CHANGES WILL BE OVERWRITTEN */ 2 | 3 | define symbol CYDEV_BTLDR_SIZE = 0x00004300; 4 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/cydisabledsheets.h: -------------------------------------------------------------------------------- 1 | #ifndef INCLUDED_CYDISABLEDSHEETS_H 2 | #define INCLUDED_CYDISABLEDSHEETS_H 3 | 4 | 5 | #endif /* INCLUDED_CYDISABLEDSHEETS_H */ 6 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: cyfitter_cfg.h 3 | * 4 | * PSoC Creator 4.2 5 | * 6 | * Description: 7 | * This file provides basic startup and mux configuration settings 8 | * This file is automatically generated by PSoC Creator. 9 | * 10 | ******************************************************************************** 11 | * Copyright (c) 2007-2018 Cypress Semiconductor. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | ********************************************************************************/ 16 | 17 | #ifndef CYFITTER_CFG_H 18 | #define CYFITTER_CFG_H 19 | 20 | #include "cytypes.h" 21 | 22 | extern void cyfitter_cfg(void); 23 | 24 | /* Analog Set/Unset methods */ 25 | extern void SetAnalogRoutingPumps(uint8 enabled); 26 | 27 | 28 | #endif /* CYFITTER_CFG_H */ 29 | 30 | /*[]*/ 31 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/cymetadata.c: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: cymetadata.c 3 | * 4 | * PSoC Creator 4.2 5 | * 6 | * Description: 7 | * This file defines all extra memory spaces that need to be included. 8 | * This file is automatically generated by PSoC Creator. 9 | * 10 | ******************************************************************************** 11 | * Copyright (c) 2007-2018 Cypress Semiconductor. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | ********************************************************************************/ 16 | 17 | 18 | #include "stdint.h" 19 | 20 | 21 | #if defined(__GNUC__) || defined(__ARMCC_VERSION) 22 | #ifndef CY_LOADABLE_META_SECTION 23 | #define CY_LOADABLE_META_SECTION __attribute__ ((__section__(".cyloadablemeta"), used)) 24 | #endif 25 | CY_LOADABLE_META_SECTION 26 | #elif defined(__ICCARM__) 27 | #pragma location=".cyloadablemeta" 28 | #else 29 | #error "Unsupported toolchain" 30 | #endif 31 | const uint8_t cy_meta_loadable[] = { 32 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 33 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 34 | 0x00u, 0x00u, 0x00u, 0x00u, 0x5Cu, 0xD1u, 0x71u, 0x04u, 35 | 0x01u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 36 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 37 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 38 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 39 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u 40 | }; 41 | 42 | #if defined(__GNUC__) || defined(__ARMCC_VERSION) 43 | #ifndef CY_CONFIG_ECC_SECTION 44 | #define CY_CONFIG_ECC_SECTION __attribute__ ((__section__(".cyconfigecc"), used)) 45 | #endif 46 | CY_CONFIG_ECC_SECTION 47 | #elif defined(__ICCARM__) 48 | #pragma location=".cyconfigecc" 49 | #else 50 | #error "Unsupported toolchain" 51 | #endif 52 | const uint8_t cy_meta_configecc[] = { 53 | 0x00u 54 | }; 55 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/cyutils.c: -------------------------------------------------------------------------------- 1 | /***************************************************************************//** 2 | * \file cyutils.c 3 | * \version 5.80 4 | * 5 | * \brief Provides a function to handle 24-bit value writes. 6 | * 7 | ******************************************************************************** 8 | * \copyright 9 | * Copyright 2008-2018, Cypress Semiconductor Corporation. All rights reserved. 10 | * You may use this file only in accordance with the license, terms, conditions, 11 | * disclaimers, and limitations in the end user license agreement accompanying 12 | * the software package with which this file was provided. 13 | *******************************************************************************/ 14 | 15 | #include "cytypes.h" 16 | 17 | #if (!CY_PSOC3) 18 | 19 | /*************************************************************************** 20 | * Function Name: CySetReg24 21 | ************************************************************************//** 22 | * 23 | * Writes a 24-bit value to the specified register. 24 | * 25 | * \param add The address where data must be written. 26 | * \param value The data that must be written. 27 | * 28 | * Reentrant: 29 | * No 30 | * 31 | ***************************************************************************/ 32 | void CySetReg24(uint32 volatile * addr, uint32 value) 33 | { 34 | uint8 volatile *tmpAddr; 35 | 36 | tmpAddr = (uint8 volatile *) addr; 37 | 38 | tmpAddr[0u] = (uint8) value; 39 | tmpAddr[1u] = (uint8) (value >> 8u); 40 | tmpAddr[2u] = (uint8) (value >> 16u); 41 | } 42 | 43 | 44 | #if(CY_PSOC4) 45 | 46 | /*************************************************************************** 47 | * Function Name: CyGetReg24 48 | ************************************************************************//** 49 | * 50 | * Reads the 24-bit value from the specified register. 51 | * 52 | * \param addr : the address where data must be read. 53 | * 54 | * Reentrant: 55 | * No 56 | * 57 | ***************************************************************************/ 58 | uint32 CyGetReg24(uint32 const volatile * addr) 59 | { 60 | uint8 const volatile *tmpAddr; 61 | uint32 value; 62 | 63 | tmpAddr = (uint8 const volatile *) addr; 64 | 65 | value = (uint32) tmpAddr[0u]; 66 | value |= ((uint32) tmpAddr[1u] << 8u ); 67 | value |= ((uint32) tmpAddr[2u] << 16u); 68 | 69 | return(value); 70 | } 71 | 72 | #endif /*(CY_PSOC4)*/ 73 | 74 | #endif /* (!CY_PSOC3) */ 75 | 76 | 77 | /* [] END OF FILE */ 78 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/Generated_Source/PSoC5/protect.hex: -------------------------------------------------------------------------------- 1 | :4000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000C0 2 | :400040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080 3 | :00000001FF 4 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/LPF.cycomp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/LPF.cycomp -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/OddParityGen/OddParityGen.cysym: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/OddParityGen/OddParityGen.cysym -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/OddParityGen/OddParityGen.v: -------------------------------------------------------------------------------- 1 | 2 | //`#start header` -- edit after this line, do not edit this line 3 | // Copyright (C) 2013 Michael McMaster 4 | // 5 | // This file is part of SCSI2SD. 6 | // 7 | // SCSI2SD is free software: you can redistribute it and/or modify 8 | // it under the terms of the GNU General Public License as published by 9 | // the Free Software Foundation, either version 3 of the License, or 10 | // (at your option) any later version. 11 | // 12 | // SCSI2SD is distributed in the hope that it will be useful, 13 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 14 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 15 | // GNU General Public License for more details. 16 | // 17 | // You should have received a copy of the GNU General Public License 18 | // along with SCSI2SD. If not, see . 19 | `include "cypress.v" 20 | //`#end` -- edit above this line, do not edit this line 21 | // Generated on 10/15/2013 at 22:01 22 | // Component: OddParityGen 23 | module OddParityGen ( 24 | output DBP, 25 | input [7:0] DBx, 26 | input EN 27 | ); 28 | 29 | //`#start body` -- edit after this line, do not edit this line 30 | 31 | // For some reason the "simple" implementation uses up about 34% of all 32 | // PLD resources on a PSoC 5LP 33 | // 1 ^ DBx[0] ^ DBx[1] ^ DBx[2] ^ DBx[3] ^ DBx[4] ^ DBx[5] ^ DBx[6] ^ DBx[7] 34 | 35 | // Breaking the expression up into parts seems to use much less resources. 36 | wire tmp = 1 ^ DBx[0]; 37 | wire tmpa = DBx[1] ^ DBx[2]; 38 | wire tmpb = DBx[3] ^ DBx[4]; 39 | wire tmpc = DBx[5] ^ DBx[6] ^ DBx[7]; 40 | assign DBP = EN ? tmp ^ tmpa ^ tmpb ^ tmpc : 0; 41 | //`#end` -- edit above this line, do not edit this line 42 | endmodule 43 | //`#start footer` -- edit after this line, do not edit this line 44 | //`#end` -- edit above this line, do not edit this line 45 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/SCSI2SDKit_Copy_03-000.cywrk: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | .\SCSI2SDKit_Copy_03.cyprj 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21 | 22 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/SCSI2SDKit_Copy_03.cyfit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/SCSI2SDKit_Copy_03.cyfit -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/TopDesign/TopDesign.cysch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/TopDesign/TopDesign.cysch -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/LED1_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: LED1.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_LED1_ALIASES_H) /* Pins LED1_ALIASES_H */ 19 | #define CY_PINS_LED1_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define LED1_0 (LED1__0__PC) 29 | #define LED1_0_INTR ((uint16)((uint16)0x0001u << LED1__0__SHIFT)) 30 | 31 | #define LED1_INTR_ALL ((uint16)(LED1_0_INTR)) 32 | 33 | #endif /* End Pins LED1_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI2SDKit_Copy_03.bvf: -------------------------------------------------------------------------------- 1 | 2 | ---------------------------------------------------------------------- 3 | 4 | Verifying bitstream. 5 | 6 | ----------------------------------------------------------------------- 7 | 8 | 9 | ---------Mapping jacks.--------- 10 | 11 | 12 | ---------Processing bitstream.--------- 13 | 14 | Utilized "udb_hv_a@[UDB Pair=(0,0)]" 15 | Utilized "udb_hc@[UDB Pair=(0,0)]" 16 | Utilized "udb_hv_b@[UDB Pair=(0,1)]" 17 | Utilized "udb_hv_a@[UDB Pair=(0,2)]" 18 | Utilized "udb_hv_b@[UDB Pair=(0,3)]" 19 | Utilized "udb_hc@[UDB Pair=(0,3)]" 20 | Utilized "udb_hv_a@[UDB Pair=(0,4)]" 21 | Utilized "udb_hc@[UDB Pair=(0,4)]" 22 | Utilized "udb_hv_b@[UDB Pair=(0,5)]" 23 | Utilized "udb_hc@[UDB Pair=(0,5)]" 24 | Utilized "udb_hv_b@[UDB Pair=(1,0)]" 25 | Utilized "udb_hc@[UDB Pair=(1,0)]" 26 | Utilized "udb_hv_a@[UDB Pair=(1,1)]" 27 | Utilized "udb_hc@[UDB Pair=(1,1)]" 28 | Utilized "udb_hv_b@[UDB Pair=(1,2)]" 29 | Utilized "udb_hc@[UDB Pair=(1,2)]" 30 | Utilized "udb_hv_a@[UDB Pair=(1,3)]" 31 | Utilized "udb_hc@[UDB Pair=(1,3)]" 32 | Utilized "udb_hv_b@[UDB Pair=(1,4)]" 33 | Utilized "udb_hc@[UDB Pair=(1,4)]" 34 | Utilized "udb_hv_a@[UDB Pair=(1,5)]" 35 | Utilized "udb_hc@[UDB Pair=(1,5)]" 36 | Utilized "dsi_hc@[DSI=(0,0)][side=top]" 37 | Utilized "dsi_hv_b@[DSI=(0,0)][side=top]" 38 | Utilized "dsi_hc@[DSI=(1,0)][side=bottom]" 39 | Utilized "dsi_hv_a@[DSI=(1,0)][side=bottom]" 40 | Utilized "dsi_hc@[DSI=(0,1)][side=top]" 41 | Utilized "dsi_hv_a@[DSI=(0,1)][side=top]" 42 | Utilized "dsi_hc@[DSI=(1,1)][side=bottom]" 43 | Utilized "dsi_hv_b@[DSI=(1,1)][side=bottom]" 44 | Utilized "dsi_hc@[DSI=(0,2)][side=top]" 45 | Utilized "dsi_hv_b@[DSI=(0,2)][side=top]" 46 | Utilized "dsi_hv_a@[DSI=(1,2)][side=bottom]" 47 | Utilized "dsi_hv_a@[DSI=(0,3)][side=top]" 48 | Utilized "dsi_hc@[DSI=(1,3)][side=bottom]" 49 | Utilized "dsi_hv_b@[DSI=(1,3)][side=bottom]" 50 | Utilized "dsi_hc@[DSI=(0,4)][side=top]" 51 | Utilized "dsi_hv_b@[DSI=(0,4)][side=top]" 52 | Utilized "dsi_hc@[DSI=(1,4)][side=bottom]" 53 | Utilized "dsi_hv_a@[DSI=(1,4)][side=bottom]" 54 | Utilized "dsi_hc@[DSI=(0,5)][side=top]" 55 | Utilized "dsi_hc@[DSI=(1,5)][side=bottom]" 56 | Utilized "dsi_hv_b@[DSI=(1,5)][side=bottom]" 57 | 58 | 59 | ---------------------------------------------------------------------- 60 | 61 | Bitstream verification passed. 62 | 63 | ----------------------------------------------------------------------- 64 | 65 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI2SDKit_Copy_03.ctl: -------------------------------------------------------------------------------- 1 | -- ====================================================================== 2 | -- SCSI2SDKit_Copy_03.ctl generated from SCSI2SDKit_Copy_03 3 | -- 12/14/2018 at 21:17 4 | -- This file is auto generated. ANY EDITS YOU MAKE MAY BE LOST WHEN THIS FILE IS REGENERATED!!! 5 | -- ====================================================================== 6 | 7 | -- PSoC Clock Editor 8 | -- Directives Editor 9 | csattribute placement_force of \SDCard:BSPIM:sR8:Dp:u0\ : label is "U(2,0)"; 10 | csattribute placement_force of \scsiTarget:datapath\ : label is "U(2,5)"; 11 | -- Analog Device Editor 12 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI2SDKit_Copy_03.cyfit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI2SDKit_Copy_03.cyfit -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI2SDKit_Copy_03.pci: -------------------------------------------------------------------------------- 1 | # SCSI2SDKit_Copy_03 2 | # 2018-12-14 20:17:32Z 3 | 4 | # IO_0@[IOP=(1)][IoId=(0)] is reserved: SWDDebugEnabled 5 | dont_use_io iocell 1 0 6 | # IO_1@[IOP=(1)][IoId=(1)] is reserved: SWDDebugEnabled 7 | dont_use_io iocell 1 1 8 | set_io "SD_CD(0)" iocell 2 2 9 | set_io "SD_CS(0)" iocell 3 0 10 | set_io "SD_SCK(0)" iocell 3 1 11 | set_io "SD_MOSI(0)" iocell 3 2 12 | set_io "SCSI_Noise(0)" iocell 0 7 13 | set_io "SCSI_Noise(1)" iocell 0 6 14 | set_io "SCSI_Noise(2)" iocell 0 2 15 | set_io "SCSI_Noise(3)" iocell 0 4 16 | set_io "SCSI_Noise(4)" iocell 0 5 17 | set_io "LED1(0)" iocell 2 1 18 | set_io "SD_MISO(0)" iocell 3 3 19 | set_io "\USBFS:Dm(0)\" iocell 15 7 20 | set_io "\USBFS:Dp(0)\" iocell 15 6 21 | set_io "SCSI_Out(0)" iocell 1 3 22 | set_io "SCSI_Out(1)" iocell 3 5 23 | set_io "SCSI_Out(2)" iocell 3 6 24 | set_io "SCSI_Out(3)" iocell 3 7 25 | set_io "SCSI_Out(4)" iocell 15 0 26 | set_io "SCSI_Out(5)" iocell 15 1 27 | set_io "SCSI_Out(6)" iocell 15 4 28 | set_io "SCSI_Out(7)" iocell 1 6 29 | set_io "SCSI_Out(8)" iocell 1 7 30 | set_io "SCSI_Out(9)" iocell 3 4 31 | set_io "SCSI_In(0)" iocell 12 5 32 | set_io "SCSI_In(1)" iocell 0 3 33 | set_io "SCSI_In(2)" iocell 0 1 34 | set_io "SCSI_In(3)" iocell 0 0 35 | set_io "SCSI_In(4)" iocell 15 5 36 | set_io "SCSI_Out_DBx(0)" iocell 12 0 37 | set_io "SCSI_Out_DBx(1)" iocell 1 2 38 | set_io "SCSI_Out_DBx(2)" iocell 12 1 39 | set_io "SCSI_Out_DBx(3)" iocell 12 2 40 | set_io "SCSI_Out_DBx(4)" iocell 12 3 41 | set_io "SCSI_Out_DBx(5)" iocell 12 4 42 | set_io "SCSI_Out_DBx(6)" iocell 1 5 43 | set_io "SCSI_Out_DBx(7)" iocell 1 4 44 | set_io "SCSI_In_DBx(0)" iocell 2 3 45 | set_io "SCSI_In_DBx(1)" iocell 2 0 46 | set_io "SCSI_In_DBx(2)" iocell 2 4 47 | set_io "SCSI_In_DBx(3)" iocell 2 5 48 | set_io "SCSI_In_DBx(4)" iocell 2 6 49 | set_io "SCSI_In_DBx(5)" iocell 2 7 50 | set_io "SCSI_In_DBx(6)" iocell 12 7 51 | set_io "SCSI_In_DBx(7)" iocell 12 6 52 | set_io "SOUND(0)" iocell 15 2 53 | set_location "\SDCard:BSPIM:sR8:Dp:u0\" 2 0 2 54 | set_location "\USBFS:USB\" usbcell -1 -1 0 55 | set_location "\scsiTarget:datapath\" 2 5 2 56 | set_location "\VDAC8_1:viDAC8\" vidaccell -1 -1 0 57 | set_location "\PGA_1:SC\" sccell -1 -1 0 58 | set_location "\LPF_1:cy_psoc3_lpf_1\" lpfcell -1 -1 0 59 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI2SDKit_Copy_03.plc_log: -------------------------------------------------------------------------------- 1 | I2076: Total run-time: 12.2 sec. 2 | 3 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI2SDKit_Copy_03.rt_log: -------------------------------------------------------------------------------- 1 | 2 | SoftJin Router, Version 1.0 3 | 4 | I1203: Reading Design SCSI2SDKit_Copy_03 5 | I1204: Reading netlist from file SCSI2SDKit_Copy_03_r.vh2 6 | I1206: Completed Reading of file SCSI2SDKit_Copy_03_r.vh2 7 | I1204: Reading placement from file SCSI2SDKit_Copy_03.pco 8 | I1206: Completed Reading of file SCSI2SDKit_Copy_03.pco 9 | I1204: Reading timing library from file SCSI2SDKit_Copy_03_r.lib 10 | I1206: Completed Reading of file SCSI2SDKit_Copy_03_r.lib 11 | I1204: Reading timing constraints from file SCSI2SDKit_Copy_03.sdc 12 | I1206: Completed Reading of file SCSI2SDKit_Copy_03.sdc 13 | I1204: Reading architecture from file C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\dev\psoc5/psoc5lp/route_arch-rrg.cydata 14 | I1206: Completed Reading of file C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\dev\psoc5/psoc5lp/route_arch-rrg.cydata 15 | I1209: Started routing 16 | I1223: Total Nets : 168 17 | I1212: Iteration 1 : 141 unrouted : 4 seconds 18 | I1212: Iteration 2 : 48 unrouted : 3 seconds 19 | I1212: Iteration 3 : 32 unrouted : 1 seconds 20 | I1212: Iteration 4 : 10 unrouted : 1 seconds 21 | I1212: Iteration 5 : 12 unrouted : 0 seconds 22 | I1212: Iteration 6 : 9 unrouted : 0 seconds 23 | I1212: Iteration 7 : 3 unrouted : 1 seconds 24 | I1212: Iteration 8 : 0 unrouted : 0 seconds 25 | I1215: Routing is successful 26 | I1207: Completed routing 27 | I1210: Writing routes 28 | I1218: Exiting the router 29 | I1224: Total Time : 18 seconds 30 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI2SDKit_Copy_03.sdc: -------------------------------------------------------------------------------- 1 | # THIS FILE IS AUTOMATICALLY GENERATED 2 | # Project: C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit_Copy_03.cydsn\SCSI2SDKit_Copy_03.cyprj 3 | # Date: Fri, 14 Dec 2018 20:18:13 GMT 4 | #set_units -time ns 5 | create_clock -name {SOUND_CLK(routed)} -period 125000 -waveform {0 62500} [list [get_pins {ClockBlock/dclk_3}]] 6 | create_clock -name {CyILO} -period 10000 -waveform {0 5000} [list [get_pins {ClockBlock/ilo}] [get_pins {ClockBlock/clk_100k}] [get_pins {ClockBlock/clk_1k}] [get_pins {ClockBlock/clk_32k}]] 7 | create_clock -name {CyIMO} -period 41.666666666666664 -waveform {0 20.8333333333333} [list [get_pins {ClockBlock/imo}]] 8 | create_clock -name {CyPLL_OUT} -period 25 -waveform {0 12.5} [list [get_pins {ClockBlock/pllout}]] 9 | create_clock -name {CyMASTER_CLK} -period 25 -waveform {0 12.5} [list [get_pins {ClockBlock/clk_sync}]] 10 | create_generated_clock -name {CyBUS_CLK} -source [get_pins {ClockBlock/clk_sync}] -edges {1 2 3} [list [get_pins {ClockBlock/clk_bus_glb}]] 11 | create_generated_clock -name {SD_Data_Clk} -source [get_pins {ClockBlock/clk_sync}] -edges {1 2 3} [list [get_pins {ClockBlock/dclk_glb_0}]] 12 | create_generated_clock -name {SCSI_CLK} -source [get_pins {ClockBlock/clk_sync}] -edges {1 3 5} [list [get_pins {ClockBlock/dclk_glb_1}]] 13 | create_generated_clock -name {timer_clock} -source [get_pins {ClockBlock/clk_sync}] -edges {1 41 81} [list [get_pins {ClockBlock/dclk_glb_2}]] 14 | create_generated_clock -name {SOUND_CLK} -source [get_pins {ClockBlock/clk_sync}] -edges {1 5001 10001} [list [get_pins {ClockBlock/dclk_glb_3}]] 15 | 16 | set_false_path -from [get_pins {__ONE__/q}] 17 | 18 | # Component constraints for C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit_Copy_03.cydsn\TopDesign\TopDesign.cysch 19 | # Project: C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit_Copy_03.cydsn\SCSI2SDKit_Copy_03.cyprj 20 | # Date: Fri, 14 Dec 2018 20:17:18 GMT 21 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI2SDKit_Copy_03.wde: -------------------------------------------------------------------------------- 1 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\warp\lib\ieee\work\stdlogic.vif 2 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif 3 | SCSI2SDKit_Copy_03.ctl 4 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyPrimitives\cyprimitives.cylib\CyControlReg_v1_80\CyControlReg_v1_80.v 5 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\warp\lib\common\cypress.v 6 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\warp\lib\common\cy_psoc3_inc.v 7 | SCSI2SDKit_Copy_03.v 8 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyPrimitives\cyprimitives.cylib\mux_v1_10\mux_v1_10.v 9 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyPrimitives\cyprimitives.cylib\cydff_v1_30\cydff_v1_30.v 10 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyPrimitives\cyprimitives.cylib\not_v1_0\not_v1_0.v 11 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyPrimitives\cyprimitives.cylib\cy_constant_v1_0\cy_constant_v1_0.v 12 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyPrimitives\cyprimitives.cylib\cy_virtualmux_v1_0\cy_virtualmux_v1_0.v 13 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyComponentLibrary\CyComponentLibrary.cylib\B_SPI_Master_v2_50\B_SPI_Master_v2_50.v 14 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyPrimitives\cyprimitives.cylib\ZeroTerminal\ZeroTerminal.v 15 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyPrimitives\cyprimitives.cylib\CyStatusReg_v1_90\CyStatusReg_v1_90.v 16 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyComponentLibrary\CyComponentLibrary.cylib\GlitchFilter_v2_0\GlitchFilter_v2_0.v 17 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyPrimitives\cyprimitives.cylib\OneTerminal\OneTerminal.v 18 | C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit_Copy_03.cydsn\scsiTarget\scsiTarget.v 19 | C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit_Copy_03.cydsn\OddParityGen\OddParityGen.v 20 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\CyPrimitives\cyprimitives.cylib\cy_analog_virtualmux_v1_0\cy_analog_virtualmux_v1_0.v 21 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif 22 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\warp\lib\common\stdlogic\cy_psoc3.vif 23 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI2SDKit_Copy_03_u.sdc: -------------------------------------------------------------------------------- 1 | # Component constraints for C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit_Copy_03.cydsn\TopDesign\TopDesign.cysch 2 | # Project: C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit_Copy_03.cydsn\SCSI2SDKit_Copy_03.cyprj 3 | # Date: Fri, 14 Dec 2018 20:17:18 GMT 4 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_CTL_PHASE.c: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_CTL_PHASE.c 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file contains API to enable firmware control of a Control Register. 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #include "SCSI_CTL_PHASE.h" 18 | 19 | /* Check for removal by optimization */ 20 | #if !defined(SCSI_CTL_PHASE_Sync_ctrl_reg__REMOVED) 21 | 22 | 23 | /******************************************************************************* 24 | * Function Name: SCSI_CTL_PHASE_Write 25 | ******************************************************************************** 26 | * 27 | * Summary: 28 | * Write a byte to the Control Register. 29 | * 30 | * Parameters: 31 | * control: The value to be assigned to the Control Register. 32 | * 33 | * Return: 34 | * None. 35 | * 36 | *******************************************************************************/ 37 | void SCSI_CTL_PHASE_Write(uint8 control) 38 | { 39 | SCSI_CTL_PHASE_Control = control; 40 | } 41 | 42 | 43 | /******************************************************************************* 44 | * Function Name: SCSI_CTL_PHASE_Read 45 | ******************************************************************************** 46 | * 47 | * Summary: 48 | * Reads the current value assigned to the Control Register. 49 | * 50 | * Parameters: 51 | * None. 52 | * 53 | * Return: 54 | * Returns the current value in the Control Register. 55 | * 56 | *******************************************************************************/ 57 | uint8 SCSI_CTL_PHASE_Read(void) 58 | { 59 | return SCSI_CTL_PHASE_Control; 60 | } 61 | 62 | #endif /* End check for removal by optimization */ 63 | 64 | 65 | /* [] END OF FILE */ 66 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_CTL_PHASE.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_CTL_PHASE.h 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file containts Control Register function prototypes and register defines 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #if !defined(CY_CONTROL_REG_SCSI_CTL_PHASE_H) /* CY_CONTROL_REG_SCSI_CTL_PHASE_H */ 18 | #define CY_CONTROL_REG_SCSI_CTL_PHASE_H 19 | 20 | #include "cyfitter.h" 21 | 22 | #if ((CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) || \ 23 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) || \ 24 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5)) 25 | #include "cytypes.h" 26 | #else 27 | #include "syslib/cy_syslib.h" 28 | #endif 29 | 30 | 31 | /*************************************** 32 | * Data Struct Definitions 33 | ***************************************/ 34 | 35 | /* Sleep Mode API Support */ 36 | typedef struct 37 | { 38 | uint8 controlState; 39 | 40 | } SCSI_CTL_PHASE_BACKUP_STRUCT; 41 | 42 | 43 | /*************************************** 44 | * Function Prototypes 45 | ***************************************/ 46 | 47 | void SCSI_CTL_PHASE_Write(uint8 control) ; 48 | uint8 SCSI_CTL_PHASE_Read(void) ; 49 | 50 | void SCSI_CTL_PHASE_SaveConfig(void) ; 51 | void SCSI_CTL_PHASE_RestoreConfig(void) ; 52 | void SCSI_CTL_PHASE_Sleep(void) ; 53 | void SCSI_CTL_PHASE_Wakeup(void) ; 54 | 55 | 56 | /*************************************** 57 | * Registers 58 | ***************************************/ 59 | 60 | /* Control Register */ 61 | #define SCSI_CTL_PHASE_Control (* (reg8 *) SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG ) 62 | #define SCSI_CTL_PHASE_Control_PTR ( (reg8 *) SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG ) 63 | 64 | #endif /* End CY_CONTROL_REG_SCSI_CTL_PHASE_H */ 65 | 66 | 67 | /* [] END OF FILE */ 68 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_Glitch_Ctl.c: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Glitch_Ctl.c 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file contains API to enable firmware control of a Control Register. 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #include "SCSI_Glitch_Ctl.h" 18 | 19 | /* Check for removal by optimization */ 20 | #if !defined(SCSI_Glitch_Ctl_Sync_ctrl_reg__REMOVED) 21 | 22 | 23 | /******************************************************************************* 24 | * Function Name: SCSI_Glitch_Ctl_Write 25 | ******************************************************************************** 26 | * 27 | * Summary: 28 | * Write a byte to the Control Register. 29 | * 30 | * Parameters: 31 | * control: The value to be assigned to the Control Register. 32 | * 33 | * Return: 34 | * None. 35 | * 36 | *******************************************************************************/ 37 | void SCSI_Glitch_Ctl_Write(uint8 control) 38 | { 39 | SCSI_Glitch_Ctl_Control = control; 40 | } 41 | 42 | 43 | /******************************************************************************* 44 | * Function Name: SCSI_Glitch_Ctl_Read 45 | ******************************************************************************** 46 | * 47 | * Summary: 48 | * Reads the current value assigned to the Control Register. 49 | * 50 | * Parameters: 51 | * None. 52 | * 53 | * Return: 54 | * Returns the current value in the Control Register. 55 | * 56 | *******************************************************************************/ 57 | uint8 SCSI_Glitch_Ctl_Read(void) 58 | { 59 | return SCSI_Glitch_Ctl_Control; 60 | } 61 | 62 | #endif /* End check for removal by optimization */ 63 | 64 | 65 | /* [] END OF FILE */ 66 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_Glitch_Ctl.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Glitch_Ctl.h 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file containts Control Register function prototypes and register defines 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #if !defined(CY_CONTROL_REG_SCSI_Glitch_Ctl_H) /* CY_CONTROL_REG_SCSI_Glitch_Ctl_H */ 18 | #define CY_CONTROL_REG_SCSI_Glitch_Ctl_H 19 | 20 | #include "cyfitter.h" 21 | 22 | #if ((CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) || \ 23 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) || \ 24 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5)) 25 | #include "cytypes.h" 26 | #else 27 | #include "syslib/cy_syslib.h" 28 | #endif 29 | 30 | 31 | /*************************************** 32 | * Data Struct Definitions 33 | ***************************************/ 34 | 35 | /* Sleep Mode API Support */ 36 | typedef struct 37 | { 38 | uint8 controlState; 39 | 40 | } SCSI_Glitch_Ctl_BACKUP_STRUCT; 41 | 42 | 43 | /*************************************** 44 | * Function Prototypes 45 | ***************************************/ 46 | 47 | void SCSI_Glitch_Ctl_Write(uint8 control) ; 48 | uint8 SCSI_Glitch_Ctl_Read(void) ; 49 | 50 | void SCSI_Glitch_Ctl_SaveConfig(void) ; 51 | void SCSI_Glitch_Ctl_RestoreConfig(void) ; 52 | void SCSI_Glitch_Ctl_Sleep(void) ; 53 | void SCSI_Glitch_Ctl_Wakeup(void) ; 54 | 55 | 56 | /*************************************** 57 | * Registers 58 | ***************************************/ 59 | 60 | /* Control Register */ 61 | #define SCSI_Glitch_Ctl_Control (* (reg8 *) SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG ) 62 | #define SCSI_Glitch_Ctl_Control_PTR ( (reg8 *) SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG ) 63 | 64 | #endif /* End CY_CONTROL_REG_SCSI_Glitch_Ctl_H */ 65 | 66 | 67 | /* [] END OF FILE */ 68 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_In_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_In.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SCSI_In_ALIASES_H) /* Pins SCSI_In_ALIASES_H */ 19 | #define CY_PINS_SCSI_In_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SCSI_In_0 (SCSI_In__0__PC) 29 | #define SCSI_In_0_INTR ((uint16)((uint16)0x0001u << SCSI_In__0__SHIFT)) 30 | 31 | #define SCSI_In_1 (SCSI_In__1__PC) 32 | #define SCSI_In_1_INTR ((uint16)((uint16)0x0001u << SCSI_In__1__SHIFT)) 33 | 34 | #define SCSI_In_2 (SCSI_In__2__PC) 35 | #define SCSI_In_2_INTR ((uint16)((uint16)0x0001u << SCSI_In__2__SHIFT)) 36 | 37 | #define SCSI_In_3 (SCSI_In__3__PC) 38 | #define SCSI_In_3_INTR ((uint16)((uint16)0x0001u << SCSI_In__3__SHIFT)) 39 | 40 | #define SCSI_In_4 (SCSI_In__4__PC) 41 | #define SCSI_In_4_INTR ((uint16)((uint16)0x0001u << SCSI_In__4__SHIFT)) 42 | 43 | #define SCSI_In_INTR_ALL ((uint16)(SCSI_In_0_INTR| SCSI_In_1_INTR| SCSI_In_2_INTR| SCSI_In_3_INTR| SCSI_In_4_INTR)) 44 | #define SCSI_In_DBP (SCSI_In__DBP__PC) 45 | #define SCSI_In_DBP_INTR ((uint16)((uint16)0x0001u << SCSI_In__0__SHIFT)) 46 | 47 | #define SCSI_In_MSG (SCSI_In__MSG__PC) 48 | #define SCSI_In_MSG_INTR ((uint16)((uint16)0x0001u << SCSI_In__1__SHIFT)) 49 | 50 | #define SCSI_In_CD (SCSI_In__CD__PC) 51 | #define SCSI_In_CD_INTR ((uint16)((uint16)0x0001u << SCSI_In__2__SHIFT)) 52 | 53 | #define SCSI_In_REQ (SCSI_In__REQ__PC) 54 | #define SCSI_In_REQ_INTR ((uint16)((uint16)0x0001u << SCSI_In__3__SHIFT)) 55 | 56 | #define SCSI_In_IO (SCSI_In__IO__PC) 57 | #define SCSI_In_IO_INTR ((uint16)((uint16)0x0001u << SCSI_In__4__SHIFT)) 58 | 59 | #endif /* End Pins SCSI_In_ALIASES_H */ 60 | 61 | 62 | /* [] END OF FILE */ 63 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_Noise_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Noise.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SCSI_Noise_ALIASES_H) /* Pins SCSI_Noise_ALIASES_H */ 19 | #define CY_PINS_SCSI_Noise_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SCSI_Noise_0 (SCSI_Noise__0__PC) 29 | #define SCSI_Noise_0_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__0__SHIFT)) 30 | 31 | #define SCSI_Noise_1 (SCSI_Noise__1__PC) 32 | #define SCSI_Noise_1_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__1__SHIFT)) 33 | 34 | #define SCSI_Noise_2 (SCSI_Noise__2__PC) 35 | #define SCSI_Noise_2_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__2__SHIFT)) 36 | 37 | #define SCSI_Noise_3 (SCSI_Noise__3__PC) 38 | #define SCSI_Noise_3_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__3__SHIFT)) 39 | 40 | #define SCSI_Noise_4 (SCSI_Noise__4__PC) 41 | #define SCSI_Noise_4_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__4__SHIFT)) 42 | 43 | #define SCSI_Noise_INTR_ALL ((uint16)(SCSI_Noise_0_INTR| SCSI_Noise_1_INTR| SCSI_Noise_2_INTR| SCSI_Noise_3_INTR| SCSI_Noise_4_INTR)) 44 | #define SCSI_Noise_ATN (SCSI_Noise__ATN__PC) 45 | #define SCSI_Noise_ATN_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__0__SHIFT)) 46 | 47 | #define SCSI_Noise_BSY (SCSI_Noise__BSY__PC) 48 | #define SCSI_Noise_BSY_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__1__SHIFT)) 49 | 50 | #define SCSI_Noise_SEL (SCSI_Noise__SEL__PC) 51 | #define SCSI_Noise_SEL_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__2__SHIFT)) 52 | 53 | #define SCSI_Noise_RST (SCSI_Noise__RST__PC) 54 | #define SCSI_Noise_RST_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__3__SHIFT)) 55 | 56 | #define SCSI_Noise_ACK (SCSI_Noise__ACK__PC) 57 | #define SCSI_Noise_ACK_INTR ((uint16)((uint16)0x0001u << SCSI_Noise__4__SHIFT)) 58 | 59 | #endif /* End Pins SCSI_Noise_ALIASES_H */ 60 | 61 | 62 | /* [] END OF FILE */ 63 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_Out_Bits.c: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Out_Bits.c 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file contains API to enable firmware control of a Control Register. 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #include "SCSI_Out_Bits.h" 18 | 19 | /* Check for removal by optimization */ 20 | #if !defined(SCSI_Out_Bits_Sync_ctrl_reg__REMOVED) 21 | 22 | 23 | /******************************************************************************* 24 | * Function Name: SCSI_Out_Bits_Write 25 | ******************************************************************************** 26 | * 27 | * Summary: 28 | * Write a byte to the Control Register. 29 | * 30 | * Parameters: 31 | * control: The value to be assigned to the Control Register. 32 | * 33 | * Return: 34 | * None. 35 | * 36 | *******************************************************************************/ 37 | void SCSI_Out_Bits_Write(uint8 control) 38 | { 39 | SCSI_Out_Bits_Control = control; 40 | } 41 | 42 | 43 | /******************************************************************************* 44 | * Function Name: SCSI_Out_Bits_Read 45 | ******************************************************************************** 46 | * 47 | * Summary: 48 | * Reads the current value assigned to the Control Register. 49 | * 50 | * Parameters: 51 | * None. 52 | * 53 | * Return: 54 | * Returns the current value in the Control Register. 55 | * 56 | *******************************************************************************/ 57 | uint8 SCSI_Out_Bits_Read(void) 58 | { 59 | return SCSI_Out_Bits_Control; 60 | } 61 | 62 | #endif /* End check for removal by optimization */ 63 | 64 | 65 | /* [] END OF FILE */ 66 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_Out_Bits.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Out_Bits.h 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file containts Control Register function prototypes and register defines 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #if !defined(CY_CONTROL_REG_SCSI_Out_Bits_H) /* CY_CONTROL_REG_SCSI_Out_Bits_H */ 18 | #define CY_CONTROL_REG_SCSI_Out_Bits_H 19 | 20 | #include "cyfitter.h" 21 | 22 | #if ((CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) || \ 23 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) || \ 24 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5)) 25 | #include "cytypes.h" 26 | #else 27 | #include "syslib/cy_syslib.h" 28 | #endif 29 | 30 | 31 | /*************************************** 32 | * Data Struct Definitions 33 | ***************************************/ 34 | 35 | /* Sleep Mode API Support */ 36 | typedef struct 37 | { 38 | uint8 controlState; 39 | 40 | } SCSI_Out_Bits_BACKUP_STRUCT; 41 | 42 | 43 | /*************************************** 44 | * Function Prototypes 45 | ***************************************/ 46 | 47 | void SCSI_Out_Bits_Write(uint8 control) ; 48 | uint8 SCSI_Out_Bits_Read(void) ; 49 | 50 | void SCSI_Out_Bits_SaveConfig(void) ; 51 | void SCSI_Out_Bits_RestoreConfig(void) ; 52 | void SCSI_Out_Bits_Sleep(void) ; 53 | void SCSI_Out_Bits_Wakeup(void) ; 54 | 55 | 56 | /*************************************** 57 | * Registers 58 | ***************************************/ 59 | 60 | /* Control Register */ 61 | #define SCSI_Out_Bits_Control (* (reg8 *) SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG ) 62 | #define SCSI_Out_Bits_Control_PTR ( (reg8 *) SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG ) 63 | 64 | #endif /* End CY_CONTROL_REG_SCSI_Out_Bits_H */ 65 | 66 | 67 | /* [] END OF FILE */ 68 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_Out_Ctl.c: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Out_Ctl.c 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file contains API to enable firmware control of a Control Register. 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #include "SCSI_Out_Ctl.h" 18 | 19 | /* Check for removal by optimization */ 20 | #if !defined(SCSI_Out_Ctl_Sync_ctrl_reg__REMOVED) 21 | 22 | 23 | /******************************************************************************* 24 | * Function Name: SCSI_Out_Ctl_Write 25 | ******************************************************************************** 26 | * 27 | * Summary: 28 | * Write a byte to the Control Register. 29 | * 30 | * Parameters: 31 | * control: The value to be assigned to the Control Register. 32 | * 33 | * Return: 34 | * None. 35 | * 36 | *******************************************************************************/ 37 | void SCSI_Out_Ctl_Write(uint8 control) 38 | { 39 | SCSI_Out_Ctl_Control = control; 40 | } 41 | 42 | 43 | /******************************************************************************* 44 | * Function Name: SCSI_Out_Ctl_Read 45 | ******************************************************************************** 46 | * 47 | * Summary: 48 | * Reads the current value assigned to the Control Register. 49 | * 50 | * Parameters: 51 | * None. 52 | * 53 | * Return: 54 | * Returns the current value in the Control Register. 55 | * 56 | *******************************************************************************/ 57 | uint8 SCSI_Out_Ctl_Read(void) 58 | { 59 | return SCSI_Out_Ctl_Control; 60 | } 61 | 62 | #endif /* End check for removal by optimization */ 63 | 64 | 65 | /* [] END OF FILE */ 66 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_Out_Ctl.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_Out_Ctl.h 3 | * Version 1.80 4 | * 5 | * Description: 6 | * This file containts Control Register function prototypes and register defines 7 | * 8 | * Note: 9 | * 10 | ******************************************************************************** 11 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | *******************************************************************************/ 16 | 17 | #if !defined(CY_CONTROL_REG_SCSI_Out_Ctl_H) /* CY_CONTROL_REG_SCSI_Out_Ctl_H */ 18 | #define CY_CONTROL_REG_SCSI_Out_Ctl_H 19 | 20 | #include "cyfitter.h" 21 | 22 | #if ((CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) || \ 23 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) || \ 24 | (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5)) 25 | #include "cytypes.h" 26 | #else 27 | #include "syslib/cy_syslib.h" 28 | #endif 29 | 30 | 31 | /*************************************** 32 | * Data Struct Definitions 33 | ***************************************/ 34 | 35 | /* Sleep Mode API Support */ 36 | typedef struct 37 | { 38 | uint8 controlState; 39 | 40 | } SCSI_Out_Ctl_BACKUP_STRUCT; 41 | 42 | 43 | /*************************************** 44 | * Function Prototypes 45 | ***************************************/ 46 | 47 | void SCSI_Out_Ctl_Write(uint8 control) ; 48 | uint8 SCSI_Out_Ctl_Read(void) ; 49 | 50 | void SCSI_Out_Ctl_SaveConfig(void) ; 51 | void SCSI_Out_Ctl_RestoreConfig(void) ; 52 | void SCSI_Out_Ctl_Sleep(void) ; 53 | void SCSI_Out_Ctl_Wakeup(void) ; 54 | 55 | 56 | /*************************************** 57 | * Registers 58 | ***************************************/ 59 | 60 | /* Control Register */ 61 | #define SCSI_Out_Ctl_Control (* (reg8 *) SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG ) 62 | #define SCSI_Out_Ctl_Control_PTR ( (reg8 *) SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG ) 63 | 64 | #endif /* End CY_CONTROL_REG_SCSI_Out_Ctl_H */ 65 | 66 | 67 | /* [] END OF FILE */ 68 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_RST_ISR.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SCSI_RST_ISR.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the Interrupt Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | *******************************************************************************/ 15 | #if !defined(CY_ISR_SCSI_RST_ISR_H) 16 | #define CY_ISR_SCSI_RST_ISR_H 17 | 18 | 19 | #include 20 | #include 21 | 22 | /* Interrupt Controller API. */ 23 | void SCSI_RST_ISR_Start(void); 24 | void SCSI_RST_ISR_StartEx(cyisraddress address); 25 | void SCSI_RST_ISR_Stop(void); 26 | 27 | CY_ISR_PROTO(SCSI_RST_ISR_Interrupt); 28 | 29 | void SCSI_RST_ISR_SetVector(cyisraddress address); 30 | cyisraddress SCSI_RST_ISR_GetVector(void); 31 | 32 | void SCSI_RST_ISR_SetPriority(uint8 priority); 33 | uint8 SCSI_RST_ISR_GetPriority(void); 34 | 35 | void SCSI_RST_ISR_Enable(void); 36 | uint8 SCSI_RST_ISR_GetState(void); 37 | void SCSI_RST_ISR_Disable(void); 38 | 39 | void SCSI_RST_ISR_SetPending(void); 40 | void SCSI_RST_ISR_ClearPending(void); 41 | 42 | 43 | /* Interrupt Controller Constants */ 44 | 45 | /* Address of the INTC.VECT[x] register that contains the Address of the SCSI_RST_ISR ISR. */ 46 | #define SCSI_RST_ISR_INTC_VECTOR ((reg32 *) SCSI_RST_ISR__INTC_VECT) 47 | 48 | /* Address of the SCSI_RST_ISR ISR priority. */ 49 | #define SCSI_RST_ISR_INTC_PRIOR ((reg8 *) SCSI_RST_ISR__INTC_PRIOR_REG) 50 | 51 | /* Priority of the SCSI_RST_ISR interrupt. */ 52 | #define SCSI_RST_ISR_INTC_PRIOR_NUMBER SCSI_RST_ISR__INTC_PRIOR_NUM 53 | 54 | /* Address of the INTC.SET_EN[x] byte to bit enable SCSI_RST_ISR interrupt. */ 55 | #define SCSI_RST_ISR_INTC_SET_EN ((reg32 *) SCSI_RST_ISR__INTC_SET_EN_REG) 56 | 57 | /* Address of the INTC.CLR_EN[x] register to bit clear the SCSI_RST_ISR interrupt. */ 58 | #define SCSI_RST_ISR_INTC_CLR_EN ((reg32 *) SCSI_RST_ISR__INTC_CLR_EN_REG) 59 | 60 | /* Address of the INTC.SET_PD[x] register to set the SCSI_RST_ISR interrupt state to pending. */ 61 | #define SCSI_RST_ISR_INTC_SET_PD ((reg32 *) SCSI_RST_ISR__INTC_SET_PD_REG) 62 | 63 | /* Address of the INTC.CLR_PD[x] register to clear the SCSI_RST_ISR interrupt. */ 64 | #define SCSI_RST_ISR_INTC_CLR_PD ((reg32 *) SCSI_RST_ISR__INTC_CLR_PD_REG) 65 | 66 | 67 | #endif /* CY_ISR_SCSI_RST_ISR_H */ 68 | 69 | 70 | /* [] END OF FILE */ 71 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_RX_DMA_dma.h: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * File Name: SCSI_RX_DMA_dma.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the DMA Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | ********************************************************************************/ 15 | #if !defined(CY_DMA_SCSI_RX_DMA_DMA_H__) 16 | #define CY_DMA_SCSI_RX_DMA_DMA_H__ 17 | 18 | 19 | 20 | #include 21 | #include 22 | 23 | #define SCSI_RX_DMA__TD_TERMOUT_EN (((0 != SCSI_RX_DMA__TERMOUT0_EN) ? TD_TERMOUT0_EN : 0) | \ 24 | (SCSI_RX_DMA__TERMOUT1_EN ? TD_TERMOUT1_EN : 0)) 25 | 26 | /* Zero based index of SCSI_RX_DMA dma channel */ 27 | extern uint8 SCSI_RX_DMA_DmaHandle; 28 | 29 | 30 | uint8 SCSI_RX_DMA_DmaInitialize(uint8 BurstCount, uint8 ReqestPerBurst, uint16 UpperSrcAddress, uint16 UpperDestAddress) ; 31 | void SCSI_RX_DMA_DmaRelease(void) ; 32 | 33 | 34 | /* CY_DMA_SCSI_RX_DMA_DMA_H__ */ 35 | #endif 36 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SCSI_TX_DMA_dma.h: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * File Name: SCSI_TX_DMA_dma.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the DMA Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | ********************************************************************************/ 15 | #if !defined(CY_DMA_SCSI_TX_DMA_DMA_H__) 16 | #define CY_DMA_SCSI_TX_DMA_DMA_H__ 17 | 18 | 19 | 20 | #include 21 | #include 22 | 23 | #define SCSI_TX_DMA__TD_TERMOUT_EN (((0 != SCSI_TX_DMA__TERMOUT0_EN) ? TD_TERMOUT0_EN : 0) | \ 24 | (SCSI_TX_DMA__TERMOUT1_EN ? TD_TERMOUT1_EN : 0)) 25 | 26 | /* Zero based index of SCSI_TX_DMA dma channel */ 27 | extern uint8 SCSI_TX_DMA_DmaHandle; 28 | 29 | 30 | uint8 SCSI_TX_DMA_DmaInitialize(uint8 BurstCount, uint8 ReqestPerBurst, uint16 UpperSrcAddress, uint16 UpperDestAddress) ; 31 | void SCSI_TX_DMA_DmaRelease(void) ; 32 | 33 | 34 | /* CY_DMA_SCSI_TX_DMA_DMA_H__ */ 35 | #endif 36 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SDCard_PVT.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: .h 3 | * Version 2.50 4 | * 5 | * Description: 6 | * This private header file contains internal definitions for the SPIM 7 | * component. Do not use these definitions directly in your application. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2012-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_SPIM_PVT_SDCard_H) 19 | #define CY_SPIM_PVT_SDCard_H 20 | 21 | #include "SDCard.h" 22 | 23 | 24 | /********************************** 25 | * Functions with external linkage 26 | **********************************/ 27 | 28 | 29 | /********************************** 30 | * Variables with external linkage 31 | **********************************/ 32 | 33 | extern volatile uint8 SDCard_swStatusTx; 34 | extern volatile uint8 SDCard_swStatusRx; 35 | 36 | #if(SDCard_TX_SOFTWARE_BUF_ENABLED) 37 | extern volatile uint8 SDCard_txBuffer[SDCard_TX_BUFFER_SIZE]; 38 | extern volatile uint8 SDCard_txBufferRead; 39 | extern volatile uint8 SDCard_txBufferWrite; 40 | extern volatile uint8 SDCard_txBufferFull; 41 | #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ 42 | 43 | #if(SDCard_RX_SOFTWARE_BUF_ENABLED) 44 | extern volatile uint8 SDCard_rxBuffer[SDCard_RX_BUFFER_SIZE]; 45 | extern volatile uint8 SDCard_rxBufferRead; 46 | extern volatile uint8 SDCard_rxBufferWrite; 47 | extern volatile uint8 SDCard_rxBufferFull; 48 | #endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ 49 | 50 | #endif /* CY_SPIM_PVT_SDCard_H */ 51 | 52 | 53 | /* [] END OF FILE */ 54 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SD_CD_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SD_CD.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SD_CD_ALIASES_H) /* Pins SD_CD_ALIASES_H */ 19 | #define CY_PINS_SD_CD_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SD_CD_0 (SD_CD__0__PC) 29 | #define SD_CD_0_INTR ((uint16)((uint16)0x0001u << SD_CD__0__SHIFT)) 30 | 31 | #define SD_CD_INTR_ALL ((uint16)(SD_CD_0_INTR)) 32 | 33 | #endif /* End Pins SD_CD_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SD_CS_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SD_CS.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SD_CS_ALIASES_H) /* Pins SD_CS_ALIASES_H */ 19 | #define CY_PINS_SD_CS_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SD_CS_0 (SD_CS__0__PC) 29 | #define SD_CS_0_INTR ((uint16)((uint16)0x0001u << SD_CS__0__SHIFT)) 30 | 31 | #define SD_CS_INTR_ALL ((uint16)(SD_CS_0_INTR)) 32 | 33 | #endif /* End Pins SD_CS_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SD_MISO_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SD_MISO.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SD_MISO_ALIASES_H) /* Pins SD_MISO_ALIASES_H */ 19 | #define CY_PINS_SD_MISO_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SD_MISO_0 (SD_MISO__0__PC) 29 | #define SD_MISO_0_INTR ((uint16)((uint16)0x0001u << SD_MISO__0__SHIFT)) 30 | 31 | #define SD_MISO_INTR_ALL ((uint16)(SD_MISO_0_INTR)) 32 | 33 | #endif /* End Pins SD_MISO_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SD_MOSI_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SD_MOSI.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SD_MOSI_ALIASES_H) /* Pins SD_MOSI_ALIASES_H */ 19 | #define CY_PINS_SD_MOSI_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SD_MOSI_0 (SD_MOSI__0__PC) 29 | #define SD_MOSI_0_INTR ((uint16)((uint16)0x0001u << SD_MOSI__0__SHIFT)) 30 | 31 | #define SD_MOSI_INTR_ALL ((uint16)(SD_MOSI_0_INTR)) 32 | 33 | #endif /* End Pins SD_MOSI_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SD_RX_DMA_dma.h: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * File Name: SD_RX_DMA_dma.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the DMA Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | ********************************************************************************/ 15 | #if !defined(CY_DMA_SD_RX_DMA_DMA_H__) 16 | #define CY_DMA_SD_RX_DMA_DMA_H__ 17 | 18 | 19 | 20 | #include 21 | #include 22 | 23 | #define SD_RX_DMA__TD_TERMOUT_EN (((0 != SD_RX_DMA__TERMOUT0_EN) ? TD_TERMOUT0_EN : 0) | \ 24 | (SD_RX_DMA__TERMOUT1_EN ? TD_TERMOUT1_EN : 0)) 25 | 26 | /* Zero based index of SD_RX_DMA dma channel */ 27 | extern uint8 SD_RX_DMA_DmaHandle; 28 | 29 | 30 | uint8 SD_RX_DMA_DmaInitialize(uint8 BurstCount, uint8 ReqestPerBurst, uint16 UpperSrcAddress, uint16 UpperDestAddress) ; 31 | void SD_RX_DMA_DmaRelease(void) ; 32 | 33 | 34 | /* CY_DMA_SD_RX_DMA_DMA_H__ */ 35 | #endif 36 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SD_SCK_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SD_SCK.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SD_SCK_ALIASES_H) /* Pins SD_SCK_ALIASES_H */ 19 | #define CY_PINS_SD_SCK_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SD_SCK_0 (SD_SCK__0__PC) 29 | #define SD_SCK_0_INTR ((uint16)((uint16)0x0001u << SD_SCK__0__SHIFT)) 30 | 31 | #define SD_SCK_INTR_ALL ((uint16)(SD_SCK_0_INTR)) 32 | 33 | #endif /* End Pins SD_SCK_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SD_TX_DMA_dma.h: -------------------------------------------------------------------------------- 1 | /****************************************************************************** 2 | * File Name: SD_TX_DMA_dma.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the DMA Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2010, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | ********************************************************************************/ 15 | #if !defined(CY_DMA_SD_TX_DMA_DMA_H__) 16 | #define CY_DMA_SD_TX_DMA_DMA_H__ 17 | 18 | 19 | 20 | #include 21 | #include 22 | 23 | #define SD_TX_DMA__TD_TERMOUT_EN (((0 != SD_TX_DMA__TERMOUT0_EN) ? TD_TERMOUT0_EN : 0) | \ 24 | (SD_TX_DMA__TERMOUT1_EN ? TD_TERMOUT1_EN : 0)) 25 | 26 | /* Zero based index of SD_TX_DMA dma channel */ 27 | extern uint8 SD_TX_DMA_DmaHandle; 28 | 29 | 30 | uint8 SD_TX_DMA_DmaInitialize(uint8 BurstCount, uint8 ReqestPerBurst, uint16 UpperSrcAddress, uint16 UpperDestAddress) ; 31 | void SD_TX_DMA_DmaRelease(void) ; 32 | 33 | 34 | /* CY_DMA_SD_TX_DMA_DMA_H__ */ 35 | #endif 36 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SOUND_ISR.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SOUND_ISR.h 3 | * Version 1.70 4 | * 5 | * Description: 6 | * Provides the function definitions for the Interrupt Controller. 7 | * 8 | * 9 | ******************************************************************************** 10 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 11 | * You may use this file only in accordance with the license, terms, conditions, 12 | * disclaimers, and limitations in the end user license agreement accompanying 13 | * the software package with which this file was provided. 14 | *******************************************************************************/ 15 | #if !defined(CY_ISR_SOUND_ISR_H) 16 | #define CY_ISR_SOUND_ISR_H 17 | 18 | 19 | #include 20 | #include 21 | 22 | /* Interrupt Controller API. */ 23 | void SOUND_ISR_Start(void); 24 | void SOUND_ISR_StartEx(cyisraddress address); 25 | void SOUND_ISR_Stop(void); 26 | 27 | CY_ISR_PROTO(SOUND_ISR_Interrupt); 28 | 29 | void SOUND_ISR_SetVector(cyisraddress address); 30 | cyisraddress SOUND_ISR_GetVector(void); 31 | 32 | void SOUND_ISR_SetPriority(uint8 priority); 33 | uint8 SOUND_ISR_GetPriority(void); 34 | 35 | void SOUND_ISR_Enable(void); 36 | uint8 SOUND_ISR_GetState(void); 37 | void SOUND_ISR_Disable(void); 38 | 39 | void SOUND_ISR_SetPending(void); 40 | void SOUND_ISR_ClearPending(void); 41 | 42 | 43 | /* Interrupt Controller Constants */ 44 | 45 | /* Address of the INTC.VECT[x] register that contains the Address of the SOUND_ISR ISR. */ 46 | #define SOUND_ISR_INTC_VECTOR ((reg32 *) SOUND_ISR__INTC_VECT) 47 | 48 | /* Address of the SOUND_ISR ISR priority. */ 49 | #define SOUND_ISR_INTC_PRIOR ((reg8 *) SOUND_ISR__INTC_PRIOR_REG) 50 | 51 | /* Priority of the SOUND_ISR interrupt. */ 52 | #define SOUND_ISR_INTC_PRIOR_NUMBER SOUND_ISR__INTC_PRIOR_NUM 53 | 54 | /* Address of the INTC.SET_EN[x] byte to bit enable SOUND_ISR interrupt. */ 55 | #define SOUND_ISR_INTC_SET_EN ((reg32 *) SOUND_ISR__INTC_SET_EN_REG) 56 | 57 | /* Address of the INTC.CLR_EN[x] register to bit clear the SOUND_ISR interrupt. */ 58 | #define SOUND_ISR_INTC_CLR_EN ((reg32 *) SOUND_ISR__INTC_CLR_EN_REG) 59 | 60 | /* Address of the INTC.SET_PD[x] register to set the SOUND_ISR interrupt state to pending. */ 61 | #define SOUND_ISR_INTC_SET_PD ((reg32 *) SOUND_ISR__INTC_SET_PD_REG) 62 | 63 | /* Address of the INTC.CLR_PD[x] register to clear the SOUND_ISR interrupt. */ 64 | #define SOUND_ISR_INTC_CLR_PD ((reg32 *) SOUND_ISR__INTC_CLR_PD_REG) 65 | 66 | 67 | #endif /* CY_ISR_SOUND_ISR_H */ 68 | 69 | 70 | /* [] END OF FILE */ 71 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/SOUND_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: SOUND.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_SOUND_ALIASES_H) /* Pins SOUND_ALIASES_H */ 19 | #define CY_PINS_SOUND_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define SOUND_0 (SOUND__0__PC) 29 | #define SOUND_0_INTR ((uint16)((uint16)0x0001u << SOUND__0__SHIFT)) 30 | 31 | #define SOUND_INTR_ALL ((uint16)(SOUND_0_INTR)) 32 | 33 | #endif /* End Pins SOUND_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/USBFS_Dm_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: USBFS_Dm.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_USBFS_Dm_ALIASES_H) /* Pins USBFS_Dm_ALIASES_H */ 19 | #define CY_PINS_USBFS_Dm_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define USBFS_Dm_0 (USBFS_Dm__0__PC) 29 | #define USBFS_Dm_0_INTR ((uint16)((uint16)0x0001u << USBFS_Dm__0__SHIFT)) 30 | 31 | #define USBFS_Dm_INTR_ALL ((uint16)(USBFS_Dm_0_INTR)) 32 | 33 | #endif /* End Pins USBFS_Dm_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/USBFS_Dp_aliases.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: USBFS_Dp.h 3 | * Version 2.20 4 | * 5 | * Description: 6 | * This file contains the Alias definitions for Per-Pin APIs in cypins.h. 7 | * Information on using these APIs can be found in the System Reference Guide. 8 | * 9 | * Note: 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2015, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | *******************************************************************************/ 17 | 18 | #if !defined(CY_PINS_USBFS_Dp_ALIASES_H) /* Pins USBFS_Dp_ALIASES_H */ 19 | #define CY_PINS_USBFS_Dp_ALIASES_H 20 | 21 | #include "cytypes.h" 22 | #include "cyfitter.h" 23 | 24 | 25 | /*************************************** 26 | * Constants 27 | ***************************************/ 28 | #define USBFS_Dp_0 (USBFS_Dp__0__PC) 29 | #define USBFS_Dp_0_INTR ((uint16)((uint16)0x0001u << USBFS_Dp__0__SHIFT)) 30 | 31 | #define USBFS_Dp_INTR_ALL ((uint16)(USBFS_Dp_0_INTR)) 32 | 33 | #endif /* End Pins USBFS_Dp_ALIASES_H */ 34 | 35 | 36 | /* [] END OF FILE */ 37 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/USBFS_hid.h: -------------------------------------------------------------------------------- 1 | /***************************************************************************//** 2 | * \file USBFS_hid.h 3 | * \version 3.20 4 | * 5 | * \brief 6 | * This file provides function prototypes and constants for the USBFS component 7 | * HID class. 8 | * 9 | * Related Document: 10 | * Device Class Definition for Human Interface Devices (HID) Version 1.11 11 | * 12 | ******************************************************************************** 13 | * \copyright 14 | * Copyright 2008-2016, Cypress Semiconductor Corporation. All rights reserved. 15 | * You may use this file only in accordance with the license, terms, conditions, 16 | * disclaimers, and limitations in the end user license agreement accompanying 17 | * the software package with which this file was provided. 18 | *******************************************************************************/ 19 | 20 | #if !defined(CY_USBFS_USBFS_hid_H) 21 | #define CY_USBFS_USBFS_hid_H 22 | 23 | #include "USBFS.h" 24 | 25 | /*************************************** 26 | * Prototypes of the USBFS_hid API. 27 | ***************************************/ 28 | /** 29 | * \addtogroup group_hid 30 | * @{ 31 | */ 32 | uint8 USBFS_UpdateHIDTimer(uint8 interface) ; 33 | uint8 USBFS_GetProtocol(uint8 interface) ; 34 | /** @} hid */ 35 | 36 | /*************************************** 37 | *Renamed Functions for backward compatible 38 | ***************************************/ 39 | 40 | #define USBFS_bGetProtocol USBFS_GetProtocol 41 | 42 | 43 | /*************************************** 44 | * Constants for USBFS_hid API. 45 | ***************************************/ 46 | 47 | #define USBFS_PROTOCOL_BOOT (0x00u) 48 | #define USBFS_PROTOCOL_REPORT (0x01u) 49 | 50 | /* Request Types (HID Chapter 7.2) */ 51 | #define USBFS_HID_GET_REPORT (0x01u) 52 | #define USBFS_HID_GET_IDLE (0x02u) 53 | #define USBFS_HID_GET_PROTOCOL (0x03u) 54 | #define USBFS_HID_SET_REPORT (0x09u) 55 | #define USBFS_HID_SET_IDLE (0x0Au) 56 | #define USBFS_HID_SET_PROTOCOL (0x0Bu) 57 | 58 | /* Descriptor Types (HID Chapter 7.1) */ 59 | #define USBFS_DESCR_HID_CLASS (0x21u) 60 | #define USBFS_DESCR_HID_REPORT (0x22u) 61 | #define USBFS_DESCR_HID_PHYSICAL (0x23u) 62 | 63 | /* Report Request Types (HID Chapter 7.2.1) */ 64 | #define USBFS_HID_GET_REPORT_INPUT (0x01u) 65 | #define USBFS_HID_GET_REPORT_OUTPUT (0x02u) 66 | #define USBFS_HID_GET_REPORT_FEATURE (0x03u) 67 | 68 | #endif /* CY_USBFS_USBFS_hid_H */ 69 | 70 | 71 | /* [] END OF FILE */ 72 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/USBFS_msc.h: -------------------------------------------------------------------------------- 1 | /***************************************************************************//** 2 | * \file USBFS_msc.h 3 | * \version 3.20 4 | * 5 | * \brief 6 | * This file provides function prototypes and constants for the USBFS component 7 | * MSC class support. 8 | * 9 | * Related Document: 10 | * Device Class Definition for Mass Storage (MSC) Version TDB 11 | * 12 | ******************************************************************************** 13 | * \copyright 14 | * Copyright 2008-2016, Cypress Semiconductor Corporation. All rights reserved. 15 | * You may use this file only in accordance with the license, terms, conditions, 16 | * disclaimers, and limitations in the end user license agreement accompanying 17 | * the software package with which this file was provided. 18 | *******************************************************************************/ 19 | 20 | #if !defined(CY_USBFS_USBFS_msc_H) 21 | #define CY_USBFS_USBFS_msc_H 22 | 23 | #include "USBFS.h" 24 | 25 | /*************************************** 26 | * Initial Parameter Constants 27 | ***************************************/ 28 | 29 | #define USBFS_HANDLE_MSC_REQUESTS (0u != (1u)) 30 | #define USBFS_MSC_LUN_NUMBER (1u - 1u) 31 | 32 | 33 | /*************************************** 34 | * Function Prototypes 35 | ***************************************/ 36 | /** 37 | * \addtogroup group_msc 38 | * @{ 39 | */ 40 | #if (USBFS_HANDLE_MSC_REQUESTS) 41 | void USBFS_MSC_SetLunCount(uint8 lunCount) ; 42 | uint8 USBFS_MSC_GetLunCount(void) ; 43 | #endif /* (USBFS_HANDLE_MSC_REQUESTS) */ 44 | /** @} msc */ 45 | 46 | /*************************************** 47 | * Constants 48 | ***************************************/ 49 | 50 | /* MSC Class-Specific requests */ 51 | #define USBFS_MSC_RESET (0xFFu) 52 | #define USBFS_MSC_GET_MAX_LUN (0xFEu) 53 | 54 | /* MSC Class-Specific requests constant fields. */ 55 | #define USBFS_MSC_RESET_WVALUE (0u) 56 | #define USBFS_MSC_RESET_WLENGTH (0u) 57 | 58 | #define USBFS_MSC_GET_MAX_LUN_WVALUE (0u) 59 | #define USBFS_MSC_GET_MAX_LUN_WLENGTH (1u) 60 | 61 | #endif /* CY_USBFS_USBFS_msc_H */ 62 | 63 | 64 | /* [] END OF FILE */ 65 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/core_cm3_psoc5.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * \file core_cm3_psoc5.h 3 | * \version 5.80 4 | * 5 | * \brief Provides important type information for the PSoC5. This includes types 6 | * necessary for core_cm3.h. 7 | * 8 | * \note Documentation of the API's in this file is located in the 9 | * System Reference Guide provided with PSoC Creator. 10 | * 11 | ******************************************************************************** 12 | * Copyright 2008-2018, Cypress Semiconductor Corporation. All rights reserved. 13 | * You may use this file only in accordance with the license, terms, conditions, 14 | * disclaimers, and limitations in the end user license agreement accompanying 15 | * the software package with which this file was provided. 16 | ********************************************************************************/ 17 | 18 | 19 | #if !defined(__CORE_CM3_PSOC5_H__) 20 | #define __CORE_CM3_PSOC5_H__ 21 | 22 | /** Interrupt Number Definition */ 23 | typedef enum IRQn 24 | { 25 | /****** Cortex-M3 Processor Exceptions Numbers ***************************************************/ 26 | NonMaskableInt_IRQn = -14, /*!< 2 Non Maskable Interrupt */ 27 | HardFault_IRQn = -13, /*!< 3 Cortex-M3 Hard Fault Interrupt */ 28 | MemoryManagement_IRQn = -12, /*!< 4 Cortex-M3 Memory Management Interrupt */ 29 | BusFault_IRQn = -11, /*!< 5 Cortex-M3 Bus Fault Interrupt */ 30 | UsageFault_IRQn = -10, /*!< 6 Cortex-M3 Usage Fault Interrupt */ 31 | SVCall_IRQn = -5, /*!< 11 Cortex-M3 SV Call Interrupt */ 32 | DebugMonitor_IRQn = -4, /*!< 12 Cortex-M3 Debug Monitor Interrupt */ 33 | PendSV_IRQn = -2, /*!< 14 Cortex-M3 Pend SV Interrupt */ 34 | SysTick_IRQn = -1 /*!< 15 Cortex-M3 System Tick Interrupt */ 35 | /****** PSoC5 Peripheral Interrupt Numbers *******************************************************/ 36 | /* Not relevant. All peripheral interrupts are defined by the user */ 37 | } IRQn_Type; 38 | 39 | #include 40 | 41 | #define __CHECK_DEVICE_DEFINES 42 | 43 | #define __CM3_REV 0x0201 44 | 45 | #define __MPU_PRESENT 0 46 | #define __NVIC_PRIO_BITS 3 47 | #define __Vendor_SysTickConfig 0 48 | 49 | #include 50 | 51 | 52 | #endif /* __CORE_CM3_PSOC5_H__ */ 53 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/cybootloader.icf: -------------------------------------------------------------------------------- 1 | /* GENERATED CODE -- CHANGES WILL BE OVERWRITTEN */ 2 | 3 | define symbol CYDEV_BTLDR_SIZE = 0x00004300; 4 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/cydisabledsheets.h: -------------------------------------------------------------------------------- 1 | #ifndef INCLUDED_CYDISABLEDSHEETS_H 2 | #define INCLUDED_CYDISABLEDSHEETS_H 3 | 4 | 5 | #endif /* INCLUDED_CYDISABLEDSHEETS_H */ 6 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/cyfitter_cfg.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: cyfitter_cfg.h 3 | * 4 | * PSoC Creator 4.2 5 | * 6 | * Description: 7 | * This file provides basic startup and mux configuration settings 8 | * This file is automatically generated by PSoC Creator. 9 | * 10 | ******************************************************************************** 11 | * Copyright (c) 2007-2018 Cypress Semiconductor. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | ********************************************************************************/ 16 | 17 | #ifndef CYFITTER_CFG_H 18 | #define CYFITTER_CFG_H 19 | 20 | #include "cytypes.h" 21 | 22 | extern void cyfitter_cfg(void); 23 | 24 | /* Analog Set/Unset methods */ 25 | extern void SetAnalogRoutingPumps(uint8 enabled); 26 | 27 | 28 | #endif /* CYFITTER_CFG_H */ 29 | 30 | /*[]*/ 31 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/cymetadata.c: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * File Name: cymetadata.c 3 | * 4 | * PSoC Creator 4.2 5 | * 6 | * Description: 7 | * This file defines all extra memory spaces that need to be included. 8 | * This file is automatically generated by PSoC Creator. 9 | * 10 | ******************************************************************************** 11 | * Copyright (c) 2007-2018 Cypress Semiconductor. All rights reserved. 12 | * You may use this file only in accordance with the license, terms, conditions, 13 | * disclaimers, and limitations in the end user license agreement accompanying 14 | * the software package with which this file was provided. 15 | ********************************************************************************/ 16 | 17 | 18 | #include "stdint.h" 19 | 20 | 21 | #if defined(__GNUC__) || defined(__ARMCC_VERSION) 22 | #ifndef CY_LOADABLE_META_SECTION 23 | #define CY_LOADABLE_META_SECTION __attribute__ ((__section__(".cyloadablemeta"), used)) 24 | #endif 25 | CY_LOADABLE_META_SECTION 26 | #elif defined(__ICCARM__) 27 | #pragma location=".cyloadablemeta" 28 | #else 29 | #error "Unsupported toolchain" 30 | #endif 31 | const uint8_t cy_meta_loadable[] = { 32 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 33 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 34 | 0x00u, 0x00u, 0x00u, 0x00u, 0x5Cu, 0xD1u, 0x71u, 0x04u, 35 | 0x01u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 36 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 37 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 38 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 39 | 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u 40 | }; 41 | 42 | #if defined(__GNUC__) || defined(__ARMCC_VERSION) 43 | #ifndef CY_CONFIG_ECC_SECTION 44 | #define CY_CONFIG_ECC_SECTION __attribute__ ((__section__(".cyconfigecc"), used)) 45 | #endif 46 | CY_CONFIG_ECC_SECTION 47 | #elif defined(__ICCARM__) 48 | #pragma location=".cyconfigecc" 49 | #else 50 | #error "Unsupported toolchain" 51 | #endif 52 | const uint8_t cy_meta_configecc[] = { 53 | 0x00u 54 | }; 55 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/cyutils.c: -------------------------------------------------------------------------------- 1 | /***************************************************************************//** 2 | * \file cyutils.c 3 | * \version 5.80 4 | * 5 | * \brief Provides a function to handle 24-bit value writes. 6 | * 7 | ******************************************************************************** 8 | * \copyright 9 | * Copyright 2008-2018, Cypress Semiconductor Corporation. All rights reserved. 10 | * You may use this file only in accordance with the license, terms, conditions, 11 | * disclaimers, and limitations in the end user license agreement accompanying 12 | * the software package with which this file was provided. 13 | *******************************************************************************/ 14 | 15 | #include "cytypes.h" 16 | 17 | #if (!CY_PSOC3) 18 | 19 | /*************************************************************************** 20 | * Function Name: CySetReg24 21 | ************************************************************************//** 22 | * 23 | * Writes a 24-bit value to the specified register. 24 | * 25 | * \param add The address where data must be written. 26 | * \param value The data that must be written. 27 | * 28 | * Reentrant: 29 | * No 30 | * 31 | ***************************************************************************/ 32 | void CySetReg24(uint32 volatile * addr, uint32 value) 33 | { 34 | uint8 volatile *tmpAddr; 35 | 36 | tmpAddr = (uint8 volatile *) addr; 37 | 38 | tmpAddr[0u] = (uint8) value; 39 | tmpAddr[1u] = (uint8) (value >> 8u); 40 | tmpAddr[2u] = (uint8) (value >> 16u); 41 | } 42 | 43 | 44 | #if(CY_PSOC4) 45 | 46 | /*************************************************************************** 47 | * Function Name: CyGetReg24 48 | ************************************************************************//** 49 | * 50 | * Reads the 24-bit value from the specified register. 51 | * 52 | * \param addr : the address where data must be read. 53 | * 54 | * Reentrant: 55 | * No 56 | * 57 | ***************************************************************************/ 58 | uint32 CyGetReg24(uint32 const volatile * addr) 59 | { 60 | uint8 const volatile *tmpAddr; 61 | uint32 value; 62 | 63 | tmpAddr = (uint8 const volatile *) addr; 64 | 65 | value = (uint32) tmpAddr[0u]; 66 | value |= ((uint32) tmpAddr[1u] << 8u ); 67 | value |= ((uint32) tmpAddr[2u] << 16u); 68 | 69 | return(value); 70 | } 71 | 72 | #endif /*(CY_PSOC4)*/ 73 | 74 | #endif /* (!CY_PSOC3) */ 75 | 76 | 77 | /* [] END OF FILE */ 78 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/lcpsoc3/index: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/lcpsoc3/index -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/liberty_reader.log: -------------------------------------------------------------------------------- 1 | ... including file device.lib 2 | Error: space must precede Colon (:) at line 2637 3 | Error: space must precede Colon (:) at line 2671 4 | Error: space must precede Colon (:) at line 2684 5 | Error: space must precede Colon (:) at line 2711 6 | Error: space must precede Colon (:) at line 2762 7 | Error: space must precede Colon (:) at line 2848 8 | Error: space must precede Colon (:) at line 2894 9 | Error: space must precede Colon (:) at line 2957 10 | Error: space must precede Colon (:) at line 3039 11 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/project_ids.txt: -------------------------------------------------------------------------------- 1 | C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit.cydsn\SCSI2SDKit.cyprj|aa2c7038-d804-4c3e-9cf6-4548ce33ae62 2 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\default\CyAnnotationLibrary\CyAnnotationLibrary.cylib\CyAnnotationLibrary.cyprj|ea6fc7b1-14c5-4746-85cb-5e1fe2175e1c 3 | C:\Users\r\Documents\PSoC Creator\4.2\Downloads ( 4.2).cylib\Downloads ( 4.2).cyprj|e2beeeee-c091-46a2-8231-6c79a286bc9a 4 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\cycomponentlibraryupdates\CyComponentLibraryUpdates.cylib\CyComponentLibraryUpdates.cyprj|e795bd05-ca12-404a-9f2f-3cca112c6b8d 5 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\CyComponentLibrary.cyprj|54614a88-49fb-4dcd-8b3a-bae37baf1310 6 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\CyPrimitives.cyprj|ca3b1572-0c67-42e6-a665-4bb1e211aada 7 | C:\Program Files (x86)\Cypress\PSoC Creator\4.2\PSoC Creator\psoc\content\default\CyReferenceLibrary\CyReferenceLibrary.cylib\CyReferenceLibrary.cyprj|0a4b5e2d-9669-4666-9883-5799ac17a856 8 | C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit_Copy_01.cydsn\SCSI2SDKit_Copy_01.cyprj|e2f61efe-c3d5-493e-8bef-960930d3be64 9 | C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SD.cydsn\SCSI2SD.cyprj|91a79eea-8427-4a11-a8f3-51abeba90569 10 | C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit_Copy_02.cydsn\SCSI2SDKit_Copy_02.cyprj|1b198ff5-bd04-4596-9f6d-9a2a6bc33ebf 11 | C:\Users\r\Documents\Data Sheets\apple\SCSI2SD\SCSI2SDKit_Copy_03.cydsn\SCSI2SDKit_Copy_03.cyprj|a91b6b1c-5c52-4a47-8f13-45dc66436b35 12 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/referenced_files.txt: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/codegentemp/warp_dependencies.txt: -------------------------------------------------------------------------------- 1 | C:/Program\ Files\ (x86)/Cypress/PSoC\ Creator/4.2/PSoC\ Creator/warp/lib/ieee/work/stdlogic.vif : 2 | 3 | C:/Program\ Files\ (x86)/Cypress/PSoC\ Creator/4.2/PSoC\ Creator/warp/lib/common/stdlogic/mod_genv.vif : 4 | 5 | C:/Program\ Files\ (x86)/Cypress/PSoC\ Creator/4.2/PSoC\ Creator/warp/lib/common/cypress.v : 6 | 7 | C:/Program\ Files\ (x86)/Cypress/PSoC\ Creator/4.2/PSoC\ Creator/warp/lib/common/cy_psoc3_inc.v : 8 | 9 | C:/Program\ Files\ (x86)/Cypress/PSoC\ Creator/4.2/PSoC\ Creator/warp/lib/common/stdlogic/rtlpkg.vif : 10 | 11 | C:/Program\ Files\ (x86)/Cypress/PSoC\ Creator/4.2/PSoC\ Creator/warp/lib/common/stdlogic/cy_psoc3.vif : 12 | 13 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/readme: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /creator/SCSI2SDKit_Copy_03.cydsn/scsiTarget/scsiTarget.cysym: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/creator/SCSI2SDKit_Copy_03.cydsn/scsiTarget/scsiTarget.cysym -------------------------------------------------------------------------------- /creator/readme: -------------------------------------------------------------------------------- 1 | The BUILD command takes around 1-2 minutes to execute, it finishes with 4 warnings and the message below. 2 | Der BUILD Befehl braucht etwa 1-2 Minuten zur Ausführung, er endet mit 4 Warnungen und der folgenden Meldung. 3 | 4 | Flash used: 88334 of 262144 bytes (33,7%). Bootloader: 17152 bytes. Application: 70926 bytes. Metadata: 256 bytes. 5 | SRAM used: 23589 of 65536 bytes (36,0%). Stack: 4096 bytes. Heap: 1024 bytes. 6 | 7 | Sound output is generated by interrupts. The source code for the interrupt service routine is contained in the Generated_Source folder. 8 | You need to build your project first, replace SOUND_ISR.c and SOUND_ISR.h by the files contained here in Generated_Source folder and rebuild. 9 | Special instructions prevent PSoC Creator from overwriting these modified files. 10 | Die Tonausgabe ist interruptgesteuert. Der Quellcode für die Interruproutine befindet sich im Ordner Generated_Source. 11 | Sie sollten zuerst das Projekt normal kompilieren (BUILD), die generierten SOUND_ISR.c und SOUND_ISR.h durch die beiden gleichnamigen Files aus dem Ordner Generated_Source hier auf Github ersetzen und nochmals kompilieren (BUILD). 12 | Spezielle Befehle verhindern, dass PSoC Creator diese modifizierten Files überschreibt. 13 | -------------------------------------------------------------------------------- /kicad/empty.kicad_wks: -------------------------------------------------------------------------------- 1 | (page_layout 2 | (setup (textsize 1.5 1.5)(linewidth 0.15)(textlinewidth 0.15) 3 | (left_margin 10)(right_margin 10)(top_margin 10)(bottom_margin 10)) 4 | (line (name segm1:Line) (start 0 0) (end 0 0)) 5 | ) 6 | -------------------------------------------------------------------------------- /kicad/readme: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/3,2-PAD.kicad_mod: -------------------------------------------------------------------------------- 1 | (module 3,2-PAD (layer F.Cu) (tedit 0) 2 | (descr "MOUNTING PAD 3.2 mm, round") 3 | (fp_text reference H4 (at 0 0) (layer F.SilkS) hide 4 | (effects (font (size 1.27 1.27) (thickness 0.15))) 5 | ) 6 | (fp_text value MOUNT-PAD-ROUND3.2 (at 0 0) (layer F.SilkS) hide 7 | (effects (font (size 1.27 1.27) (thickness 0.15))) 8 | ) 9 | (fp_arc (start 0 0) (end -2.159 0) (angle -90) (layer F.Fab) (width 2.4892)) 10 | (fp_arc (start 0 0) (end 0 -2.159) (angle 90) (layer F.Fab) (width 2.4892)) 11 | (fp_circle (center 0 0) (end 3.429 0) (layer F.SilkS) (width 0.1524)) 12 | (fp_circle (center 0 0) (end 0.762 0) (layer F.Fab) (width 0.4572)) 13 | (fp_circle (center 0 0) (end 3.683 0) (layer Dwgs.User) (width 1.27)) 14 | (fp_circle (center 0 0) (end 3.683 0) (layer Dwgs.User) (width 1.27)) 15 | (fp_circle (center 0 0) (end 3.556 0) (layer Dwgs.User) (width 1.016)) 16 | (fp_circle (center 0 0) (end 1.7 0) (layer F.SilkS) (width 0.1524)) 17 | (fp_text user 3,2 (at -1.27 3.81) (layer Cmts.User) 18 | (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify left bottom)) 19 | ) 20 | (pad B3,2 thru_hole circle (at 0 0) (size 5.842 5.842) (drill 3.2) (layers *.Cu *.Mask) 21 | (solder_mask_margin 0.0762)) 22 | ) 23 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/C0805K.kicad_mod: -------------------------------------------------------------------------------- 1 | (module C0805K (layer F.Cu) (tedit 0) 2 | (descr "Ceramic Chip Capacitor KEMET 0805 reflow solder

\nMetric Code Size 2012") 3 | (fp_text reference C2B (at -1 -0.875) (layer F.SilkS) 4 | (effects (font (size 0.9652 0.9652) (thickness 0.08128)) (justify left bottom)) 5 | ) 6 | (fp_text value "" (at -1 1.9) (layer F.Fab) 7 | (effects (font (size 0.9652 0.9652) (thickness 0.08128)) (justify left bottom)) 8 | ) 9 | (fp_line (start -0.925 -0.6) (end 0.925 -0.6) (layer F.Fab) (width 0.1016)) 10 | (fp_line (start 0.925 0.6) (end -0.925 0.6) (layer F.Fab) (width 0.1016)) 11 | (fp_poly (pts (xy -1 0.65) (xy -0.5 0.65) (xy -0.5 -0.65) (xy -1 -0.65)) (layer F.Fab) (width 0)) 12 | (fp_poly (pts (xy 0.5 0.65) (xy 1 0.65) (xy 1 -0.65) (xy 0.5 -0.65)) (layer F.Fab) (width 0)) 13 | (pad 1 smd rect (at -1 0) (size 1.3 1.6) (layers F.Cu F.Paste F.Mask) 14 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 15 | (pad 2 smd rect (at 1 0) (size 1.3 1.6) (layers F.Cu F.Paste F.Mask) 16 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 17 | ) 18 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/C1206K.kicad_mod: -------------------------------------------------------------------------------- 1 | (module C1206K (layer F.Cu) (tedit 0) 2 | (descr "Ceramic Chip Capacitor KEMET 1206 reflow solder

\nMetric Code Size 3216") 3 | (fp_text reference C7 (at -1.6 -1.1 -180) (layer F.SilkS) 4 | (effects (font (size 0.9652 0.9652) (thickness 0.077216)) (justify right top)) 5 | ) 6 | (fp_text value 10u (at -1.6 2.1) (layer F.Fab) hide 7 | (effects (font (size 0.9652 0.9652) (thickness 0.08128)) (justify left bottom)) 8 | ) 9 | (fp_line (start -1.525 -0.75) (end 1.525 -0.75) (layer F.Fab) (width 0.1016)) 10 | (fp_line (start 1.525 0.75) (end -1.525 0.75) (layer F.Fab) (width 0.1016)) 11 | (fp_poly (pts (xy -1.6 0.8) (xy -1.1 0.8) (xy -1.1 -0.8) (xy -1.6 -0.8)) (layer F.Fab) (width 0)) 12 | (fp_poly (pts (xy 1.1 0.8) (xy 1.6 0.8) (xy 1.6 -0.8) (xy 1.1 -0.8)) (layer F.Fab) (width 0)) 13 | (pad 1 smd rect (at -1.5 0) (size 1.5 2) (layers F.Cu F.Paste F.Mask) 14 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 15 | (pad 2 smd rect (at 1.5 0) (size 1.5 2) (layers F.Cu F.Paste F.Mask) 16 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 17 | ) 18 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/DO214AC.kicad_mod: -------------------------------------------------------------------------------- 1 | (module DO214AC (layer F.Cu) (tedit 0) 2 | (descr DIODE) 3 | (fp_text reference D1 (at -2.64 -1.286) (layer F.SilkS) 4 | (effects (font (size 1.2065 1.2065) (thickness 0.12065)) (justify left bottom)) 5 | ) 6 | (fp_text value "" (at -2.64 2.556) (layer F.Fab) 7 | (effects (font (size 1.2065 1.2065) (thickness 0.12065)) (justify left bottom)) 8 | ) 9 | (fp_line (start -2.3 -1) (end 2.3 -1) (layer F.Fab) (width 0.254)) 10 | (fp_line (start 2.3 -1) (end 2.3 0.95) (layer F.Fab) (width 0.254)) 11 | (fp_line (start 2.3 0.95) (end -2.3 0.95) (layer F.Fab) (width 0.254)) 12 | (fp_line (start -2.3 0.95) (end -2.3 -1) (layer F.Fab) (width 0.254)) 13 | (fp_line (start -0.8 0) (end 0.25 -0.75) (layer F.SilkS) (width 0.254)) 14 | (fp_line (start 0.25 -0.75) (end 0.25 0.7) (layer F.SilkS) (width 0.254)) 15 | (fp_line (start 0.25 0.7) (end -0.8 0) (layer F.SilkS) (width 0.254)) 16 | (fp_poly (pts (xy -2.65 0.7) (xy -2.4 0.7) (xy -2.4 -0.65) (xy -2.65 -0.65)) (layer F.Fab) (width 0)) 17 | (fp_poly (pts (xy 2.4 0.7) (xy 2.65 0.7) (xy 2.65 -0.65) (xy 2.4 -0.65)) (layer F.Fab) (width 0)) 18 | (fp_poly (pts (xy -1 1.05) (xy -0.7 1.05) (xy -0.7 -1.05) (xy -1 -1.05)) (layer F.SilkS) (width 0)) 19 | (pad A smd rect (at 2.05 0) (size 1.8 1.7) (layers F.Cu F.Paste F.Mask) 20 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 21 | (pad C smd rect (at -2.05 0) (size 1.8 1.7) (layers F.Cu F.Paste F.Mask) 22 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 23 | ) 24 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/DPACK.kicad_mod: -------------------------------------------------------------------------------- 1 | (module DPACK (layer F.Cu) (tedit 0) 2 | (descr "DPAK

\nPLASTIC PACKAGE CASE 369C-01
\nSource: http://www.onsemi.co.jp .. LM317M-D.PDF") 3 | (fp_text reference U2 (at -3.81 2.54 -90) (layer F.SilkS) 4 | (effects (font (size 1.2065 1.2065) (thickness 0.09652)) (justify right top)) 5 | ) 6 | (fp_text value "LDO 2.8V" (at 5.08 2.54 90) (layer F.Fab) hide 7 | (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify right top)) 8 | ) 9 | (fp_line (start 3.2766 -3.8354) (end 3.277 2.159) (layer F.SilkS) (width 0.2032)) 10 | (fp_line (start 3.277 2.159) (end -3.277 2.159) (layer F.SilkS) (width 0.2032)) 11 | (fp_line (start -3.277 2.159) (end -3.2766 -3.8354) (layer F.SilkS) (width 0.2032)) 12 | (fp_line (start -3.277 -3.835) (end 3.2774 -3.8346) (layer F.Fab) (width 0.2032)) 13 | (fp_line (start -2.5654 -3.937) (end -2.5654 -4.6482) (layer F.Fab) (width 0.2032)) 14 | (fp_line (start -2.5654 -4.6482) (end -2.1082 -5.1054) (layer F.Fab) (width 0.2032)) 15 | (fp_line (start -2.1082 -5.1054) (end 2.1082 -5.1054) (layer F.Fab) (width 0.2032)) 16 | (fp_line (start 2.1082 -5.1054) (end 2.5654 -4.6482) (layer F.Fab) (width 0.2032)) 17 | (fp_line (start 2.5654 -4.6482) (end 2.5654 -3.937) (layer F.Fab) (width 0.2032)) 18 | (fp_line (start 2.5654 -3.937) (end -2.5654 -3.937) (layer F.Fab) (width 0.2032)) 19 | (fp_poly (pts (xy -2.7178 5.1562) (xy -1.8542 5.1562) (xy -1.8542 2.2606) (xy -2.7178 2.2606)) (layer F.Fab) (width 0)) 20 | (fp_poly (pts (xy 1.8542 5.1562) (xy 2.7178 5.1562) (xy 2.7178 2.2606) (xy 1.8542 2.2606)) (layer F.Fab) (width 0)) 21 | (fp_poly (pts (xy -0.4318 3.0226) (xy 0.4318 3.0226) (xy 0.4318 2.2606) (xy -0.4318 2.2606)) (layer F.SilkS) (width 0)) 22 | (fp_poly (pts (xy -2.5654 -3.937) (xy -2.5654 -4.6482) (xy -2.1082 -5.1054) (xy 2.1082 -5.1054) 23 | (xy 2.5654 -4.6482) (xy 2.5654 -3.937)) (layer F.Fab) (width 0)) 24 | (pad 4 smd rect (at 0 -2.38) (size 5.8 6.2) (layers F.Cu F.Paste F.Mask) 25 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 26 | (pad 1 smd rect (at -2.28 4.8) (size 1.6 3) (layers F.Cu F.Paste F.Mask) 27 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 28 | (pad 3 smd rect (at 2.28 4.8) (size 1.6 3) (layers F.Cu F.Paste F.Mask) 29 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 30 | ) 31 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/M0805.kicad_mod: -------------------------------------------------------------------------------- 1 | (module M0805 (layer F.Cu) (tedit 0) 2 | (descr "RESISTOR

\nMELF 0.10 W") 3 | (fp_text reference R5 (at -1.27 -1.27 -180) (layer F.SilkS) 4 | (effects (font (size 1.2065 1.2065) (thickness 0.09652)) (justify right top)) 5 | ) 6 | (fp_text value 300R (at -1.27 2.54) (layer F.Fab) hide 7 | (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify right top)) 8 | ) 9 | (fp_line (start -1.973 -0.983) (end 1.973 -0.983) (layer Dwgs.User) (width 0.0508)) 10 | (fp_line (start 1.973 0.983) (end -1.973 0.983) (layer Dwgs.User) (width 0.0508)) 11 | (fp_line (start -1.973 0.983) (end -1.973 -0.983) (layer Dwgs.User) (width 0.0508)) 12 | (fp_line (start 1.973 -0.983) (end 1.973 0.983) (layer Dwgs.User) (width 0.0508)) 13 | (fp_line (start 0.7112 -0.635) (end -0.7112 -0.635) (layer F.Fab) (width 0.1524)) 14 | (fp_line (start 0.7112 0.635) (end -0.7112 0.635) (layer F.Fab) (width 0.1524)) 15 | (fp_poly (pts (xy -1.0414 0.7112) (xy -0.6858 0.7112) (xy -0.6858 -0.7112) (xy -1.0414 -0.7112)) (layer F.Fab) (width 0)) 16 | (fp_poly (pts (xy 0.6858 0.7112) (xy 1.0414 0.7112) (xy 1.0414 -0.7112) (xy 0.6858 -0.7112)) (layer F.Fab) (width 0)) 17 | (fp_poly (pts (xy -0.1999 0.5999) (xy 0.1999 0.5999) (xy 0.1999 -0.5999) (xy -0.1999 -0.5999)) (layer F.Adhes) (width 0)) 18 | (pad 1 smd rect (at -0.95 0) (size 1.3 1.6) (layers F.Cu F.Paste F.Mask) 19 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 20 | (pad 2 smd rect (at 0.95 0) (size 1.3 1.6) (layers F.Cu F.Paste F.Mask) 21 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 22 | ) 23 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/R1206.kicad_mod: -------------------------------------------------------------------------------- 1 | (module R1206 (layer F.Cu) (tedit 0) 2 | (descr RESISTOR) 3 | (fp_text reference F1B (at -1.27 -1.27) (layer F.SilkS) hide 4 | (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify right top)) 5 | ) 6 | (fp_text value "" (at -1.27 2.54 -180) (layer F.Fab) 7 | (effects (font (size 1.2065 1.2065) (thickness 0.09652)) (justify right top)) 8 | ) 9 | (fp_line (start 0.9525 0.8128) (end -0.9652 0.8128) (layer F.Fab) (width 0.1524)) 10 | (fp_line (start 0.9525 -0.8128) (end -0.9652 -0.8128) (layer F.Fab) (width 0.1524)) 11 | (fp_line (start -2.473 -0.983) (end 2.473 -0.983) (layer Dwgs.User) (width 0.0508)) 12 | (fp_line (start 2.473 -0.983) (end 2.473 0.983) (layer Dwgs.User) (width 0.0508)) 13 | (fp_line (start 2.473 0.983) (end -2.473 0.983) (layer Dwgs.User) (width 0.0508)) 14 | (fp_line (start -2.473 0.983) (end -2.473 -0.983) (layer Dwgs.User) (width 0.0508)) 15 | (fp_poly (pts (xy -1.6891 0.8763) (xy -0.9525 0.8763) (xy -0.9525 -0.8763) (xy -1.6891 -0.8763)) (layer F.Fab) (width 0)) 16 | (fp_poly (pts (xy 0.9525 0.8763) (xy 1.6891 0.8763) (xy 1.6891 -0.8763) (xy 0.9525 -0.8763)) (layer F.Fab) (width 0)) 17 | (fp_poly (pts (xy -0.3 0.7) (xy 0.3 0.7) (xy 0.3 -0.7) (xy -0.3 -0.7)) (layer F.Adhes) (width 0)) 18 | (pad 2 smd rect (at 1.422 0) (size 1.6 1.803) (layers F.Cu F.Paste F.Mask) 19 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 20 | (pad 1 smd rect (at -1.422 0) (size 1.6 1.803) (layers F.Cu F.Paste F.Mask) 21 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 22 | ) 23 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/SJ.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SJ (layer F.Cu) (tedit 0) 2 | (descr "Solder jumper") 3 | (fp_text reference SJ2 (at -1.651 -1.143) (layer F.SilkS) 4 | (effects (font (size 1.2065 1.2065) (thickness 0.127)) (justify left bottom)) 5 | ) 6 | (fp_text value "" (at 0.4001 0) (layer F.Fab) 7 | (effects (font (size 0.019 0.019) (thickness 0.0016)) (justify left bottom)) 8 | ) 9 | (fp_line (start 1.397 1.016) (end -1.397 1.016) (layer F.SilkS) (width 0.1524)) 10 | (fp_arc (start 1.397 -0.762) (end 1.397 -1.016) (angle 90) (layer F.SilkS) (width 0.1524)) 11 | (fp_arc (start -1.397 -0.762) (end -1.651 -0.762) (angle 90) (layer F.SilkS) (width 0.1524)) 12 | (fp_arc (start -1.397 0.762) (end -1.651 0.762) (angle -90) (layer F.SilkS) (width 0.1524)) 13 | (fp_arc (start 1.397 0.762) (end 1.397 1.016) (angle -90) (layer F.SilkS) (width 0.1524)) 14 | (fp_line (start 1.651 0.762) (end 1.651 -0.762) (layer F.SilkS) (width 0.1524)) 15 | (fp_line (start -1.651 0.762) (end -1.651 -0.762) (layer F.SilkS) (width 0.1524)) 16 | (fp_line (start -1.397 -1.016) (end 1.397 -1.016) (layer F.SilkS) (width 0.1524)) 17 | (fp_line (start 1.016 0) (end 1.524 0) (layer F.Fab) (width 0.1524)) 18 | (fp_line (start -1.016 0) (end -1.524 0) (layer F.Fab) (width 0.1524)) 19 | (fp_arc (start -0.254 0) (end -0.254 0.127) (angle 180) (layer F.Fab) (width 1.27)) 20 | (fp_arc (start 0.254 0) (end 0.254 -0.127) (angle 180) (layer F.Fab) (width 1.27)) 21 | (fp_poly (pts (xy -0.0762 0.9144) (xy 0.0762 0.9144) (xy 0.0762 -0.9144) (xy -0.0762 -0.9144)) (layer F.Mask) (width 0)) 22 | (pad 1 smd rect (at -0.762 0) (size 1.1684 1.6002) (layers F.Cu F.Paste F.Mask) 23 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 24 | (pad 2 smd rect (at 0.762 0) (size 1.1684 1.6002) (layers F.Cu F.Paste F.Mask) 25 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 26 | ) 27 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/SMC.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SMC (layer F.Cu) (tedit 0) 2 | (descr DIODE) 3 | (fp_text reference D1SMC (at -3.459 -3.359) (layer F.SilkS) hide 4 | (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify left bottom)) 5 | ) 6 | (fp_text value "" (at -3.459 4.629) (layer F.Fab) 7 | (effects (font (size 1.2065 1.2065) (thickness 0.09652)) (justify left bottom)) 8 | ) 9 | (fp_line (start -3.5606 -3.105) (end 3.5606 -3.105) (layer F.SilkS) (width 0.1016)) 10 | (fp_line (start -3.5606 3.105) (end 3.5606 3.105) (layer F.SilkS) (width 0.1016)) 11 | (fp_line (start -3.5606 3.105) (end -3.5606 -3.105) (layer F.Fab) (width 0.1016)) 12 | (fp_line (start 3.5606 3.105) (end 3.5606 -3.105) (layer F.Fab) (width 0.1016)) 13 | (fp_line (start 0.543 -1) (end -0.83 0) (layer F.SilkS) (width 0.2032)) 14 | (fp_line (start -0.83 0) (end 0.543 1) (layer F.SilkS) (width 0.2032)) 15 | (fp_line (start 0.543 1) (end 0.543 -1) (layer F.SilkS) (width 0.2032)) 16 | (fp_poly (pts (xy -4.094 1.0922) (xy -3.5606 1.0922) (xy -3.5606 -1.0922) (xy -4.094 -1.0922)) (layer F.Fab) (width 0)) 17 | (fp_poly (pts (xy 3.5606 1.0922) (xy 4.094 1.0922) (xy 4.094 -1.0922) (xy 3.5606 -1.0922)) (layer F.Fab) (width 0)) 18 | (fp_poly (pts (xy -2.1 3.1) (xy -0.85 3.1) (xy -0.85 -3.1) (xy -2.1 -3.1)) (layer F.SilkS) (width 0)) 19 | (pad C smd rect (at -3.7 0) (size 2.8 3.8) (layers F.Cu F.Paste F.Mask) 20 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 21 | (pad A smd rect (at 3.7 0) (size 2.8 3.8) (layers F.Cu F.Paste F.Mask) 22 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 23 | ) 24 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/TE5.kicad_mod: -------------------------------------------------------------------------------- 1 | (module TE5 (layer F.Cu) (tedit 0) 2 | (descr "FUSE

\nWickmann") 3 | (fp_text reference F1 (at -4.191 -2.54) (layer F.SilkS) 4 | (effects (font (size 1.2065 1.2065) (thickness 0.127)) (justify right top)) 5 | ) 6 | (fp_text value "" (at -4.318 3.81) (layer F.Fab) 7 | (effects (font (size 1.2065 1.2065) (thickness 0.127)) (justify right top)) 8 | ) 9 | (fp_arc (start 3.683 -1.397) (end 3.683 -2.032) (angle 90) (layer F.SilkS) (width 0.1524)) 10 | (fp_arc (start 3.683 1.397) (end 3.683 2.032) (angle -90) (layer F.SilkS) (width 0.1524)) 11 | (fp_arc (start -3.683 1.397) (end -4.318 1.397) (angle -90) (layer F.SilkS) (width 0.1524)) 12 | (fp_arc (start -3.683 -1.397) (end -4.318 -1.397) (angle 90) (layer F.SilkS) (width 0.1524)) 13 | (fp_line (start 3.683 -2.032) (end -3.683 -2.032) (layer F.SilkS) (width 0.1524)) 14 | (fp_line (start -4.318 -1.397) (end -4.318 1.397) (layer F.SilkS) (width 0.1524)) 15 | (fp_line (start -3.683 2.032) (end 3.683 2.032) (layer F.SilkS) (width 0.1524)) 16 | (fp_line (start 4.318 1.397) (end 4.318 -1.397) (layer F.SilkS) (width 0.1524)) 17 | (fp_line (start -2.159 0) (end 2.159 0) (layer F.Fab) (width 0.1524)) 18 | (fp_line (start -1.27 -0.381) (end -1.27 0.381) (layer F.Fab) (width 0.1524)) 19 | (fp_line (start -1.27 0.381) (end 1.27 0.381) (layer F.Fab) (width 0.1524)) 20 | (fp_line (start 1.27 -0.381) (end 1.27 0.381) (layer F.Fab) (width 0.1524)) 21 | (fp_line (start 1.27 -0.381) (end -1.27 -0.381) (layer F.Fab) (width 0.1524)) 22 | (pad 1 thru_hole oval (at -2.54 0 90) (size 3.048 1.524) (drill 1.016) (layers *.Cu *.Mask) 23 | (solder_mask_margin 0.0762)) 24 | (pad 2 thru_hole oval (at 2.54 0 90) (size 3.048 1.524) (drill 1.016) (layers *.Cu *.Mask) 25 | (solder_mask_margin 0.0762)) 26 | ) 27 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pretty/TP20R.kicad_mod: -------------------------------------------------------------------------------- 1 | (module TP20R (layer F.Cu) (tedit 0) 2 | (descr "TEST PAD") 3 | (fp_text reference TP8 (at -1 -1.05) (layer F.SilkS) 4 | (effects (font (size 1.2065 1.2065) (thickness 0.1016)) (justify left bottom)) 5 | ) 6 | (fp_text value TPTP20R (at -0.762 1.016) (layer F.Fab) 7 | (effects (font (size 0.02413 0.02413) (thickness 0.002032)) (justify left bottom)) 8 | ) 9 | (fp_text user >TP_SIGNAL_NAME (at 0 2.54) (layer Dwgs.User) 10 | (effects (font (size 0.95 0.95) (thickness 0.08)) (justify left bottom)) 11 | ) 12 | (pad TP smd roundrect (at 0 0) (size 2 2) (layers F.Cu F.Mask) (roundrect_rratio 0.5) 13 | (solder_mask_margin 0.0762) (solder_paste_margin -0.0762)) 14 | ) 15 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit10.pro: -------------------------------------------------------------------------------- 1 | update=05.01.2019 13:54:46 2 | version=1 3 | last_client=kicad 4 | [general] 5 | version=1 6 | RootSch= 7 | BoardNm= 8 | [pcbnew] 9 | version=1 10 | LastNetListRead= 11 | UseCmpFile=1 12 | PadDrill=0.600000000000 13 | PadDrillOvalY=0.600000000000 14 | PadSizeH=1.500000000000 15 | PadSizeV=1.500000000000 16 | PcbTextSizeV=1.500000000000 17 | PcbTextSizeH=1.500000000000 18 | PcbTextThickness=0.300000000000 19 | ModuleTextSizeV=1.000000000000 20 | ModuleTextSizeH=1.000000000000 21 | ModuleTextSizeThickness=0.150000000000 22 | SolderMaskClearance=0.000000000000 23 | SolderMaskMinWidth=0.000000000000 24 | DrawSegmentWidth=0.200000000000 25 | BoardOutlineThickness=0.100000000000 26 | ModuleOutlineThickness=0.150000000000 27 | [cvpcb] 28 | version=1 29 | NetIExt=net 30 | [eeschema] 31 | version=1 32 | LibDir= 33 | [eeschema/libraries] 34 | [schematic_editor] 35 | version=1 36 | PageLayoutDescrFile=empty.kicad_wks 37 | PlotDirectoryName= 38 | SubpartIdSeparator=0 39 | SubpartFirstId=65 40 | NetFmtName= 41 | SpiceAjustPassiveValues=0 42 | LabSize=50 43 | ERC_TestSimilarLabels=1 44 | -------------------------------------------------------------------------------- /kicad/scsi2sdkit11.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/kicad/scsi2sdkit11.pdf -------------------------------------------------------------------------------- /kicad/sym-lib-table: -------------------------------------------------------------------------------- 1 | (sym_lib_table 2 | (lib (name scsi2sdkit10-eagle-import)(type Legacy)(uri ${KIPRJMOD}/scsi2sdkit10-eagle-import.lib)(options "")(descr "")) 3 | ) 4 | -------------------------------------------------------------------------------- /scsi2sd-monitor.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/scsi2sd-monitor.exe -------------------------------------------------------------------------------- /scsi2sd-util.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/eltradec/SCSI2SD-Mod/c89a572c532da3cf5597020e8fdf41d585332283/scsi2sd-util.exe -------------------------------------------------------------------------------- /src/bits.c: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2013 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | 18 | #include "bits.h" 19 | 20 | const uint8 Lookup_OddParity[] = 21 | { 22 | 1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, 23 | 0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, 24 | 0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, 25 | 1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, 26 | 0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, 27 | 1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, 28 | 1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, 29 | 0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, 30 | 0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, 31 | 1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, 32 | 1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, 33 | 0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, 34 | 1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, 35 | 0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, 36 | 0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, 37 | 1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1 38 | }; 39 | 40 | uint8 countBits(uint8 value) 41 | { 42 | int i; 43 | for (i = 0; value; value >>= 1) 44 | { 45 | i += value & 1; 46 | } 47 | return i; 48 | } -------------------------------------------------------------------------------- /src/bits.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2013 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef BITS_H 18 | #define BITS_H 19 | 20 | #include "device.h" 21 | 22 | // Contains the odd-parity flag for a given 8-bit value. 23 | extern const uint8 Lookup_OddParity[256]; 24 | 25 | uint8 countBits(uint8 value); 26 | 27 | #endif 28 | -------------------------------------------------------------------------------- /src/cdrom.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2014 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef CDROM_H 18 | #define CDROM_H 19 | 20 | int scsiCDRomCommand(void); 21 | 22 | #endif 23 | -------------------------------------------------------------------------------- /src/config.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2013 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef Config_H 18 | #define Config_H 19 | 20 | #include "device.h" 21 | #include "scsi2sd.h" 22 | 23 | void configInit(BoardConfig* config); 24 | void debugInit(void); 25 | void configPoll(void); 26 | void configSave(int scsiId, uint16_t byesPerSector); 27 | 28 | const TargetConfig* getConfigByIndex(int index); 29 | const TargetConfig* getConfigById(int scsiId); 30 | 31 | #endif 32 | -------------------------------------------------------------------------------- /src/debug.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2015 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef Debug_H 18 | #define Debug_H 19 | 20 | void debugInit(void); 21 | void debugPause(void); 22 | void debugResume(void); 23 | int isDebugEnabled(void); 24 | 25 | #endif 26 | 27 | -------------------------------------------------------------------------------- /src/device.h: -------------------------------------------------------------------------------- 1 | /******************************************************************************* 2 | * This file is automatically generated by PSoC Creator 3 | * and should not be edited by hand. 4 | * 5 | * This file is necessary for your project to build. 6 | * Please do not delete it. 7 | ******************************************************************************** 8 | * Copyright 2008-2011, Cypress Semiconductor Corporation. All rights reserved. 9 | * You may use this file only in accordance with the license, terms, conditions, 10 | * disclaimers, and limitations in the end user license agreement accompanying 11 | * the software package with which this file was provided. 12 | *******************************************************************************/ 13 | #ifndef DEVICE_H 14 | #define DEVICE_H 15 | #include 16 | 17 | #endif 18 | /* [] END OF FILE */ 19 | -------------------------------------------------------------------------------- /src/diagnostic.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2013 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef DIAGNOSTIC_H 18 | #define DIAGNOSTIC_H 19 | 20 | void scsiSendDiagnostic(void); 21 | void scsiReceiveDiagnostic(void); 22 | void scsiWriteBuffer(void); 23 | void scsiWriteSectorBuffer(void); 24 | void scsiReadBuffer(void); 25 | 26 | #endif 27 | -------------------------------------------------------------------------------- /src/disk.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2013 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef DISK_H 18 | #define DISK_H 19 | 20 | typedef enum 21 | { 22 | DISK_STARTED = 1, // Controlled via START STOP UNIT 23 | DISK_PRESENT = 2, // SD card is physically present 24 | DISK_INITIALISED = 4, // SD card responded to init sequence 25 | DISK_WP = 8 // Write-protect. 26 | } DISK_STATE; 27 | 28 | typedef enum 29 | { 30 | TRANSFER_READ, 31 | TRANSFER_WRITE 32 | } TRANSFER_DIR; 33 | 34 | typedef struct 35 | { 36 | int state; 37 | } BlockDevice; 38 | 39 | typedef struct 40 | { 41 | int multiBlock; // True if we're using a multi-block SPI transfer. 42 | uint32 lba; 43 | uint32 blocks; 44 | 45 | uint32 currentBlock; 46 | } Transfer; 47 | 48 | extern BlockDevice blockDev; 49 | extern Transfer transfer; 50 | 51 | void scsiDiskInit(void); 52 | void scsiDiskReset(void); 53 | void scsiDiskPoll(void); 54 | int scsiDiskCommand(void); 55 | 56 | #endif 57 | -------------------------------------------------------------------------------- /src/geometry.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2013 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef GEOMETRY_H 18 | #define GEOMETRY_H 19 | 20 | #include "device.h" 21 | 22 | #include "config.h" 23 | #include "sd.h" 24 | 25 | typedef enum 26 | { 27 | ADDRESS_BLOCK = 0, 28 | ADDRESS_PHYSICAL_BYTE = 4, 29 | ADDRESS_PHYSICAL_SECTOR = 5 30 | } SCSI_ADDRESS_FORMAT; 31 | 32 | static inline int SDSectorsPerSCSISector(uint16_t bytesPerSector) 33 | { 34 | return (bytesPerSector + SD_SECTOR_SIZE - 1) / SD_SECTOR_SIZE; 35 | } 36 | 37 | uint32_t getScsiCapacity( 38 | uint32_t sdSectorStart, 39 | uint16_t bytesPerSector, 40 | uint32_t scsiSectors); 41 | 42 | uint32_t SCSISector2SD( 43 | uint32_t sdSectorStart, 44 | uint16_t bytesPerSector, 45 | uint32_t scsiSector); 46 | 47 | uint64_t CHS2LBA( 48 | uint32_t c, 49 | uint8_t h, 50 | uint32_t s, 51 | uint16_t headsPerCylinder, 52 | uint16_t sectorsPerTrack); 53 | void LBA2CHS( 54 | uint32_t lba, 55 | uint32_t* c, 56 | uint8_t* h, 57 | uint32_t* s, 58 | uint16_t headsPerCylinder, 59 | uint16_t sectorsPerTrack); 60 | 61 | // Convert an address in the given SCSI_ADDRESS_FORMAT to 62 | // a linear byte address. 63 | // addr must be >= 8 bytes. 64 | uint64_t scsiByteAddress( 65 | uint16_t bytesPerSector, 66 | uint16_t headsPerCylinder, 67 | uint16_t sectorsPerTrack, 68 | int format, 69 | const uint8_t* addr); 70 | void scsiSaveByteAddress( 71 | uint16_t bytesPerSector, 72 | uint16_t headsPerCylinder, 73 | uint16_t sectorsPerTrack, 74 | int format, 75 | uint64_t byteAddr, 76 | uint8_t* buf); 77 | 78 | 79 | #endif 80 | -------------------------------------------------------------------------------- /src/include/hidpacket.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2014 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | 18 | // Library for sending packet data over a USB HID connection. 19 | // Supports reassembly of packets larger than the HID packet, 20 | 21 | #ifdef __cplusplus 22 | extern "C" { 23 | #endif 24 | 25 | #define USBHID_LEN 64 26 | 27 | // Maximum packet payload length. Must be large enough to support a flash row 28 | // + flash array index + flash row index 29 | #define HIDPACKET_MAX_LEN 260 30 | 31 | #include 32 | #include 33 | 34 | // The first byte of each HID packet contains the hid chunk number. 35 | // High-bit indicates a final chunk. 36 | // The second byte of each HID packet contains the payload length. 37 | 38 | // Call this with HID bytes received. len <= USBHID_LEN 39 | void hidPacket_recv(const uint8_t* bytes, size_t len); 40 | 41 | // Returns the received packet contents, or NULL if a complete packet isn't 42 | // available. 43 | const uint8_t* hidPacket_getPacket(size_t* len); 44 | 45 | // Call this with packet data to send. len <= USBHID_LEN 46 | // Overwrites any packet currently being sent. 47 | void hidPacket_send(const uint8_t* bytes, size_t len); 48 | 49 | // Returns USBHID_LEN bytes to send in the next HID packet, or 50 | // NULL if there's nothing to send. 51 | const uint8_t* hidPacket_getHIDBytes(uint8_t* hidBuffer); 52 | 53 | #ifdef __cplusplus 54 | } // extern "C" 55 | #endif 56 | 57 | -------------------------------------------------------------------------------- /src/inquiry.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2013 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef INQUIRY_H 18 | #define INQUIRY_H 19 | 20 | void scsiInquiry(void); 21 | 22 | uint8_t getDeviceTypeQualifier(void); 23 | 24 | #endif 25 | -------------------------------------------------------------------------------- /src/led.c: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2014 Michael McMaster 2 | // Modified 2018 (or timestamp yymmdd) Robert Matyschok . 18 | 19 | #include "led.h" 20 | 21 | // External LED support only exists on the 3.5" v4 board. 22 | // The powerbook v4 board ties the pin to ground. 23 | // The v3 boards do not have any such pin. 24 | // #ifdef EXTLED_CTL 25 | // #define HAVE_EXTLED 1 26 | // #endif 27 | 28 | // #ifdef HAVE_EXTLED 29 | // static int enable_EXTLED = 0; 30 | // #endif 31 | 32 | void ledInit() 33 | { 34 | LED1_SetDriveMode(LED1_DM_STRONG); 35 | // RM LED1 strong drive, never change 36 | // #ifdef HAVE_EXTLED 37 | // EXTLED_SetDriveMode(EXTLED_DM_RES_UP); 38 | // EXTLED_Write(1); // Will pull-up through resistor. 39 | 40 | // CyDelayUs(1); 41 | // int val = EXTLED_Read(); 42 | // if (val) 43 | // { 44 | // Pin is not tied to ground, so it's safe to use. 45 | // enable_EXTLED = 1; 46 | // EXTLED_SetDriveMode(EXTLED_DM_STRONG); 47 | // } 48 | // else 49 | // { 50 | // Pin is tied to ground. Using it would damage hardware 51 | // This is the case for the powerbook boards. 52 | // enable_EXTLED = 0; 53 | // EXTLED_SetDriveMode(EXTLED_DM_DIG_HIZ); 54 | 55 | // } 56 | // #endif 57 | ledOff(); 58 | } 59 | 60 | void ledOn() 61 | { 62 | LED1_Write(1); 63 | // RM changed from 0 to 1, Kit LED is tied to GND 64 | 65 | // #ifdef HAVE_EXTLED 66 | // if (enable_EXTLED) 67 | // { 68 | // EXTLED_Write(1); 69 | // } 70 | // #endif 71 | } 72 | 73 | void ledOff() 74 | { 75 | LED1_Write(0); 76 | // RM changed from 1 to 0, Kit LED is tied to GND 77 | 78 | // #ifdef HAVE_EXTLED 79 | // EXTLED_Write(0); 80 | // #endif 81 | } 82 | -------------------------------------------------------------------------------- /src/led.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2013 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef LED_H 18 | #define LED_H 19 | 20 | #include "device.h" 21 | 22 | void ledInit(void); 23 | void ledOn(void); 24 | void ledOff(void); 25 | 26 | #endif 27 | -------------------------------------------------------------------------------- /src/mo.c: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2015 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | 18 | #include "device.h" 19 | #include "scsi.h" 20 | #include "config.h" 21 | #include "mo.h" 22 | 23 | 24 | // Handle magneto-optical scsi device commands 25 | int scsiMOCommand() 26 | { 27 | int commandHandled = 0; 28 | 29 | uint8 command = scsiDev.cdb[0]; 30 | if ((command == 0x2C) || // ERASE(10) 31 | (command == 0xAC)) // ERASE(12) 32 | { 33 | // TODO consider sending an erase command to the SD card. 34 | 35 | commandHandled = 1; 36 | } 37 | 38 | return commandHandled; 39 | } -------------------------------------------------------------------------------- /src/mo.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2015 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef MO_H 18 | #define MO_H 19 | 20 | int scsiMOCommand(void); 21 | 22 | #endif 23 | -------------------------------------------------------------------------------- /src/mode.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2013 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef MODE_H 18 | #define MODE_H 19 | 20 | int scsiModeCommand(void); 21 | 22 | #endif 23 | -------------------------------------------------------------------------------- /src/readme: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /src/sd.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2013 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef SD_H 18 | #define SD_H 19 | 20 | #define SD_SECTOR_SIZE 512 21 | 22 | typedef enum 23 | { 24 | SD_GO_IDLE_STATE = 0, 25 | SD_SEND_OP_COND = 1, 26 | SD_SEND_IF_COND = 8, // SD V2 27 | SD_SEND_CSD = 9, 28 | SD_SEND_CID = 10, 29 | SD_STOP_TRANSMISSION = 12, 30 | SD_SEND_STATUS = 13, 31 | SD_SET_BLOCKLEN = 16, 32 | SD_READ_SINGLE_BLOCK = 17, 33 | SD_READ_MULTIPLE_BLOCK = 18, 34 | SD_APP_SET_WR_BLK_ERASE_COUNT = 23, 35 | SD_WRITE_MULTIPLE_BLOCK = 25, 36 | SD_APP_SEND_OP_COND = 41, 37 | SD_APP_CMD = 55, 38 | SD_READ_OCR = 58, 39 | SD_CRC_ON_OFF = 59 40 | } SD_CMD; 41 | 42 | typedef enum 43 | { 44 | SD_R1_IDLE = 1, 45 | SD_R1_ERASE_RESET = 2, 46 | SD_R1_ILLEGAL = 4, 47 | SD_R1_CRC = 8, 48 | SD_R1_ERASE_SEQ = 0x10, 49 | SD_R1_ADDRESS = 0x20, 50 | SD_R1_PARAMETER = 0x40 51 | } SD_R1; 52 | 53 | typedef struct 54 | { 55 | int version; // SDHC = version 2. 56 | int ccs; // Card Capacity Status. 1 = SDHC or SDXC 57 | uint32 capacity; // in 512 byte blocks 58 | 59 | uint8_t csd[16]; // Unparsed CSD 60 | uint8_t cid[16]; // Unparsed CID 61 | } SdDevice; 62 | 63 | extern SdDevice sdDev; 64 | extern volatile uint8_t sdRxDMAComplete; 65 | extern volatile uint8_t sdTxDMAComplete; 66 | 67 | int sdInit(void); 68 | 69 | #define sdDMABusy() (!(sdRxDMAComplete && sdTxDMAComplete)) 70 | 71 | void sdWriteMultiSectorPrep(uint32_t sdLBA, uint32_t sdSectors); 72 | void sdWriteMultiSectorDMA(uint8_t* outputBuffer); 73 | int sdWriteSectorDMAPoll(); 74 | 75 | void sdReadMultiSectorPrep(uint32_t sdLBA, uint32_t sdSectors); 76 | void sdReadMultiSectorDMA(uint8_t* outputBuffer); 77 | void sdReadSingleSectorDMA(uint32_t lba, uint8_t* outputBuffer); 78 | int sdReadSectorDMAPoll(); 79 | 80 | void sdCompleteTransfer(void); 81 | void sdCheckPresent(); 82 | void sdPoll(); 83 | 84 | #endif 85 | -------------------------------------------------------------------------------- /src/tape.c: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2015 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | 18 | #include "device.h" 19 | #include "scsi.h" 20 | #include "config.h" 21 | #include "tape.h" 22 | 23 | // Handle sequential scsi device commands 24 | int scsiTapeCommand() 25 | { 26 | // TODO handle tape-specific read/write commands and return 1 27 | 28 | return 0; 29 | } -------------------------------------------------------------------------------- /src/tape.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2015 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef TAPE_H 18 | #define TAPE_H 19 | 20 | int scsiTapeCommand(void); 21 | 22 | #endif 23 | -------------------------------------------------------------------------------- /src/time.c: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2014 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | 18 | #include "time.h" 19 | #include "limits.h" 20 | 21 | static volatile uint32_t counter = 0; 22 | 23 | CY_ISR_PROTO(TickISR); 24 | CY_ISR(TickISR) 25 | { 26 | // Should be atomic at 32bit word size. Limits runtime to 49 days. 27 | ++counter; 28 | } 29 | 30 | void timeInit() 31 | { 32 | // Interrupt 15. SysTick_IRQn is -1. 33 | // The SysTick timer is integrated into the Arm Cortex M3 34 | CyIntSetSysVector((SysTick_IRQn + 16), TickISR); 35 | 36 | // Ensure the cycle count is < 24bit. 37 | // At 50MHz bus clock, counter is 50000. 38 | SysTick_Config((BCLK__BUS_CLK__HZ + 999u) / 1000u); 39 | } 40 | 41 | uint32_t getTime_ms() 42 | { 43 | return counter; 44 | } 45 | 46 | uint32_t diffTime_ms(uint32_t start, uint32_t end) 47 | { 48 | if (end >= start) 49 | { 50 | return end - start; 51 | } 52 | else 53 | { 54 | return (UINT_MAX - start) + end; 55 | } 56 | } 57 | 58 | uint32_t elapsedTime_ms(uint32_t since) 59 | { 60 | uint32_t now = counter; 61 | if (now >= since) 62 | { 63 | return now - since; 64 | } 65 | else 66 | { 67 | return (UINT_MAX - since) + now; 68 | } 69 | } -------------------------------------------------------------------------------- /src/time.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2014 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef TIME_H 18 | #define TIME_H 19 | 20 | #include "device.h" 21 | 22 | void timeInit(void); 23 | uint32_t getTime_ms(void); // Returns milliseconds since init 24 | uint32_t diffTime_ms(uint32_t start, uint32_t end); 25 | uint32_t elapsedTime_ms(uint32_t since); 26 | 27 | #endif 28 | -------------------------------------------------------------------------------- /src/trace.c: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2015 James Laird-Wah 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | 18 | #include 19 | #include 20 | #include 21 | #include 22 | #include 23 | #include "trace.h" 24 | 25 | // configure desired baud rate on the SWV pin. 26 | // up to the lower of CPU_clk/2 or 33MHz 27 | #define BAUD_RATE 921600 28 | 29 | // Cortex-M3 Trace Port Interface Unit (TPIU) 30 | #define TPIU_BASE 0xe0040000 31 | #define MMIO32(addr) *((volatile uint32_t*)(addr)) 32 | #define TPIU_SSPSR MMIO32(TPIU_BASE + 0x000) 33 | #define TPIU_CSPSR MMIO32(TPIU_BASE + 0x004) 34 | #define TPIU_ACPR MMIO32(TPIU_BASE + 0x010) 35 | #define TPIU_SPPR MMIO32(TPIU_BASE + 0x0F0) 36 | #define TPIU_FFSR MMIO32(TPIU_BASE + 0x300) 37 | #define TPIU_FFCR MMIO32(TPIU_BASE + 0x304) 38 | 39 | #define TPIU_CSPSR_BYTE (1 << 0) 40 | #define TPIU_CSPSR_HALFWORD (1 << 1) 41 | #define TPIU_CSPSR_WORD (1 << 3) 42 | 43 | #define TPIU_SPPR_SYNC (0x0) 44 | #define TPIU_SPPR_ASYNC_MANCHESTER (0x1) 45 | #define TPIU_SPPR_ASYNC_NRZ (0x2) 46 | 47 | #define TPIU_FFCR_ENFCONT (1 << 1) 48 | 49 | uint8_t LastTrace; 50 | 51 | void traceInit(void) { 52 | // enable the trace module clocks 53 | CoreDebug->DEMCR |= CoreDebug_DEMCR_TRCENA_Msk; 54 | 55 | // set SWV clock = CPU clock / 2, and enable 56 | CY_SET_REG8(CYDEV_MFGCFG_MLOGIC_DEBUG, 0xc); // swv_clk_sel = CPU_clk / 2, swv_clk enable 57 | 58 | // unlock the ETM/TPIU registers 59 | *((volatile uint32_t*)0xE0000FB0) = 0xC5ACCE55; 60 | 61 | // NRZ is "UART mode" 62 | TPIU_SPPR = TPIU_SPPR_ASYNC_NRZ; 63 | // prescaler, 0 = divide by 1 64 | TPIU_ACPR = (BCLK__BUS_CLK__HZ/2/BAUD_RATE) - 1; 65 | // can write 1, 2 or 4 byte ports 66 | TPIU_CSPSR = TPIU_CSPSR_BYTE; 67 | 68 | // bypass formatter (puts sync & stuff in otherwise) 69 | TPIU_FFCR &= ~TPIU_FFCR_ENFCONT; 70 | // enable ITM, enable the first 2 stimulus ports 71 | ITM->TCR = ITM_TCR_ITMENA_Msk; 72 | ITM->TER = 0x3; 73 | 74 | trace(trace_begin); 75 | } 76 | -------------------------------------------------------------------------------- /src/trace.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2015 James Laird-Wah 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | 18 | extern uint8_t LastTrace; 19 | 20 | // Trace event IDs to be output. 1 and 9 are generated as headers on ports 0 21 | // and 1 respectively, and should not be used. 22 | enum trace_event { 23 | trace_begin = 0, 24 | 25 | // function entries - SCSI 26 | trace_scsiRxCompleteISR = 0x50, 27 | trace_scsiTxCompleteISR, 28 | trace_scsiResetISR, 29 | trace_doRxSingleDMA, 30 | trace_doTxSingleDMA, 31 | trace_scsiPhyReset, 32 | 33 | // spin loops - SCSI 34 | trace_spinTxComplete = 0x20, 35 | trace_spinReadDMAPoll, 36 | trace_spinWriteDMAPoll, 37 | trace_spinPhyTxFifo, 38 | trace_spinPhyRxFifo, 39 | trace_spinDMAReset, 40 | 41 | // SD 42 | trace_spinSpiByte = 0x30, 43 | trace_spinSDRxFIFO, 44 | trace_spinSDBusy, 45 | trace_spinSDDMA, 46 | trace_spinSDCompleteWrite, 47 | trace_spinSDCompleteRead, 48 | 49 | // completion 50 | trace_sdSpiByte = 0x40, 51 | }; 52 | 53 | void traceInit(void); 54 | 55 | #ifdef TRACE 56 | // normally the code spins waiting for the trace FIFO to be ready for each event 57 | // if you are debugging a timing-sensitive problem, define TRACE_IMPATIENT and 58 | // expect some dropped packets 59 | #ifdef TRACE_IMPATIENT 60 | #define wait_fifo(port) ; 61 | #else 62 | #define wait_fifo(port) while (!(ITM->PORT[port].u32)); 63 | #endif 64 | 65 | #include 66 | static inline void trace(enum trace_event ch) { 67 | wait_fifo(0); 68 | ITM->PORT[0].u8 = ch; 69 | } 70 | // use a different stimulus port for ISRs to avoid a race 71 | static inline void traceIrq(enum trace_event ch) { 72 | wait_fifo(1); 73 | ITM->PORT[1].u8 = ch; 74 | } 75 | #else 76 | #define trace(ev) LastTrace = ev 77 | #define traceIrq(ev) LastTrace = ev 78 | #endif 79 | -------------------------------------------------------------------------------- /src/vendor.c: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2016 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | 18 | #include "device.h" 19 | #include "scsi.h" 20 | #include "vendor.h" 21 | 22 | 23 | // Callback after the DATA OUT phase is complete. 24 | static void doAssignDiskParameters(void) 25 | { 26 | scsiDev.phase = STATUS; 27 | } 28 | 29 | int scsiVendorCommand() 30 | { 31 | int commandHandled = 1; 32 | 33 | uint8 command = scsiDev.cdb[0]; 34 | 35 | if (command == 0xC0) 36 | { 37 | // Define flexible disk format 38 | // OMTI-5204 controller 39 | // http://bitsavers.informatik.uni-stuttgart.de/pdf/sms/OMTI_5x00.pdf 40 | // Stub. Sectors-per-track should be configured by scsi2sd-util 41 | } 42 | else if (command == 0xC2) 43 | { 44 | // Assign Disk Parameters command 45 | // OMTI-5204 controller 46 | // http://bitsavers.informatik.uni-stuttgart.de/pdf/sms/OMTI_5x00.pdf 47 | // Stub to read and discard 10 bytes. 48 | scsiDev.dataLen = 10; 49 | scsiDev.phase = DATA_OUT; 50 | scsiDev.postDataOutHook = doAssignDiskParameters; 51 | } 52 | else if (command == 0x0C) 53 | { 54 | // Initialize Drive Characteristics 55 | // XEBEC S1410 controller 56 | // http://bitsavers.informatik.uni-stuttgart.de/pdf/xebec/104524C_S1410Man_Aug83.pdf 57 | scsiDev.dataLen = 8; 58 | scsiDev.phase = DATA_OUT; 59 | } 60 | else if (command == 0xE0) 61 | { 62 | // RAM Diagnostic 63 | // XEBEC S1410 controller 64 | // http://bitsavers.informatik.uni-stuttgart.de/pdf/xebec/104524C_S1410Man_Aug83.pdf 65 | // Stub, return success 66 | } 67 | else if (command == 0xE4) 68 | { 69 | // Drive Diagnostic 70 | // XEBEC S1410 controller 71 | // Stub, return success 72 | } 73 | else 74 | { 75 | commandHandled = 0; 76 | } 77 | 78 | return commandHandled; 79 | } 80 | 81 | -------------------------------------------------------------------------------- /src/vendor.h: -------------------------------------------------------------------------------- 1 | // Copyright (C) 2016 Michael McMaster 2 | // 3 | // This file is part of SCSI2SD. 4 | // 5 | // SCSI2SD is free software: you can redistribute it and/or modify 6 | // it under the terms of the GNU General Public License as published by 7 | // the Free Software Foundation, either version 3 of the License, or 8 | // (at your option) any later version. 9 | // 10 | // SCSI2SD is distributed in the hope that it will be useful, 11 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 12 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 13 | // GNU General Public License for more details. 14 | // 15 | // You should have received a copy of the GNU General Public License 16 | // along with SCSI2SD. If not, see . 17 | #ifndef S2S_VENDOR_H 18 | #define S2S_VENDOR_H 19 | 20 | int scsiVendorCommand(void); 21 | 22 | #endif 23 | --------------------------------------------------------------------------------