├── 2017 Computer Programming Projects ├── Project1 │ ├── Hw1.pdf │ ├── Pro1_150116034.class │ ├── Pro1_150116034.java │ ├── Pro2_150116034.class │ └── Pro2_150116034.java ├── Project3 │ ├── Hw3.pdf │ ├── Pro3_1_150116034.class │ ├── Pro3_1_150116034.java │ ├── Pro3_2_150116034.class │ ├── Pro3_2_150116034.java │ ├── Pro3_3_150116034.class │ └── Pro3_3_150116034.java ├── Project4 │ ├── Hw4.pdf │ ├── Pro4_150116034.class │ └── Pro4_150116034.java └── Project5 │ ├── Hw5.pdf │ ├── Pro5_1_150116034.class │ └── Pro5_1_150116034.java ├── 2018 Data Structures ├── Project1 │ ├── CSE225_fall_2018_Project_1.PDF │ ├── dataset │ │ └── dataset │ │ │ ├── econ │ │ │ ├── 1.txt │ │ │ ├── 2.txt │ │ │ ├── 3.txt │ │ │ ├── 4.txt │ │ │ ├── 5.txt │ │ │ └── 6.txt │ │ │ ├── health │ │ │ ├── 1.txt │ │ │ ├── 2.txt │ │ │ ├── 3.txt │ │ │ ├── 4.txt │ │ │ ├── 5.txt │ │ │ ├── 6.txt │ │ │ └── 7.txt │ │ │ └── magazin │ │ │ ├── 1.txt │ │ │ ├── 2.txt │ │ │ ├── 3.txt │ │ │ ├── 4.txt │ │ │ └── 5.txt │ └── enes_garip.c ├── Project2 │ ├── CSE225_fall_2018_Project_2.PDF │ ├── enes_garip.c │ └── input.txt └── Project3 │ ├── CSE225_fall_2018_Project_3.PDF │ └── enes_garip.c ├── 2019 Data Structures ├── Project 1 │ ├── CSE225_fall_2019_Project1.PDF │ ├── enes_garip.c │ └── small dataset │ │ └── dataset │ │ ├── econ │ │ ├── 1.txt │ │ ├── 2.txt │ │ └── 3.txt │ │ ├── health │ │ ├── 1.txt │ │ ├── 2.txt │ │ └── 3.txt │ │ └── magazin │ │ ├── 1.txt │ │ └── 2.txt ├── Project 2 │ ├── CSE225_fall_2019_Project_2.PDF │ ├── Enes Garip Report Project2.docx │ ├── ReportCapture.PNG │ └── enes_garip.c └── Project 3 │ ├── Project3.PDF │ └── binheap for project3 │ ├── Report.docx │ ├── binQ.exe │ ├── binQ.o │ ├── enes_garip.c │ ├── enes_garip.exe │ ├── enes_garip.h │ ├── enes_garip.o │ ├── enes_garip_2.h │ └── input.txt ├── 2019 Digital Logic Design ├── 150116005_150116034_150115003 │ ├── CPU.circ │ ├── ISA.pdf │ └── assembly to hex │ │ ├── input.txt │ │ ├── main.c │ │ ├── main.exe │ │ ├── main.o │ │ └── output.hex └── SS │ ├── ALU.png │ ├── Adder.png │ ├── Control Unit.png │ ├── Full Adder.png │ ├── Half Adder.png │ ├── Main.png │ └── Register File.png ├── 2019 Operating Systems ├── Project 1 │ └── 150117509_150116034_Project1 │ │ ├── CSE333_Project1.pdf │ │ ├── Project1_Report.docx │ │ ├── menu.sh │ │ ├── part1.sh │ │ ├── part2.sh │ │ ├── part3.sh │ │ ├── part4.sh │ │ ├── part5-corrected.sh │ │ └── part5.sh ├── Project 2 │ └── 150117509_150116034_Project2 │ │ ├── 150117509_150116034_Project2.c │ │ ├── 150117509_150116034_Report.odt │ │ ├── CSE3033_Project2.pdf │ │ └── mainSetup.c └── Project 3 │ └── 150117509_150116034_Project3 │ ├── 150117509_150116034_Project3.odt │ ├── CSE 333_Project3.pdf │ ├── project3 │ ├── project3.c │ └── test.txt ├── 2020 Computer Networks ├── Homeworks │ ├── Lab1 │ │ ├── 150116034_HW1.pdf │ │ └── Wireshark_HTTP_v7.0.pdf │ ├── Lab2 │ │ ├── 150116034_HW2.pdf │ │ └── Wireshark Lab-DNS.pdf │ └── Lab3 │ │ ├── 150116034_HW3.pdf │ │ └── Wireshark Lab-TCP.pdf └── Project │ ├── Assignment_2020.pdf │ ├── ComputerNetworksProjectReport.pdf │ ├── ProxyServer │ └── ProxyServer.java │ └── WebServer │ ├── Connection.java │ ├── HTTPRequest.java │ ├── HTTPResponse.java │ └── WebServer.java ├── 2020 Computer Organization ├── Homeworks │ ├── CSE338_S20_HW0 Solutions.pdf │ ├── CSE338_S20_HW0.pdf │ ├── Homework1 │ │ ├── CSE338_S20_HW1.pdf │ │ ├── CSE338_S20_HW1_Key.pdf │ │ └── enesgarip_HW1.pdf │ └── Homework2 │ │ ├── 150116034_hw2.pdf │ │ ├── CSE338_S20_HW2.pdf │ │ └── CSE338_S20_HW2_solutions.pdf ├── Project1 │ ├── cse338_project1_2020.pdf │ ├── eroglu_garip.pdf │ └── eroglu_garip.s └── Project2 │ ├── Project2 -2020.pdf │ ├── Project_2_assignment2020.pptx │ ├── eroglu_garip_project2 │ ├── adder.v │ ├── alu32.v │ ├── alucont.v │ ├── br_j_control.v │ ├── br_j_control.v.bak │ ├── control.v │ ├── control.v.bak │ ├── eroglu_garip_project_report.docx │ ├── final_2.cr.mti │ ├── final_2.mpf │ ├── initDM.dat │ ├── initIM.dat │ ├── initReg.dat │ ├── last.cr.mti │ ├── last.mpf │ ├── mult2_to_1_2.v │ ├── mult2_to_1_32.v │ ├── mult2_to_1_5.v │ ├── mult4_to_1_32.v │ ├── processor.v │ ├── processor.v.bak │ ├── shift.v │ ├── shift_26.v │ ├── signext.v │ ├── singlecycleMIPS-lite.spj │ └── vsim.wlf │ └── singlecycleMIPS-lite-commented │ └── singlecycleMIPS-lite-commented │ ├── adder.v │ ├── alu32.v │ ├── alucont.v │ ├── control.v │ ├── initDM.dat │ ├── initIM.dat │ ├── initReg.dat │ ├── mult2_to_1_32.v │ ├── mult2_to_1_5.v │ ├── processor.v │ ├── shift.v │ ├── signext.v │ └── singlecycleMIPS-lite.spj ├── 2020 Data Structures ├── Project1 │ ├── CSE225_fall_2020_Project.PDF │ ├── enes_garip.c │ ├── enes_garip.pdf │ ├── input.txt │ └── input3.txt └── Project2 │ ├── CSE225_fall_2020_Project2.PDF │ ├── enes_garip.c │ ├── enes_garip.pdf │ └── files │ └── files │ ├── content_103390154372 │ ├── content_241371942532 │ ├── content_275965120132 │ ├── content_457408286340 │ ├── content_548894379652 │ ├── content_561845407364 │ ├── content_563053694596 │ ├── content_564021726852 │ ├── content_581716971140 │ ├── content_585649196676 │ ├── content_589720620676 │ ├── content_600347020932 │ ├── content_602481462916 │ ├── content_608679923332 │ ├── content_609140248196 │ ├── content_611464941188 │ ├── content_613006216836 │ ├── content_613535616644 │ ├── content_614351081092 │ ├── content_617442086532 │ ├── content_625611869828 │ ├── content_627493604996 │ ├── content_635974160004 │ ├── content_637213838980 │ ├── content_637910290052 │ ├── content_639149772420 │ ├── content_640072322692 │ ├── content_640226922116 │ ├── content_640440831620 │ ├── content_640756977284 │ ├── content_640796167812 │ ├── content_641103728260 │ ├── content_642105708164 │ ├── content_642921434756 │ ├── content_643025047172 │ ├── content_643155463812 │ ├── content_643205664388 │ ├── content_643773337220 │ ├── content_644115500676 │ ├── content_645567712900 │ ├── content_646179491460 │ └── content_646599577220 ├── 2020 Formal Languages and Automata Theory ├── Homework1 │ ├── HW1.pdf │ └── homework1.pdf └── Homework2 │ ├── HW2.pdf │ └── homework2.pdf ├── 2020 Microprocessors ├── Project1 │ ├── logisim & assembly │ │ ├── bird_assembler_150116001_150116034.c │ │ ├── bird_assembler_150116001_150116034.exe │ │ ├── bird_assembler_150116001_150116034.o │ │ ├── deneme.txt │ │ ├── logisimBird.circ │ │ ├── output_yeni.hex │ │ └── output_yeni.txt │ ├── matchsticks │ │ ├── db │ │ │ ├── .cmp.kpt │ │ │ ├── add_sub_7pc.tdf │ │ │ ├── add_sub_8pc.tdf │ │ │ ├── alt_u_div_27f.tdf │ │ │ ├── alt_u_div_87f.tdf │ │ │ ├── alt_u_div_97f.tdf │ │ │ ├── alt_u_div_e7f.tdf │ │ │ ├── lpm_divide_2bm.tdf │ │ │ ├── lpm_divide_2jm.tdf │ │ │ ├── lpm_divide_3jm.tdf │ │ │ ├── lpm_divide_5bm.tdf │ │ │ ├── lpm_divide_5jm.tdf │ │ │ ├── lpm_divide_vim.tdf │ │ │ ├── matchstickgame.(0).cnf.cdb │ │ │ ├── matchstickgame.(0).cnf.hdb │ │ │ ├── matchstickgame.(1).cnf.cdb │ │ │ ├── matchstickgame.(1).cnf.hdb │ │ │ ├── matchstickgame.(10).cnf.cdb │ │ │ ├── matchstickgame.(10).cnf.hdb │ │ │ ├── matchstickgame.(11).cnf.cdb │ │ │ ├── matchstickgame.(11).cnf.hdb │ │ │ ├── matchstickgame.(12).cnf.cdb │ │ │ ├── matchstickgame.(12).cnf.hdb │ │ │ ├── matchstickgame.(13).cnf.cdb │ │ │ ├── matchstickgame.(13).cnf.hdb │ │ │ ├── matchstickgame.(14).cnf.cdb │ │ │ ├── matchstickgame.(14).cnf.hdb │ │ │ ├── matchstickgame.(15).cnf.cdb │ │ │ ├── matchstickgame.(15).cnf.hdb │ │ │ ├── matchstickgame.(16).cnf.cdb │ │ │ ├── matchstickgame.(16).cnf.hdb │ │ │ ├── matchstickgame.(17).cnf.cdb │ │ │ ├── matchstickgame.(17).cnf.hdb │ │ │ ├── matchstickgame.(18).cnf.cdb │ │ │ ├── matchstickgame.(18).cnf.hdb │ │ │ ├── matchstickgame.(19).cnf.cdb │ │ │ ├── matchstickgame.(19).cnf.hdb │ │ │ ├── matchstickgame.(2).cnf.cdb │ │ │ ├── matchstickgame.(2).cnf.hdb │ │ │ ├── matchstickgame.(20).cnf.cdb │ │ │ ├── matchstickgame.(20).cnf.hdb │ │ │ ├── matchstickgame.(21).cnf.cdb │ │ │ ├── matchstickgame.(21).cnf.hdb │ │ │ ├── matchstickgame.(22).cnf.cdb │ │ │ ├── matchstickgame.(22).cnf.hdb │ │ │ ├── matchstickgame.(23).cnf.cdb │ │ │ ├── matchstickgame.(23).cnf.hdb │ │ │ ├── matchstickgame.(24).cnf.cdb │ │ │ ├── matchstickgame.(24).cnf.hdb │ │ │ ├── matchstickgame.(25).cnf.cdb │ │ │ ├── matchstickgame.(25).cnf.hdb │ │ │ ├── matchstickgame.(26).cnf.cdb │ │ │ ├── matchstickgame.(26).cnf.hdb │ │ │ ├── matchstickgame.(27).cnf.cdb │ │ │ ├── matchstickgame.(27).cnf.hdb │ │ │ ├── matchstickgame.(28).cnf.cdb │ │ │ ├── matchstickgame.(28).cnf.hdb │ │ │ ├── matchstickgame.(29).cnf.cdb │ │ │ ├── matchstickgame.(29).cnf.hdb │ │ │ ├── matchstickgame.(3).cnf.cdb │ │ │ ├── matchstickgame.(3).cnf.hdb │ │ │ ├── matchstickgame.(30).cnf.cdb │ │ │ ├── matchstickgame.(30).cnf.hdb │ │ │ ├── matchstickgame.(31).cnf.cdb │ │ │ ├── matchstickgame.(31).cnf.hdb │ │ │ ├── matchstickgame.(32).cnf.cdb │ │ │ ├── matchstickgame.(32).cnf.hdb │ │ │ ├── matchstickgame.(33).cnf.cdb │ │ │ ├── matchstickgame.(33).cnf.hdb │ │ │ ├── matchstickgame.(4).cnf.cdb │ │ │ ├── matchstickgame.(4).cnf.hdb │ │ │ ├── matchstickgame.(5).cnf.cdb │ │ │ ├── matchstickgame.(5).cnf.hdb │ │ │ ├── matchstickgame.(6).cnf.cdb │ │ │ ├── matchstickgame.(6).cnf.hdb │ │ │ ├── matchstickgame.(7).cnf.cdb │ │ │ ├── matchstickgame.(7).cnf.hdb │ │ │ ├── matchstickgame.(8).cnf.cdb │ │ │ ├── matchstickgame.(8).cnf.hdb │ │ │ ├── matchstickgame.(9).cnf.cdb │ │ │ ├── matchstickgame.(9).cnf.hdb │ │ │ ├── matchstickgame.asm.qmsg │ │ │ ├── matchstickgame.asm.rdb │ │ │ ├── matchstickgame.asm_labs.ddb │ │ │ ├── matchstickgame.cbx.xml │ │ │ ├── matchstickgame.cmp.bpm │ │ │ ├── matchstickgame.cmp.cdb │ │ │ ├── matchstickgame.cmp.hdb │ │ │ ├── matchstickgame.cmp.idb │ │ │ ├── matchstickgame.cmp.logdb │ │ │ ├── matchstickgame.cmp.rdb │ │ │ ├── matchstickgame.cmp_merge.kpt │ │ │ ├── matchstickgame.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd │ │ │ ├── matchstickgame.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd │ │ │ ├── matchstickgame.cycloneive_io_sim_cache.45um_tt_1200mv_85c_slow.hsd │ │ │ ├── matchstickgame.db_info │ │ │ ├── matchstickgame.fit.qmsg │ │ │ ├── matchstickgame.hier_info │ │ │ ├── matchstickgame.hif │ │ │ ├── matchstickgame.lpc.html │ │ │ ├── matchstickgame.lpc.rdb │ │ │ ├── matchstickgame.lpc.txt │ │ │ ├── matchstickgame.map.ammdb │ │ │ ├── matchstickgame.map.bpm │ │ │ ├── matchstickgame.map.cdb │ │ │ ├── matchstickgame.map.hdb │ │ │ ├── matchstickgame.map.kpt │ │ │ ├── matchstickgame.map.logdb │ │ │ ├── matchstickgame.map.qmsg │ │ │ ├── matchstickgame.map.rdb │ │ │ ├── matchstickgame.map_bb.cdb │ │ │ ├── matchstickgame.map_bb.hdb │ │ │ ├── matchstickgame.map_bb.logdb │ │ │ ├── matchstickgame.pplq.rdb │ │ │ ├── matchstickgame.pre_map.hdb │ │ │ ├── matchstickgame.root_partition.map.reg_db.cdb │ │ │ ├── matchstickgame.routing.rdb │ │ │ ├── matchstickgame.rtlv.hdb │ │ │ ├── matchstickgame.rtlv_sg.cdb │ │ │ ├── matchstickgame.rtlv_sg_swap.cdb │ │ │ ├── matchstickgame.sld_design_entry.sci │ │ │ ├── matchstickgame.sld_design_entry_dsc.sci │ │ │ ├── matchstickgame.smart_action.txt │ │ │ ├── matchstickgame.smp_dump.txt │ │ │ ├── matchstickgame.sta.qmsg │ │ │ ├── matchstickgame.sta.rdb │ │ │ ├── matchstickgame.sta_cmp.6_slow_1200mv_85c.tdb │ │ │ ├── matchstickgame.tis_db_list.ddb │ │ │ ├── matchstickgame.tiscmp.fast_1200mv_0c.ddb │ │ │ ├── matchstickgame.tiscmp.slow_1200mv_0c.ddb │ │ │ ├── matchstickgame.tiscmp.slow_1200mv_85c.ddb │ │ │ ├── matchstickgame.tmw_info │ │ │ ├── matchstickgame.vpr.ammdb │ │ │ ├── matchstickgame_partition_pins.json │ │ │ ├── prev_cmp_matchstickgame.qmsg │ │ │ ├── sign_div_unsign_nlh.tdf │ │ │ ├── sign_div_unsign_qlh.tdf │ │ │ ├── sign_div_unsign_rlh.tdf │ │ │ └── sign_div_unsign_tlh.tdf │ │ ├── incremental_db │ │ │ ├── README │ │ │ └── compiled_partitions │ │ │ │ ├── matchstickgame.db_info │ │ │ │ ├── matchstickgame.root_partition.cmp.ammdb │ │ │ │ ├── matchstickgame.root_partition.cmp.cdb │ │ │ │ ├── matchstickgame.root_partition.cmp.dfp │ │ │ │ ├── matchstickgame.root_partition.cmp.hdb │ │ │ │ ├── matchstickgame.root_partition.cmp.logdb │ │ │ │ ├── matchstickgame.root_partition.cmp.rcfdb │ │ │ │ ├── matchstickgame.root_partition.map.cdb │ │ │ │ ├── matchstickgame.root_partition.map.dpi │ │ │ │ ├── matchstickgame.root_partition.map.hbdb.cdb │ │ │ │ ├── matchstickgame.root_partition.map.hbdb.hb_info │ │ │ │ ├── matchstickgame.root_partition.map.hbdb.hdb │ │ │ │ ├── matchstickgame.root_partition.map.hbdb.sig │ │ │ │ ├── matchstickgame.root_partition.map.hdb │ │ │ │ ├── matchstickgame.root_partition.map.kpt │ │ │ │ └── matchstickgame.rrp.hdb │ │ ├── keypad_ex.v │ │ ├── keypad_ex.v.bak │ │ ├── main.v │ │ ├── main.v.bak │ │ ├── matchstickgame.qpf │ │ ├── matchstickgame.qsf │ │ ├── matchstickgame.qws │ │ ├── output_files │ │ │ ├── matchstickgame.asm.rpt │ │ │ ├── matchstickgame.cdf │ │ │ ├── matchstickgame.done │ │ │ ├── matchstickgame.fit.rpt │ │ │ ├── matchstickgame.fit.smsg │ │ │ ├── matchstickgame.fit.summary │ │ │ ├── matchstickgame.flow.rpt │ │ │ ├── matchstickgame.jdi │ │ │ ├── matchstickgame.map.rpt │ │ │ ├── matchstickgame.map.smsg │ │ │ ├── matchstickgame.map.summary │ │ │ ├── matchstickgame.pin │ │ │ ├── matchstickgame.sld │ │ │ ├── matchstickgame.sof │ │ │ ├── matchstickgame.sta.rpt │ │ │ └── matchstickgame.sta.summary │ │ ├── sevensegment.v │ │ └── sevensegment.v.bak │ ├── microprocessors_hw1.docx │ └── verilog │ │ ├── bird.v │ │ ├── cpu.v │ │ ├── initReg.dat │ │ ├── keypad_ex.v │ │ ├── output.txt │ │ └── sevensegment.v └── Project2 │ ├── P2_150116001_150116034 │ ├── assembler_150116001_150116034.c │ ├── bird.v │ ├── input0.txt │ ├── input1.txt │ ├── keypad.v │ ├── main_module.v │ ├── output1.txt │ └── sevensegment.v │ └── microprocessors_final_project.pdf ├── 2020 Probability and Statistics ├── Homework1 │ ├── HW1_Data.csv │ ├── Homework_1.pdf │ ├── homework1 report.docx │ └── homework1.R └── Homework2 │ ├── Homework_2_2020_Spring.pdf │ ├── homework2 report.docx │ └── homework2.R ├── 2020 Signals and Systems ├── hw1 │ ├── enes_garip.pdf │ └── signals_hw_2020.pdf └── hw2 │ ├── enes_garip_150116034.pdf │ └── signals-hw2-2020_2.pdf ├── 2020 Systems Programming ├── Project 1 │ ├── enesgarip.java │ ├── input.txt │ └── project1.pdf ├── Project 2 │ ├── bomb150116034 │ │ ├── bomb │ │ └── bomb.c │ ├── bomblab.pdf │ └── solution.txt └── Project 3 │ ├── cachelab.pdf │ ├── enesgarip.java │ ├── ram.txt │ └── traces │ └── traces │ ├── test.trace │ ├── test_large.trace │ ├── test_medium.trace │ └── test_small.trace ├── 2021 Analysis of Algorithms ├── Homework 1 │ ├── Analysis of Outputs.xlsx │ ├── Homework 1.pdf │ ├── abbasgoktugyilmaz_enesgarip_veysioz.pdf │ ├── codes │ │ ├── BinaryInsertionSort.java │ │ ├── CountingSort.java │ │ ├── HeapSort.java │ │ ├── Inputs.java │ │ ├── InsertionSort.java │ │ ├── Main.java │ │ ├── MedianOfThreeSort.java │ │ ├── MergeSort.java │ │ └── QuickSort.java │ └── outputs │ │ ├── BinaryInsertionSort_50PercentOrdered.txt │ │ ├── BinaryInsertionSort_75PercentOrdered.txt │ │ ├── BinaryInsertionSort_Ordered.txt │ │ ├── BinaryInsertionSort_RandomOrdered.txt │ │ ├── BinaryInsertionSort_ReverseOrdered.txt │ │ ├── CountingSort_50PercentOrdered.txt │ │ ├── CountingSort_75PercentOrdered.txt │ │ ├── CountingSort_Ordered.txt │ │ ├── CountingSort_RandomOrdered.txt │ │ ├── CountingSort_ReverseOrdered.txt │ │ ├── HeapSort_50PercentOrdered.txt │ │ ├── HeapSort_75PercentOrdered.txt │ │ ├── HeapSort_Ordered.txt │ │ ├── HeapSort_RandomOrdered.txt │ │ ├── HeapSort_ReverseOrdered.txt │ │ ├── InsertionSort_50PercentOrdered.txt │ │ ├── InsertionSort_75PercentOrdered.txt │ │ ├── InsertionSort_Ordered.txt │ │ ├── InsertionSort_RandomOrdered.txt │ │ ├── InsertionSort_ReverseOrdered.txt │ │ ├── MedianOfThreeSort_50PercentOrdered.txt │ │ ├── MedianOfThreeSort_75PercentOrdered.txt │ │ ├── MedianOfThreeSort_Ordered.txt │ │ ├── MedianOfThreeSort_RandomOrdered.txt │ │ ├── MedianOfThreeSort_ReverseOrdered.txt │ │ ├── MergeSort_50PercentOrdered.txt │ │ ├── MergeSort_75PercentOrdered.txt │ │ ├── MergeSort_Ordered.txt │ │ ├── MergeSort_RandomOrdered.txt │ │ ├── MergeSort_ReverseOrdered.txt │ │ ├── QuickSort_50PercentOrdered.txt │ │ ├── QuickSort_75PercentOrdered.txt │ │ ├── QuickSort_Ordered.txt │ │ ├── QuickSort_RandomOrdered.txt │ │ └── QuickSort_ReverseOrdered.txt └── Homework 2 │ ├── Homework 2.pdf │ ├── Report.docx │ ├── Report.pdf │ ├── codes │ ├── Main.java │ └── Verifier.java │ ├── inputs │ ├── test1.txt │ ├── test2.txt │ ├── test3.txt │ └── test4.txt │ └── outputs │ ├── output1.txt │ ├── output2.txt │ ├── output3.txt │ └── output4.txt ├── 2021 Database Systems └── Homeworks │ ├── HW1 │ └── 150116034_HW1.pdf │ ├── HW2 │ ├── Questions.sql │ ├── hw2_EG_150116034.bak │ └── screenshots │ │ ├── database diagram.png │ │ ├── q1-1.png │ │ ├── q1-2.png │ │ ├── q2-1.png │ │ ├── q2-2.png │ │ ├── q3.png │ │ ├── q4.png │ │ ├── q5.png │ │ ├── q6.png │ │ └── queries.png │ ├── HW3 │ ├── hw3_150116034.bak │ ├── hw3_150116034_scr.pdf │ └── hw3_150116034_sql.txt │ └── HW4 │ ├── hw4_150116034_scr.pdf │ └── hw4_150116034_sql.txt ├── 2021 Information Systems Security ├── Project 1 │ ├── CSE4057_HW1.pdf │ ├── Homework1_Report.pdf │ ├── Test.java │ ├── ctr.jpg │ ├── message.txt │ ├── q4.java │ └── test.jpg └── Project 2 │ ├── CSE 4057 Programming Assignment 2021.pdf │ ├── Connection.java │ ├── Homework-2 Report.pdf │ ├── Main.java │ ├── README.txt │ ├── WebServer.java │ └── images │ ├── 1_CeuWv9fCjD1uTiTuKytnBQ.png │ ├── 1_O0S2-UBv_Y1RRgHgRkg1yQ.png │ ├── Logo-de-Wireshark.png │ ├── angularjs-big-size.png │ ├── logo-java.png │ ├── python-logo-master-v3-tm.png │ └── ubuntu-logo-1200x900.png ├── 2021 Introduction to Computational Genomics └── Project │ ├── 150116034_150116013_150117062_Assignment1_Report.pdf │ ├── CSE4065_Assignment I_2021_-1041835215.pdf │ └── Main.java ├── 2021 Introduction to Machine Learning ├── Homeworks │ ├── HW2 │ │ ├── HW2_Report.pdf │ │ ├── homework2.pdf │ │ └── perceptron.py │ ├── HW3 │ │ ├── HW3_Report.pdf │ │ ├── homework3.pdf │ │ └── hw3.py │ └── HW4 │ │ ├── HW4_Report.pdf │ │ ├── features.test │ │ ├── features.train │ │ ├── homework4.pdf │ │ └── hw4.py └── Project │ └── ML_Project-MustafaSertaçÖztürk-TunahanAydın-EnesGarip │ ├── Google stock price.ipynb │ ├── Google_test_data.csv │ ├── Google_train_data.csv │ ├── ML_Final_Report.pdf │ └── ML_Presentation.pptx ├── 2022 Principles of Programming Languages └── Project │ ├── 21_22_Spring_CSE4060_p1_p2.pdf │ └── project.hs ├── Java&JavaFX Term Project ├── CSE1142_Project.pdf ├── Disconnector.class ├── HorizontalLineWithCircle.class ├── Level1.class ├── Level2.class ├── Level3.class ├── Level4.class ├── Level5.class ├── Project.class ├── Project.java ├── ProjectReport.docx ├── VerticalLineWithCircle.class └── congrats.gif ├── LICENSE └── README.md /2017 Computer Programming Projects/Project1/Hw1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project1/Hw1.pdf -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project1/Pro1_150116034.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project1/Pro1_150116034.class -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project1/Pro1_150116034.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project1/Pro1_150116034.java -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project1/Pro2_150116034.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project1/Pro2_150116034.class -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project1/Pro2_150116034.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project1/Pro2_150116034.java -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project3/Hw3.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project3/Hw3.pdf -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project3/Pro3_1_150116034.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project3/Pro3_1_150116034.class -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project3/Pro3_1_150116034.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project3/Pro3_1_150116034.java -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project3/Pro3_2_150116034.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project3/Pro3_2_150116034.class -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project3/Pro3_2_150116034.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project3/Pro3_2_150116034.java -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project3/Pro3_3_150116034.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project3/Pro3_3_150116034.class -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project3/Pro3_3_150116034.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project3/Pro3_3_150116034.java -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project4/Hw4.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project4/Hw4.pdf -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project4/Pro4_150116034.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project4/Pro4_150116034.class -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project4/Pro4_150116034.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project4/Pro4_150116034.java -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project5/Hw5.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project5/Hw5.pdf -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project5/Pro5_1_150116034.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project5/Pro5_1_150116034.class -------------------------------------------------------------------------------- /2017 Computer Programming Projects/Project5/Pro5_1_150116034.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2017 Computer Programming Projects/Project5/Pro5_1_150116034.java -------------------------------------------------------------------------------- /2018 Data Structures/Project1/CSE225_fall_2018_Project_1.PDF: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/CSE225_fall_2018_Project_1.PDF -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/econ/1.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/econ/1.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/econ/2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/econ/2.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/econ/3.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/econ/3.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/econ/4.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/econ/4.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/econ/5.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/econ/5.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/econ/6.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/econ/6.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/health/1.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/health/1.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/health/2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/health/2.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/health/3.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/health/3.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/health/4.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/health/4.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/health/5.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/health/5.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/health/6.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/health/6.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/health/7.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/health/7.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/magazin/1.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/magazin/1.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/magazin/2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/magazin/2.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/magazin/3.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/magazin/3.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/magazin/4.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/magazin/4.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project1/dataset/dataset/magazin/5.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project1/dataset/dataset/magazin/5.txt -------------------------------------------------------------------------------- /2018 Data Structures/Project2/CSE225_fall_2018_Project_2.PDF: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project2/CSE225_fall_2018_Project_2.PDF -------------------------------------------------------------------------------- /2018 Data Structures/Project2/input.txt: -------------------------------------------------------------------------------- 1 | 6 2 | 2 3 | 8 4 | 40 5 | 1 6 | 3 7 | 5 8 | 7 9 | 35 10 | 38 11 | 80 12 | 1700 13 | 2000 14 | 1780 15 | 37 16 | 39 17 | 70 18 | 90 19 | 75 -------------------------------------------------------------------------------- /2018 Data Structures/Project3/CSE225_fall_2018_Project_3.PDF: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project3/CSE225_fall_2018_Project_3.PDF -------------------------------------------------------------------------------- /2018 Data Structures/Project3/enes_garip.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2018 Data Structures/Project3/enes_garip.c -------------------------------------------------------------------------------- /2019 Data Structures/Project 1/CSE225_fall_2019_Project1.PDF: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 1/CSE225_fall_2019_Project1.PDF -------------------------------------------------------------------------------- /2019 Data Structures/Project 1/small dataset/dataset/econ/1.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 1/small dataset/dataset/econ/1.txt -------------------------------------------------------------------------------- /2019 Data Structures/Project 1/small dataset/dataset/econ/2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 1/small dataset/dataset/econ/2.txt -------------------------------------------------------------------------------- /2019 Data Structures/Project 1/small dataset/dataset/econ/3.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 1/small dataset/dataset/econ/3.txt -------------------------------------------------------------------------------- /2019 Data Structures/Project 1/small dataset/dataset/health/1.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 1/small dataset/dataset/health/1.txt -------------------------------------------------------------------------------- /2019 Data Structures/Project 1/small dataset/dataset/health/2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 1/small dataset/dataset/health/2.txt -------------------------------------------------------------------------------- /2019 Data Structures/Project 1/small dataset/dataset/health/3.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 1/small dataset/dataset/health/3.txt -------------------------------------------------------------------------------- /2019 Data Structures/Project 1/small dataset/dataset/magazin/1.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 1/small dataset/dataset/magazin/1.txt -------------------------------------------------------------------------------- /2019 Data Structures/Project 1/small dataset/dataset/magazin/2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 1/small dataset/dataset/magazin/2.txt -------------------------------------------------------------------------------- /2019 Data Structures/Project 2/CSE225_fall_2019_Project_2.PDF: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 2/CSE225_fall_2019_Project_2.PDF -------------------------------------------------------------------------------- /2019 Data Structures/Project 2/Enes Garip Report Project2.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 2/Enes Garip Report Project2.docx -------------------------------------------------------------------------------- /2019 Data Structures/Project 2/ReportCapture.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 2/ReportCapture.PNG -------------------------------------------------------------------------------- /2019 Data Structures/Project 3/Project3.PDF: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 3/Project3.PDF -------------------------------------------------------------------------------- /2019 Data Structures/Project 3/binheap for project3/Report.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 3/binheap for project3/Report.docx -------------------------------------------------------------------------------- /2019 Data Structures/Project 3/binheap for project3/binQ.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 3/binheap for project3/binQ.exe -------------------------------------------------------------------------------- /2019 Data Structures/Project 3/binheap for project3/binQ.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 3/binheap for project3/binQ.o -------------------------------------------------------------------------------- /2019 Data Structures/Project 3/binheap for project3/enes_garip.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 3/binheap for project3/enes_garip.exe -------------------------------------------------------------------------------- /2019 Data Structures/Project 3/binheap for project3/enes_garip.h: -------------------------------------------------------------------------------- 1 | typedef double ElementType; 2 | #define Infinity (6000000000L) 3 | 4 | #ifndef _BinHeap_H 5 | 6 | #define MaxTrees (12) /* Stores 2^9 -1 items */ 7 | #define Capacity (4095) 8 | 9 | // #define MaxTrees (14) /* Stores 2^14 -1 items */ 10 | // #define Capacity (16383) 11 | 12 | // #define MaxTrees (30) /* Stores 2^30 -1 items */ 13 | // #define Capacity (1073741823) 14 | 15 | struct BinNode; 16 | typedef struct BinNode *BinTree; 17 | struct Collection; 18 | typedef struct Collection *BinQueue; 19 | 20 | BinQueue Initialize( void ); 21 | void Destroy( BinQueue H ); 22 | BinQueue MakeEmpty( BinQueue H ); 23 | BinQueue Insert(ElementType Item, BinQueue H ); 24 | ElementType DeleteMin( BinQueue H ); 25 | BinQueue Merge( BinQueue H1, BinQueue H2 ); 26 | ElementType FindMin( BinQueue H ); 27 | int IsEmpty( BinQueue H ); 28 | int IsFull( BinQueue H ); 29 | #endif 30 | /* END */ -------------------------------------------------------------------------------- /2019 Data Structures/Project 3/binheap for project3/enes_garip.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Data Structures/Project 3/binheap for project3/enes_garip.o -------------------------------------------------------------------------------- /2019 Data Structures/Project 3/binheap for project3/enes_garip_2.h: -------------------------------------------------------------------------------- 1 | #include 2 | #include 3 | 4 | #define Error( Str ) FatalError( Str ) 5 | #define FatalError( Str ) fprintf( stderr, "%s\n", Str ), exit( 1 ) -------------------------------------------------------------------------------- /2019 Data Structures/Project 3/binheap for project3/input.txt: -------------------------------------------------------------------------------- 1 | P1 3 0 2 | P2 1 2 3 | P3 20 3 4 | P4 12 5 5 | P5 4 6 6 | P6 6 7 7 | P7 10 8 8 | P8 14 9 9 | P9 12 10 10 | P10 7 11 11 | P11 6 12 12 | P12 8 13 13 | P13 10 15 14 | P14 11 17 15 | P15 12 18 16 | P16 1 19 17 | P17 25 20 18 | P18 10 21 19 | P19 5 22 20 | P20 8 25 21 | 22 | 23 | 24 | 25 | -------------------------------------------------------------------------------- /2019 Digital Logic Design/150116005_150116034_150115003/ISA.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Digital Logic Design/150116005_150116034_150115003/ISA.pdf -------------------------------------------------------------------------------- /2019 Digital Logic Design/150116005_150116034_150115003/assembly to hex/input.txt: -------------------------------------------------------------------------------- 1 | ADDI R5,R0,28 -------------------------------------------------------------------------------- /2019 Digital Logic Design/150116005_150116034_150115003/assembly to hex/main.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Digital Logic Design/150116005_150116034_150115003/assembly to hex/main.exe -------------------------------------------------------------------------------- /2019 Digital Logic Design/150116005_150116034_150115003/assembly to hex/main.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Digital Logic Design/150116005_150116034_150115003/assembly to hex/main.o -------------------------------------------------------------------------------- /2019 Digital Logic Design/150116005_150116034_150115003/assembly to hex/output.hex: -------------------------------------------------------------------------------- 1 | v2.0 raw 2 | 1C504 3 | 4 | -------------------------------------------------------------------------------- /2019 Digital Logic Design/SS/ALU.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Digital Logic Design/SS/ALU.png -------------------------------------------------------------------------------- /2019 Digital Logic Design/SS/Adder.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Digital Logic Design/SS/Adder.png -------------------------------------------------------------------------------- /2019 Digital Logic Design/SS/Control Unit.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Digital Logic Design/SS/Control Unit.png -------------------------------------------------------------------------------- /2019 Digital Logic Design/SS/Full Adder.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Digital Logic Design/SS/Full Adder.png -------------------------------------------------------------------------------- /2019 Digital Logic Design/SS/Half Adder.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Digital Logic Design/SS/Half Adder.png -------------------------------------------------------------------------------- /2019 Digital Logic Design/SS/Main.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Digital Logic Design/SS/Main.png -------------------------------------------------------------------------------- /2019 Digital Logic Design/SS/Register File.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Digital Logic Design/SS/Register File.png -------------------------------------------------------------------------------- /2019 Operating Systems/Project 1/150117509_150116034_Project1/CSE333_Project1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Operating Systems/Project 1/150117509_150116034_Project1/CSE333_Project1.pdf -------------------------------------------------------------------------------- /2019 Operating Systems/Project 1/150117509_150116034_Project1/Project1_Report.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Operating Systems/Project 1/150117509_150116034_Project1/Project1_Report.docx -------------------------------------------------------------------------------- /2019 Operating Systems/Project 2/150117509_150116034_Project2/150117509_150116034_Report.odt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Operating Systems/Project 2/150117509_150116034_Project2/150117509_150116034_Report.odt -------------------------------------------------------------------------------- /2019 Operating Systems/Project 2/150117509_150116034_Project2/CSE3033_Project2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Operating Systems/Project 2/150117509_150116034_Project2/CSE3033_Project2.pdf -------------------------------------------------------------------------------- /2019 Operating Systems/Project 3/150117509_150116034_Project3/150117509_150116034_Project3.odt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Operating Systems/Project 3/150117509_150116034_Project3/150117509_150116034_Project3.odt -------------------------------------------------------------------------------- /2019 Operating Systems/Project 3/150117509_150116034_Project3/CSE 333_Project3.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Operating Systems/Project 3/150117509_150116034_Project3/CSE 333_Project3.pdf -------------------------------------------------------------------------------- /2019 Operating Systems/Project 3/150117509_150116034_Project3/project3: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2019 Operating Systems/Project 3/150117509_150116034_Project3/project3 -------------------------------------------------------------------------------- /2019 Operating Systems/Project 3/150117509_150116034_Project3/test.txt: -------------------------------------------------------------------------------- 1 | This is the first line. 2 | This is the second line. 3 | This is the third line. 4 | This is the fourth line. 5 | This is the fifth line. 6 | This is the sixth line. 7 | This is the seventh line. 8 | This is the eighth line. 9 | This is the ninth line. 10 | This is the tenth line. 11 | This is the eleventh line. 12 | This is the twelfth line. 13 | This is the thirteenth line. 14 | This is the fourteenth line. 15 | This is the fifteenth line. 16 | This is the sixteenth line. 17 | This is the seventeenth line. 18 | This is the eighteenth line. 19 | This is the nineteenth line. 20 | This is the twentieth line. 21 | This is the twenty first line. 22 | This is the twenty second line. 23 | This is the twenty third line. 24 | This is the twenty fourth line. 25 | This is the twenty fifth line. 26 | This is the twenty sixth line. 27 | This is the twenty seventh line. 28 | This is the twenty eighth line. 29 | This is the twenty ninth line. 30 | This is the thirtieth line. 31 | This is the thirty first line. 32 | This is the thirty second line. 33 | This is the thirty third line. 34 | This is the thirty fourth line. 35 | This is the thirty fifth line. 36 | This is the thirty sixth line. 37 | This is the thirty seventh line. 38 | This is the thirty eighth line. 39 | This is the thirty ninth line. 40 | This is the fortieth line. 41 | This is the forty first line. 42 | This is the forty second line. 43 | This is the forty third line. 44 | This is the forty fourth line. 45 | This is the forty fifth line. 46 | This is the forty sixth line. 47 | This is the forty seventh line. 48 | This is the forty eighth line. 49 | This is the forty ninth line. 50 | This is the fiftieth line. 51 | -------------------------------------------------------------------------------- /2020 Computer Networks/Homeworks/Lab1/150116034_HW1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Networks/Homeworks/Lab1/150116034_HW1.pdf -------------------------------------------------------------------------------- /2020 Computer Networks/Homeworks/Lab1/Wireshark_HTTP_v7.0.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Networks/Homeworks/Lab1/Wireshark_HTTP_v7.0.pdf -------------------------------------------------------------------------------- /2020 Computer Networks/Homeworks/Lab2/150116034_HW2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Networks/Homeworks/Lab2/150116034_HW2.pdf -------------------------------------------------------------------------------- /2020 Computer Networks/Homeworks/Lab2/Wireshark Lab-DNS.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Networks/Homeworks/Lab2/Wireshark Lab-DNS.pdf -------------------------------------------------------------------------------- /2020 Computer Networks/Homeworks/Lab3/150116034_HW3.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Networks/Homeworks/Lab3/150116034_HW3.pdf -------------------------------------------------------------------------------- /2020 Computer Networks/Homeworks/Lab3/Wireshark Lab-TCP.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Networks/Homeworks/Lab3/Wireshark Lab-TCP.pdf -------------------------------------------------------------------------------- /2020 Computer Networks/Project/Assignment_2020.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Networks/Project/Assignment_2020.pdf -------------------------------------------------------------------------------- /2020 Computer Networks/Project/ComputerNetworksProjectReport.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Networks/Project/ComputerNetworksProjectReport.pdf -------------------------------------------------------------------------------- /2020 Computer Networks/Project/WebServer/HTTPRequest.java: -------------------------------------------------------------------------------- 1 | public class HTTPRequest { 2 | String methodName; // method name of the request 3 | String fileReq; // size of file 4 | public HTTPRequest(String request) { 5 | String[] lines = request.split("\n"); 6 | try { 7 | methodName=lines[0].split(" ")[0]; 8 | fileReq = lines[0].split(" ")[1]; 9 | fileReq = fileReq.substring(1); 10 | }catch (Exception e){ 11 | 12 | } 13 | } 14 | } 15 | -------------------------------------------------------------------------------- /2020 Computer Organization/Homeworks/CSE338_S20_HW0 Solutions.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Homeworks/CSE338_S20_HW0 Solutions.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Homeworks/CSE338_S20_HW0.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Homeworks/CSE338_S20_HW0.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Homeworks/Homework1/CSE338_S20_HW1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Homeworks/Homework1/CSE338_S20_HW1.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Homeworks/Homework1/CSE338_S20_HW1_Key.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Homeworks/Homework1/CSE338_S20_HW1_Key.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Homeworks/Homework1/enesgarip_HW1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Homeworks/Homework1/enesgarip_HW1.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Homeworks/Homework2/150116034_hw2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Homeworks/Homework2/150116034_hw2.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Homeworks/Homework2/CSE338_S20_HW2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Homeworks/Homework2/CSE338_S20_HW2.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Homeworks/Homework2/CSE338_S20_HW2_solutions.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Homeworks/Homework2/CSE338_S20_HW2_solutions.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Project1/cse338_project1_2020.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Project1/cse338_project1_2020.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Project1/eroglu_garip.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Project1/eroglu_garip.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Project2/Project2 -2020.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Project2/Project2 -2020.pdf -------------------------------------------------------------------------------- /2020 Computer Organization/Project2/Project_2_assignment2020.pptx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Computer Organization/Project2/Project_2_assignment2020.pptx -------------------------------------------------------------------------------- /2020 Computer Organization/Project2/eroglu_garip_project2/adder.v: -------------------------------------------------------------------------------- 1 | module adder(a,b,out); 2 | input [31:0] a,b; 3 | output [31:0] out; 4 | assign out=a+b; 5 | endmodule -------------------------------------------------------------------------------- /2020 Computer Organization/Project2/eroglu_garip_project2/alu32.v: -------------------------------------------------------------------------------- 1 | module alu32(sum,a,b,bgezsig,status,gin);//ALU operation according to the ALU control line values 2 | output [31:0] sum; 3 | input [31:0] a,b; 4 | input [2:0] gin;//ALU control line 5 | input bgezsig; 6 | wire bgezsig; 7 | reg [31:0] sum; 8 | reg [31:0] less; 9 | output status; 10 | reg[1:0] status; 11 | integer intt; 12 | always @(a or b or gin) 13 | begin 14 | intt = b[10:4]; 15 | case(gin) 16 | 3'b010: sum=a+b; //ALU control line=010, ADD 17 | 3'b110: sum=a+1+(~b); //ALU control line=110, SUB 18 | 3'b111: begin less=a+1+(~b); //ALU control line=111, set on less than 19 | if (less[31]) sum=1; 20 | else sum=0; 21 | end 22 | 3'b000: sum=a & b; //ALU control line=000, AND 23 | 3'b001: sum=a|b; //ALU control line=001, OR 24 | 3'b011: sum=a< 2 | 3 | 4 | 5 | 6 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp.bpm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp.bpm -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp.cdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp.hdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp.idb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp.idb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp.rdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp_merge.kpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cmp_merge.kpt -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cycloneive_io_sim_cache.45um_tt_1200mv_85c_slow.hsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.cycloneive_io_sim_cache.45um_tt_1200mv_85c_slow.hsd -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.db_info: -------------------------------------------------------------------------------- 1 | Quartus_Version = Version 18.0.0 Build 614 04/24/2018 SJ Lite Edition 2 | Version_Index = 469919232 3 | Creation_Time = Mon Sep 07 05:19:11 2020 4 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.hif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.hif -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.lpc.html: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21 | 22 | 23 | 24 | 25 | 26 | 27 | 28 | 29 | 30 | 31 | 32 | 33 | 34 | 35 | 36 | 37 | 38 | 39 | 40 | 41 | 42 | 43 | 44 | 45 | 46 | 47 | 48 | 49 | 50 |
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
kp16000900000000
ss1170001100000000
51 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.lpc.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.lpc.rdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.lpc.txt: -------------------------------------------------------------------------------- 1 | +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ 2 | ; Legal Partition Candidates ; 3 | +-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ 4 | ; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; 5 | +-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ 6 | ; kp1 ; 6 ; 0 ; 0 ; 0 ; 9 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 | ; ss1 ; 17 ; 0 ; 0 ; 0 ; 11 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 | +-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ 9 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.ammdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.ammdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.bpm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.bpm -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.cdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.hdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.kpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.kpt -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.logdb: -------------------------------------------------------------------------------- 1 | v1 2 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map.rdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map_bb.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map_bb.cdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map_bb.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map_bb.hdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.map_bb.logdb: -------------------------------------------------------------------------------- 1 | v1 2 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.pplq.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.pplq.rdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.pre_map.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.pre_map.hdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.root_partition.map.reg_db.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.root_partition.map.reg_db.cdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.routing.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.routing.rdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.rtlv.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.rtlv.hdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.rtlv_sg.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.rtlv_sg.cdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.rtlv_sg_swap.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.rtlv_sg_swap.cdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.sld_design_entry.sci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.sld_design_entry.sci -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.sld_design_entry_dsc.sci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.sld_design_entry_dsc.sci -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.smart_action.txt: -------------------------------------------------------------------------------- 1 | DONE 2 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.smp_dump.txt: -------------------------------------------------------------------------------- 1 | 2 | State Machine - |main|state 3 | Name state.11 state.STATE3 state.STATE2 state.STATE1 4 | state.STATE1 0 0 0 0 5 | state.STATE2 0 0 1 1 6 | state.STATE3 0 1 0 1 7 | state.11 1 0 0 1 8 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.sta.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.sta.rdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.sta_cmp.6_slow_1200mv_85c.tdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.sta_cmp.6_slow_1200mv_85c.tdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.tis_db_list.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.tis_db_list.ddb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.tiscmp.fast_1200mv_0c.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.tiscmp.fast_1200mv_0c.ddb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.tiscmp.slow_1200mv_0c.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.tiscmp.slow_1200mv_0c.ddb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.tiscmp.slow_1200mv_85c.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.tiscmp.slow_1200mv_85c.ddb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.tmw_info: -------------------------------------------------------------------------------- 1 | start_full_compilation:s:00:00:39 2 | start_analysis_synthesis:s:00:00:17-start_full_compilation 3 | start_analysis_elaboration:s-start_full_compilation 4 | start_fitter:s:00:00:15-start_full_compilation 5 | start_assembler:s:00:00:02-start_full_compilation 6 | start_timing_analyzer:s:00:00:05-start_full_compilation 7 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/db/matchstickgame.vpr.ammdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/db/matchstickgame.vpr.ammdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/README: -------------------------------------------------------------------------------- 1 | This folder contains data for incremental compilation. 2 | 3 | The compiled_partitions sub-folder contains previous compilation results for each partition. 4 | As long as this folder is preserved, incremental compilation results from earlier compiles 5 | can be re-used. To perform a clean compilation from source files for all partitions, both 6 | the db and incremental_db folder should be removed. 7 | 8 | The imported_partitions sub-folder contains the last imported QXP for each imported partition. 9 | As long as this folder is preserved, imported partitions will be automatically re-imported 10 | when the db or incremental_db/compiled_partitions folders are removed. 11 | 12 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.db_info: -------------------------------------------------------------------------------- 1 | Quartus_Version = Version 18.0.0 Build 614 04/24/2018 SJ Lite Edition 2 | Version_Index = 469919232 3 | Creation_Time = Sun Sep 06 22:14:49 2020 4 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.ammdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.ammdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.cdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.dfp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.dfp -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.hdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.logdb: -------------------------------------------------------------------------------- 1 | v1 2 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.rcfdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.cmp.rcfdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.cdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.dpi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.dpi -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.hbdb.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.hbdb.cdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.hbdb.hb_info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.hbdb.hb_info -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.hbdb.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.hbdb.hdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.hbdb.sig: -------------------------------------------------------------------------------- 1 | c5eb7f6cdd530884c3b884e0a3668ea4 -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.hdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.kpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.root_partition.map.kpt -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.rrp.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/incremental_db/compiled_partitions/matchstickgame.rrp.hdb -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/main.v.bak: -------------------------------------------------------------------------------- 1 | module main ( output wire [3:0] rowwrite, 2 | input [3:0] colread, 3 | input clk, 4 | output wire [3:0] data, 5 | 6 | output wire [3:0] grounds, 7 | output wire [6:0] display 8 | ); 9 | 10 | reg [15:0] data_all; 11 | wire [3:0] keyout; 12 | reg [25:0] clk1; 13 | reg [1:0] ready_buffer; 14 | reg ack; 15 | wire ready; 16 | 17 | assign data=keyout; 18 | 19 | sevensegment ss1 (.datain(data_all), .grounds(grounds), .display(display), .clk(clk)); 20 | 21 | keypad_ex kp1(.rowwrite(rowwrite),.colread(colread),.clk(clk),.keyout(keyout),.ready(ready),.ack(ack)); 22 | 23 | always @(posedge clk) 24 | ready_buffer<= {ready_buffer[0],ready}; // 25 | 26 | always @(posedge clk) 27 | if (ready_buffer==2'b01) 28 | begin 29 | data_all<={data_all[11:0],keyout}; 30 | ack<=1; 31 | end 32 | else 33 | ack<=0; 34 | 35 | initial 36 | begin 37 | data_all=0; 38 | ack=0; 39 | end 40 | 41 | endmodule 42 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/matchstickgame.qpf: -------------------------------------------------------------------------------- 1 | # -------------------------------------------------------------------------- # 2 | # 3 | # Copyright (C) 2018 Intel Corporation. All rights reserved. 4 | # Your use of Intel Corporation's design tools, logic functions 5 | # and other software and tools, and its AMPP partner logic 6 | # functions, and any output files from any of the foregoing 7 | # (including device programming or simulation files), and any 8 | # associated documentation or information are expressly subject 9 | # to the terms and conditions of the Intel Program License 10 | # Subscription Agreement, the Intel Quartus Prime License Agreement, 11 | # the Intel FPGA IP License Agreement, or other applicable license 12 | # agreement, including, without limitation, that your use is for 13 | # the sole purpose of programming logic devices manufactured by 14 | # Intel and sold by Intel or its authorized distributors. Please 15 | # refer to the applicable agreement for further details. 16 | # 17 | # -------------------------------------------------------------------------- # 18 | # 19 | # Quartus Prime 20 | # Version 18.0.0 Build 614 04/24/2018 SJ Lite Edition 21 | # Date created = 22:00:43 September 06, 2020 22 | # 23 | # -------------------------------------------------------------------------- # 24 | 25 | QUARTUS_VERSION = "18.0" 26 | DATE = "22:00:43 September 06, 2020" 27 | 28 | # Revisions 29 | 30 | PROJECT_REVISION = "matchstickgame" 31 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/matchstickgame.qws: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/matchstickgame.qws -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus Prime Version 18.0.0 Build 614 04/24/2018 SJ Lite Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP4CE22F17) Path("C:/intelFPGA_lite/18.0/FPGACodes/matchstickgame/output_files/") File("matchstickgame.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.done: -------------------------------------------------------------------------------- 1 | Mon Sep 07 06:26:39 2020 2 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.fit.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.fit.rpt -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.fit.smsg: -------------------------------------------------------------------------------- 1 | Extra Info (176273): Performing register packing on registers with non-logic cell location assignments 2 | Extra Info (176274): Completed register packing on registers with non-logic cell location assignments 3 | Extra Info (176236): Started Fast Input/Output/OE register processing 4 | Extra Info (176237): Finished Fast Input/Output/OE register processing 5 | Extra Info (176238): Start inferring scan chains for DSP blocks 6 | Extra Info (176239): Inferring scan chains for DSP blocks is complete 7 | Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density 8 | Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks 9 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.fit.summary: -------------------------------------------------------------------------------- 1 | Fitter Status : Successful - Mon Sep 07 06:26:31 2020 2 | Quartus Prime Version : 18.0.0 Build 614 04/24/2018 SJ Lite Edition 3 | Revision Name : matchstickgame 4 | Top-level Entity Name : main 5 | Family : Cyclone IV E 6 | Device : EP4CE22F17C6 7 | Timing Models : Final 8 | Total logic elements : 848 / 22,320 ( 4 % ) 9 | Total combinational functions : 793 / 22,320 ( 4 % ) 10 | Dedicated logic registers : 162 / 22,320 ( < 1 % ) 11 | Total registers : 162 12 | Total pins : 24 / 154 ( 16 % ) 13 | Total virtual pins : 0 14 | Total memory bits : 0 / 608,256 ( 0 % ) 15 | Embedded Multiplier 9-bit elements : 0 / 132 ( 0 % ) 16 | Total PLLs : 0 / 4 ( 0 % ) 17 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.jdi: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.map.smsg: -------------------------------------------------------------------------------- 1 | Warning (10268): Verilog HDL information at main.v(46): always construct contains both blocking and non-blocking assignments File: C:/intelFPGA_lite/18.0/FPGACodes/matchstickgame/main.v Line: 46 2 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.map.summary: -------------------------------------------------------------------------------- 1 | Analysis & Synthesis Status : Successful - Mon Sep 07 06:26:16 2020 2 | Quartus Prime Version : 18.0.0 Build 614 04/24/2018 SJ Lite Edition 3 | Revision Name : matchstickgame 4 | Top-level Entity Name : main 5 | Family : Cyclone IV E 6 | Total logic elements : 867 7 | Total combinational functions : 793 8 | Dedicated logic registers : 162 9 | Total registers : 162 10 | Total pins : 24 11 | Total virtual pins : 0 12 | Total memory bits : 0 13 | Embedded Multiplier 9-bit elements : 0 14 | Total PLLs : 0 15 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.sld: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.sof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/matchsticks/output_files/matchstickgame.sof -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/sevensegment.v: -------------------------------------------------------------------------------- 1 | module sevensegment(datain, grounds, display, clk); 2 | 3 | input wire [15:0] datain; 4 | output reg [3:0] grounds; 5 | output reg [6:0] display; 6 | input clk; 7 | 8 | reg [3:0] data [3:0]; 9 | reg [1:0] count; 10 | reg [25:0] clk1; 11 | 12 | always @(posedge clk1[15]) 13 | begin 14 | grounds <= {grounds[2:0],grounds[3]}; 15 | count <= count + 1; 16 | end 17 | 18 | always @(posedge clk) 19 | clk1 <= clk1 + 1; 20 | 21 | always @(*) 22 | case(data[count]) 23 | 0:display=7'b1111110; //starts with a, ends with g 24 | 1:display=7'b0110000; 25 | 2:display=7'b1101101; 26 | 3:display=7'b1111001; 27 | 4:display=7'b0110011; 28 | 5:display=7'b1011011; 29 | 6:display=7'b1011111; 30 | 7:display=7'b1110000; 31 | 8:display=7'b1111111; 32 | 9:display=7'b1111011; 33 | 34 | 'ha:display=7'b00011101; 35 | 'hb:display=7'b0011111; 36 | 'hc:display=7'b1001110; 37 | 'hd:display=7'b0111101; 38 | 'he:display=7'b1001111; 39 | 'hf:display=7'b1000111; 40 | 41 | default display=7'b1111111; 42 | endcase 43 | 44 | always @* 45 | begin 46 | data[0] = datain[15:12]; 47 | data[1] = datain[11:8]; 48 | data[2] = datain[7:4]; 49 | data[3] = datain[3:0]; 50 | end 51 | 52 | initial begin 53 | count = 2'b0; 54 | grounds = 4'b0111; 55 | clk1 = 0; 56 | end 57 | endmodule 58 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/matchsticks/sevensegment.v.bak: -------------------------------------------------------------------------------- 1 | module sevensegment(datain, grounds, display, clk); 2 | 3 | input wire [15:0] datain; 4 | output reg [3:0] grounds; 5 | output reg [6:0] display; 6 | input clk; 7 | 8 | reg [3:0] data [3:0]; 9 | reg [1:0] count; 10 | reg [25:0] clk1; 11 | 12 | always @(posedge clk1[15]) 13 | begin 14 | grounds <= {grounds[2:0],grounds[3]}; 15 | count <= count + 1; 16 | end 17 | 18 | always @(posedge clk) 19 | clk1 <= clk1 + 1; 20 | 21 | always @(*) 22 | case(data[count]) 23 | 0:display=7'b1111110; //starts with a, ends with g 24 | 1:display=7'b0110000; 25 | 2:display=7'b1101101; 26 | 3:display=7'b1111001; 27 | 4:display=7'b0110011; 28 | 5:display=7'b1011011; 29 | 6:display=7'b1011111; 30 | 7:display=7'b1110000; 31 | 8:display=7'b1111111; 32 | 9:display=7'b1111011; 33 | 'ha:display=7'b1110111; 34 | 'hb:display=7'b0011111; 35 | 'hc:display=7'b1001110; 36 | 'hd:display=7'b0111101; 37 | 'he:display=7'b1001111; 38 | 'hf:display=7'b1000111; 39 | default display=7'b1111111; 40 | endcase 41 | 42 | always @* 43 | begin 44 | data[0] = datain[15:12]; 45 | data[1] = datain[11:8]; 46 | data[2] = datain[7:4]; 47 | data[3] = datain[3:0]; 48 | end 49 | 50 | initial begin 51 | count = 2'b0; 52 | grounds = 4'b1110; 53 | clk1 = 0; 54 | end 55 | endmodule 56 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/microprocessors_hw1.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project1/microprocessors_hw1.docx -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/verilog/initReg.dat: -------------------------------------------------------------------------------- 1 | @000000 2 | 00 3 | 14 4 | 40 5 | 60 6 | 00000001 7 | ffffffff 8 | 00000001 9 | 42 10 | 15 11 | 28 12 | ff 13 | 10 14 | 08 15 | 01 16 | 05 17 | 11 18 | 45 19 | 54 20 | 00 21 | 00 22 | 00 23 | 00 24 | 00 25 | 00 26 | 00 27 | 00 28 | 00 29 | 00 30 | 00 31 | 00 32 | 00 33 | 00 -------------------------------------------------------------------------------- /2020 Microprocessors/Project1/verilog/sevensegment.v: -------------------------------------------------------------------------------- 1 | module sevensegment(datain, grounds, display, clk); 2 | 3 | input wire [15:0] datain; 4 | output reg [3:0] grounds; 5 | output reg [6:0] display; 6 | input clk; 7 | 8 | reg [3:0] data [3:0]; 9 | reg [1:0] count; 10 | reg [25:0] clk1; 11 | 12 | always @(posedge clk1[15]) 13 | begin 14 | grounds <= {grounds[2:0],grounds[3]}; 15 | count <= count + 1; 16 | end 17 | 18 | always @(posedge clk) 19 | clk1 <= clk1 + 1; 20 | 21 | always @(*) 22 | case(data[count]) 23 | 0:display=7'b1111110; //starts with a, ends with g 24 | 1:display=7'b0110000; 25 | 2:display=7'b1101101; 26 | 3:display=7'b1111001; 27 | 4:display=7'b0110011; 28 | 5:display=7'b1011011; 29 | 6:display=7'b1011111; 30 | 7:display=7'b1110000; 31 | 8:display=7'b1111111; 32 | 9:display=7'b1111011; 33 | 34 | 'ha:display=7'b00011101; 35 | 'hb:display=7'b0011111; 36 | 'hc:display=7'b1001110; 37 | 'hd:display=7'b0111101; 38 | 'he:display=7'b1001111; 39 | 'hf:display=7'b1000111; 40 | 41 | default display=7'b1111111; 42 | endcase 43 | 44 | always @* 45 | begin 46 | data[0] = datain[15:12]; 47 | data[1] = datain[11:8]; 48 | data[2] = datain[7:4]; 49 | data[3] = datain[3:0]; 50 | end 51 | 52 | initial begin 53 | count = 2'b0; 54 | grounds = 4'b0111; 55 | clk1 = 0; 56 | end 57 | endmodule 58 | -------------------------------------------------------------------------------- /2020 Microprocessors/Project2/P2_150116001_150116034/input0.txt: -------------------------------------------------------------------------------- 1 | .data 2 | .code 3 | ldi 2 0x0 4 | ldi 4 0x0b00 5 | loop ldi 3 0x0901 6 | ld 3 3 7 | ldi 5 0x1 8 | and 3 3 5 9 | jz loop 10 | ldi 0 0x0900 11 | ld 0 0 12 | ldi 1 0xf 13 | sub 3 1 0 14 | jz addit 15 | ldi 1 0xe 16 | mov 3 2 17 | sub 6 6 5 18 | sub 1 1 0 19 | jz mult 20 | jmp number 21 | number mov 6 0 22 | mov 3 6 23 | mov 0 2 24 | add 7 2 2 25 | jz prtRes 26 | ldi 3 0x0 27 | multw10 ldi 7 0x000a 28 | add 3 3 7 29 | sub 0 0 5 30 | jz sonsum 31 | jmp multw10 32 | addit2 add 3 6 2 33 | jmp prtRes 34 | jmp loop 35 | mult2 ldi 5 0x1 36 | add 3 3 2 37 | sub 6 6 5 38 | jz prtRes 39 | jmp mult 40 | sonsum add 3 3 6 41 | jmp prtRes 42 | prtRes mov 2 3 43 | st 4 2 44 | ldi 3 0x0 45 | jmp loop 46 | addit call addit2 47 | mult call mult2 48 | RETURN ret -------------------------------------------------------------------------------- /2020 Microprocessors/Project2/P2_150116001_150116034/input1.txt: -------------------------------------------------------------------------------- 1 | .data 2 | .code 3 | ldi 2 0x0 4 | ldi 4 0x0b00 5 | loop ldi 3 0x0901 6 | ld 3 3 7 | ldi 5 0x1 8 | and 3 3 5 9 | jz loop 10 | ldi 0 0x0900 11 | ld 0 0 12 | ldi 1 0xf 13 | sub 3 1 0 14 | jz addit 15 | ldi 1 0xe 16 | mov 3 2 17 | sub 6 6 5 18 | sub 1 1 0 19 | jz mult 20 | jmp number 21 | number mov 6 0 22 | st 4 6 23 | jmp loop 24 | addit2 add 3 6 2 25 | jmp prtRes 26 | jmp loop 27 | mult2 ldi 5 0x1 28 | add 3 3 2 29 | sub 6 6 5 30 | jz prtRes 31 | jmp mult 32 | prtRes mov 2 3 33 | st 4 2 34 | jmp loop 35 | addit call addit2 36 | mult call mult2 -------------------------------------------------------------------------------- /2020 Microprocessors/Project2/P2_150116001_150116034/output1.txt: -------------------------------------------------------------------------------- 1 | 1002 2 | 0000 3 | 1004 4 | 0b00 5 | 1003 6 | 0901 7 | 201b 8 | 1005 9 | 0001 10 | 74eb 11 | 4ff9 12 | 1000 13 | 0900 14 | 2000 15 | 1001 16 | 000f 17 | 7243 18 | 4016 19 | 1001 20 | 000e 21 | 7e53 22 | 73ae 23 | 7241 24 | 4011 25 | 5000 26 | 7e46 27 | 31a0 28 | 5fe8 29 | 7193 30 | 5007 31 | 5fe5 32 | 1005 33 | 0001 34 | 70d3 35 | 73ae 36 | 4001 37 | 5004 38 | 7e5a 39 | 30a0 40 | 5fdc 41 | aff3 42 | aff5 -------------------------------------------------------------------------------- /2020 Microprocessors/Project2/P2_150116001_150116034/sevensegment.v: -------------------------------------------------------------------------------- 1 | module sevensegment(datain, grounds, display, clk); 2 | 3 | input wire [15:0] datain; 4 | output reg [3:0] grounds; 5 | output reg [6:0] display; 6 | input clk; 7 | 8 | reg [3:0] data [3:0]; 9 | reg [1:0] count; 10 | reg [25:0] clk1; 11 | 12 | always @(posedge clk1[15]) 13 | begin 14 | grounds <= {grounds[2:0],grounds[3]}; 15 | count <= count + 1; 16 | end 17 | 18 | always @(posedge clk) 19 | clk1 <= clk1 + 1; 20 | 21 | always @(*) 22 | case(data[count]) 23 | 0:display=7'b1111110; //starts with a, ends with g 24 | 1:display=7'b0110000; 25 | 2:display=7'b1101101; 26 | 3:display=7'b1111001; 27 | 4:display=7'b0110011; 28 | 5:display=7'b1011011; 29 | 6:display=7'b1011111; 30 | 7:display=7'b1110000; 31 | 8:display=7'b1111111; 32 | 9:display=7'b1111011; 33 | 'ha:display=7'b1110111; 34 | 'hb:display=7'b0011111; 35 | 'hc:display=7'b1001110; 36 | 'hd:display=7'b0111101; 37 | 'he:display=7'b1001111; 38 | 'hf:display=7'b1000111; 39 | default display=7'b1111111; 40 | endcase 41 | 42 | always @* 43 | begin 44 | data[0] = datain[15:12]; 45 | data[1] = datain[11:8]; 46 | data[2] = datain[7:4]; 47 | data[3] = datain[3:0]; 48 | end 49 | 50 | initial begin 51 | count = 2'b0; 52 | grounds = 4'b0111; 53 | clk1 = 0; 54 | end 55 | endmodule -------------------------------------------------------------------------------- /2020 Microprocessors/Project2/microprocessors_final_project.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Microprocessors/Project2/microprocessors_final_project.pdf -------------------------------------------------------------------------------- /2020 Probability and Statistics/Homework1/Homework_1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Probability and Statistics/Homework1/Homework_1.pdf -------------------------------------------------------------------------------- /2020 Probability and Statistics/Homework1/homework1 report.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Probability and Statistics/Homework1/homework1 report.docx -------------------------------------------------------------------------------- /2020 Probability and Statistics/Homework2/Homework_2_2020_Spring.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Probability and Statistics/Homework2/Homework_2_2020_Spring.pdf -------------------------------------------------------------------------------- /2020 Probability and Statistics/Homework2/homework2 report.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Probability and Statistics/Homework2/homework2 report.docx -------------------------------------------------------------------------------- /2020 Probability and Statistics/Homework2/homework2.R: -------------------------------------------------------------------------------- 1 | # Enes Garip 2 | # 150116034 3 | 4 | ################################### 5 | 6 | load(file = "Homework_2_50samples.rdata") 7 | rdata<-ex0717 8 | library(HistogramTools) 9 | 10 | ################################### 11 | 12 | 13 | ############ PART-A ############### 14 | 15 | sample_values=rdata$`Sample mean` 16 | 17 | RFHistogram<-hist(sample_values,freq = FALSE) 18 | PlotRelativeFrequency(RFHistogram,col=blues9) 19 | 20 | print("The distribution is mound-shaped distrubiton") 21 | 22 | ############ PART-B ############### 23 | calcMeanOfSampleValues=mean(rdata$`Sample mean`) 24 | calcSDOfSampleValues=sd(rdata$`Sample mean`) 25 | 26 | print(calcMeanOfSampleValues) 27 | print(calcSDOfSampleValues) 28 | 29 | ############ PART-C ############### 30 | 31 | theoreticalMean=4.4 32 | theoreticalSD=2.15/sqrt(10) 33 | 34 | differenceOfMeans=theoreticalMean-calcMeanOfSampleValues 35 | differenceOfSD=theoreticalSD-calcSDOfSampleValues 36 | 37 | print(differenceOfMeans) 38 | print(differenceOfSD) 39 | 40 | sprintf("The difference of means is %f and the value is very small so the theoretical mean is very close to the population mean",differenceOfMeans) 41 | sprintf("The difference of standard deviations is %f. The value is very small so the theoretical standard deviation is very close to population standard deviation.",differenceOfSD) 42 | 43 | -------------------------------------------------------------------------------- /2020 Signals and Systems/hw1/enes_garip.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Signals and Systems/hw1/enes_garip.pdf -------------------------------------------------------------------------------- /2020 Signals and Systems/hw1/signals_hw_2020.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Signals and Systems/hw1/signals_hw_2020.pdf -------------------------------------------------------------------------------- /2020 Signals and Systems/hw2/enes_garip_150116034.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Signals and Systems/hw2/enes_garip_150116034.pdf -------------------------------------------------------------------------------- /2020 Signals and Systems/hw2/signals-hw2-2020_2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Signals and Systems/hw2/signals-hw2-2020_2.pdf -------------------------------------------------------------------------------- /2020 Systems Programming/Project 1/input.txt: -------------------------------------------------------------------------------- 1 | 29.109375 2 | 4u 3 | -9 4 | 6u 5 | 0 6 | -63.0 7 | -------------------------------------------------------------------------------- /2020 Systems Programming/Project 1/project1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Systems Programming/Project 1/project1.pdf -------------------------------------------------------------------------------- /2020 Systems Programming/Project 2/bomb150116034/bomb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Systems Programming/Project 2/bomb150116034/bomb -------------------------------------------------------------------------------- /2020 Systems Programming/Project 2/bomblab.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Systems Programming/Project 2/bomblab.pdf -------------------------------------------------------------------------------- /2020 Systems Programming/Project 2/solution.txt: -------------------------------------------------------------------------------- 1 | I am the mayor. I can do anything I want. 2 | 1 2 4 8 16 32 3 | 4 748 4 | 0 11 DrEvil 5 | 5 115 6 | 5 6 3 4 2 1 7 | 47 8 | -------------------------------------------------------------------------------- /2020 Systems Programming/Project 3/cachelab.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2020 Systems Programming/Project 3/cachelab.pdf -------------------------------------------------------------------------------- /2020 Systems Programming/Project 3/traces/traces/test_small.trace: -------------------------------------------------------------------------------- 1 | I 0000fca0, 6 2 | M 000996f0, 4, ec29cdba 3 | M 0003b180, 5, 467cc254f8 4 | I 0000df70, 0 5 | M 000dfa30, 6, 63339fc99a66 6 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/Analysis of Outputs.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Analysis of Algorithms/Homework 1/Analysis of Outputs.xlsx -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/Homework 1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Analysis of Algorithms/Homework 1/Homework 1.pdf -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/abbasgoktugyilmaz_enesgarip_veysioz.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Analysis of Algorithms/Homework 1/abbasgoktugyilmaz_enesgarip_veysioz.pdf -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/codes/BinaryInsertionSort.java: -------------------------------------------------------------------------------- 1 | 2 | 3 | public class BinaryInsertionSort { 4 | private long count; 5 | private long exchange; 6 | protected String fileName; 7 | 8 | public void resetCount() { 9 | count = 0; 10 | exchange = 0; 11 | } 12 | 13 | public void sort(int[] input) { 14 | int length = input.length; 15 | for (int i = 1; i < length; ++i) { 16 | int key = input[i]; 17 | int insertedPosition = findPosition(input, 0, i - 1, key); 18 | 19 | for (int j = i - 1; j >= insertedPosition; --j) { 20 | input[j + 1] = input[j]; 21 | increaseCount(); 22 | } 23 | increaseCount(); 24 | input[insertedPosition] = key; 25 | } 26 | 27 | } 28 | public int findPosition(int[] nums, int start, int end, int key) { 29 | while (start <= end) { 30 | int mid = start + (end - start) / 2; 31 | increaseCount(); 32 | if (key < nums[mid]) { 33 | end = mid - 1; 34 | } else { 35 | start = mid + 1; 36 | } 37 | } 38 | 39 | return start; 40 | } 41 | 42 | private void increaseCount() { 43 | count++; 44 | } 45 | 46 | public long getCount() { 47 | count = count + exchange; 48 | exchange = 0; 49 | return count; 50 | } 51 | } -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/codes/CountingSort.java: -------------------------------------------------------------------------------- 1 | public class CountingSort { 2 | private long count; 3 | private long exchange; 4 | protected String fileName; 5 | 6 | public void sort(int[] input) { 7 | int arrayLength = input.length; 8 | if (arrayLength == 0) 9 | return; 10 | /** find maximum and minimum values **/ 11 | int max = input[0], min = input[0]; 12 | 13 | for (int i = 1; i < arrayLength; i++) { 14 | increaseCount(); 15 | if (input[i] > max) 16 | max = input[i]; 17 | 18 | if (input[i] < min) 19 | min = input[i]; 20 | } 21 | int range = max - min + 1; 22 | int[] countedArray = new int[range]; 23 | /** initialize the occurrence of each element in the count array **/ 24 | for (int i = 0; i < arrayLength; i++) { 25 | countedArray[input[i] - min]++; 26 | } 27 | /** calculate sum of indexes **/ 28 | for (int i = 1; i < range; i++) { 29 | countedArray[i] += countedArray[i - 1]; 30 | } 31 | /** modify original array according to the sum count **/ 32 | int j = 0; 33 | for (int i = 0; i < range; i++) { 34 | while (j < countedArray[i]) { 35 | input[j++] = i + min; 36 | increaseCount(); 37 | } 38 | } 39 | } 40 | 41 | private void increaseCount() { 42 | count++; 43 | } 44 | 45 | 46 | public void resetCount() { 47 | count = 0; 48 | exchange = 0; 49 | } 50 | 51 | public long getCount() { 52 | count = count + exchange; 53 | exchange = 0; 54 | return count; 55 | } 56 | } -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/codes/InsertionSort.java: -------------------------------------------------------------------------------- 1 | 2 | public class InsertionSort { 3 | private long count; 4 | private long exchange; 5 | protected String fileName; 6 | 7 | public int sort(int[] input) { 8 | for (int i = 1; i < input.length; i++) { 9 | int key = input[i]; 10 | int j = i - 1; 11 | 12 | while (j >= 0 && input[j] > key) { 13 | increaseCount(); 14 | input[j+1] = input[j]; 15 | j--; 16 | } 17 | increaseCount(); 18 | input[j+1] = key; 19 | } 20 | int medianPosition = input.length / 2; 21 | 22 | return input[medianPosition]; 23 | } 24 | 25 | public void increaseCount() { 26 | count++; 27 | } 28 | 29 | public void increaseCount(long c) { 30 | count = count + c; 31 | } 32 | 33 | public long getCount() { 34 | count = count + exchange; 35 | exchange = 0; 36 | return count; 37 | } 38 | 39 | public void resetCount() { 40 | count = 0; 41 | exchange = 0; 42 | } 43 | 44 | public void increaseExchange() { 45 | exchange++; 46 | } 47 | } 48 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/codes/QuickSort.java: -------------------------------------------------------------------------------- 1 | public class QuickSort { 2 | private long count; 3 | private long exchange; 4 | protected String fileName; 5 | 6 | public void resetCount() { 7 | count = 0; 8 | exchange = 0; 9 | } 10 | 11 | public void sort(int[] input,int beginning,int end) { 12 | int index; 13 | increaseCount(); 14 | if (beginning>end){ 15 | return; 16 | } 17 | index=partition(input,beginning,end); 18 | sort(input,beginning,index-1); 19 | sort(input,index+1,end); 20 | 21 | } 22 | 23 | private int partition(int[] input, int beginning, int end) { 24 | int pivot = input[beginning]; 25 | int i = beginning; 26 | int j=end; 27 | while(ipivot){ 32 | j--; 33 | } 34 | if (i < j){ 35 | if(input[i] == input[j]){ 36 | i++; 37 | } 38 | swap(input,i,j); 39 | } 40 | increaseCount(); 41 | } 42 | // swap(input,beginning,j); 43 | return j; 44 | } 45 | 46 | private void increaseCount() { 47 | count++; 48 | } 49 | 50 | private void swap(int[] list, int i, int j) { 51 | int temp = list[i]; 52 | list[i] = list[j]; 53 | list[j] = temp; 54 | increaseCount(); 55 | } 56 | 57 | public long getCount() { 58 | count = count + exchange; 59 | exchange = 0; 60 | return count; 61 | } 62 | } -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/BinaryInsertionSort_50PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 8 2 | 8 26 3 | 16 72 4 | 32 212 5 | 64 545 6 | 128 1801 7 | 256 5783 8 | 512 18389 9 | 1024 63464 10 | 2048 255123 11 | 4096 950719 12 | 8192 3710786 13 | 16384 14790358 14 | 32768 58121074 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/BinaryInsertionSort_75PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 8 2 | 8 24 3 | 16 64 4 | 32 161 5 | 64 419 6 | 128 1103 7 | 256 2939 8 | 512 8124 9 | 1024 24834 10 | 2048 77987 11 | 4096 273796 12 | 8192 1036398 13 | 16384 3783300 14 | 32768 14968030 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/BinaryInsertionSort_Ordered.txt: -------------------------------------------------------------------------------- 1 | 4 8 2 | 8 24 3 | 16 64 4 | 32 160 5 | 64 384 6 | 128 896 7 | 256 2048 8 | 512 4608 9 | 1024 10240 10 | 2048 22528 11 | 4096 49152 12 | 8192 106496 13 | 16384 229376 14 | 32768 491520 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/BinaryInsertionSort_RandomOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 12 2 | 8 37 3 | 16 124 4 | 32 330 5 | 64 1372 6 | 128 5428 7 | 256 16993 8 | 512 70692 9 | 1024 269715 10 | 2048 1066939 11 | 4096 4218891 12 | 8192 16863960 13 | 16384 67000753 14 | 32768 269016714 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/BinaryInsertionSort_ReverseOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 13 2 | 8 48 3 | 16 173 4 | 32 630 5 | 64 2343 6 | 128 8903 7 | 256 34423 8 | 512 134848 9 | 1024 532661 10 | 2048 2115146 11 | 4096 8424922 12 | 8192 33619149 13 | 16384 134296961 14 | 32768 536795245 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/CountingSort_50PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 7 2 | 8 15 3 | 16 31 4 | 32 63 5 | 64 127 6 | 128 255 7 | 256 511 8 | 512 1023 9 | 1024 2047 10 | 2048 4095 11 | 4096 8191 12 | 8192 16383 13 | 16384 32767 14 | 32768 65535 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/CountingSort_75PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 7 2 | 8 15 3 | 16 31 4 | 32 63 5 | 64 127 6 | 128 255 7 | 256 511 8 | 512 1023 9 | 1024 2047 10 | 2048 4095 11 | 4096 8191 12 | 8192 16383 13 | 16384 32767 14 | 32768 65535 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/CountingSort_Ordered.txt: -------------------------------------------------------------------------------- 1 | 4 7 2 | 8 15 3 | 16 31 4 | 32 63 5 | 64 127 6 | 128 255 7 | 256 511 8 | 512 1023 9 | 1024 2047 10 | 2048 4095 11 | 4096 8191 12 | 8192 16383 13 | 16384 32767 14 | 32768 65535 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/CountingSort_RandomOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 7 2 | 8 15 3 | 16 31 4 | 32 63 5 | 64 127 6 | 128 255 7 | 256 511 8 | 512 1023 9 | 1024 2047 10 | 2048 4095 11 | 4096 8191 12 | 8192 16383 13 | 16384 32767 14 | 32768 65535 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/CountingSort_ReverseOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 7 2 | 8 15 3 | 16 31 4 | 32 63 5 | 64 127 6 | 128 255 7 | 256 511 8 | 512 1023 9 | 1024 2047 10 | 2048 4095 11 | 4096 8191 12 | 8192 16383 13 | 16384 32767 14 | 32768 65535 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/HeapSort_50PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 13 2 | 8 36 3 | 16 80 4 | 32 220 5 | 64 523 6 | 128 1224 7 | 256 2763 8 | 512 6210 9 | 1024 13648 10 | 2048 29869 11 | 4096 64791 12 | 8192 139732 13 | 16384 299560 14 | 32768 639806 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/HeapSort_75PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 13 2 | 8 34 3 | 16 92 4 | 32 212 5 | 64 545 6 | 128 1263 7 | 256 2852 8 | 512 6368 9 | 1024 13983 10 | 2048 30411 11 | 4096 65866 12 | 8192 141346 13 | 16384 297755 14 | 32768 621501 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/HeapSort_Ordered.txt: -------------------------------------------------------------------------------- 1 | 4 13 2 | 8 34 3 | 16 93 4 | 32 233 5 | 64 568 6 | 128 1301 7 | 256 2918 8 | 512 6495 9 | 1024 14237 10 | 2048 30996 11 | 4096 67059 12 | 8192 143176 13 | 16384 295848 14 | 32768 601648 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/HeapSort_RandomOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 6 2 | 8 28 3 | 16 71 4 | 32 203 5 | 64 474 6 | 128 1115 7 | 256 2600 8 | 512 5754 9 | 1024 12870 10 | 2048 28107 11 | 4096 61533 12 | 8192 133176 13 | 16384 287147 14 | 32768 614806 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/HeapSort_ReverseOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 4 2 | 8 19 3 | 16 54 4 | 32 143 5 | 64 365 6 | 128 892 7 | 256 2076 8 | 512 4748 9 | 1024 10722 10 | 2048 24162 11 | 4096 53283 12 | 8192 116122 13 | 16384 253623 14 | 32768 548442 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/InsertionSort_50PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 3 2 | 8 9 3 | 16 24 4 | 32 83 5 | 64 229 6 | 128 1050 7 | 256 4032 8 | 512 14380 9 | 1024 54435 10 | 2048 235018 11 | 4096 906434 12 | 8192 3614037 13 | 16384 14580510 14 | 32768 57668731 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/InsertionSort_75PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 3 2 | 8 7 3 | 16 15 4 | 32 32 5 | 64 98 6 | 128 336 7 | 256 1151 8 | 512 4041 9 | 1024 15645 10 | 2048 57577 11 | 4096 228864 12 | 8192 938389 13 | 16384 3570893 14 | 32768 14510486 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/InsertionSort_Ordered.txt: -------------------------------------------------------------------------------- 1 | 4 3 2 | 8 7 3 | 16 15 4 | 32 31 5 | 64 63 6 | 128 127 7 | 256 255 8 | 512 511 9 | 1024 1023 10 | 2048 2047 11 | 4096 4095 12 | 8192 8191 13 | 16384 16383 14 | 32768 32767 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/InsertionSort_RandomOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 8 2 | 8 22 3 | 16 77 4 | 32 210 5 | 64 1072 6 | 128 4709 7 | 256 15291 8 | 512 66774 9 | 1024 260879 10 | 2048 1047257 11 | 4096 4175416 12 | 8192 16768797 13 | 16384 66794109 14 | 32768 268570585 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/InsertionSort_ReverseOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 9 2 | 8 35 3 | 16 135 4 | 32 527 5 | 64 2079 6 | 128 8251 7 | 256 32864 8 | 512 131194 9 | 1024 524256 10 | 2048 2096091 11 | 4096 8382291 12 | 8192 33525039 13 | 16384 134091501 14 | 32768 536350516 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/MedianOfThreeSort_50PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 9 2 | 8 15 3 | 16 47 4 | 32 68 5 | 64 120 6 | 128 239 7 | 256 461 8 | 512 827 9 | 1024 2021 10 | 2048 4705 11 | 4096 9404 12 | 8192 14489 13 | 16384 31862 14 | 32768 64071 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/MedianOfThreeSort_75PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 8 2 | 8 15 3 | 16 31 4 | 32 63 5 | 64 128 6 | 128 255 7 | 256 511 8 | 512 1024 9 | 1024 2051 10 | 2048 4106 11 | 4096 4097 12 | 8192 16380 13 | 16384 32659 14 | 32768 65255 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/MedianOfThreeSort_Ordered.txt: -------------------------------------------------------------------------------- 1 | 4 8 2 | 8 15 3 | 16 31 4 | 32 63 5 | 64 65 6 | 128 255 7 | 256 511 8 | 512 1025 9 | 1024 2058 10 | 2048 4112 11 | 4096 8244 12 | 8192 16481 13 | 16384 32658 14 | 32768 65564 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/MedianOfThreeSort_RandomOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 5 2 | 8 16 3 | 16 41 4 | 32 113 5 | 64 112 6 | 128 318 7 | 256 713 8 | 512 1490 9 | 1024 1890 10 | 2048 5301 11 | 4096 7069 12 | 8192 32204 13 | 16384 48918 14 | 32768 125217 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/MedianOfThreeSort_ReverseOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 5 2 | 8 9 3 | 16 17 4 | 32 33 5 | 64 65 6 | 128 129 7 | 256 257 8 | 512 513 9 | 1024 1025 10 | 2048 4101 11 | 4096 13325 12 | 8192 16395 13 | 16384 32884 14 | 32768 98302 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/MergeSort_50PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 14 2 | 8 38 3 | 16 94 4 | 32 222 5 | 64 510 6 | 128 1150 7 | 256 2558 8 | 512 5630 9 | 1024 12286 10 | 2048 26622 11 | 4096 57342 12 | 8192 122878 13 | 16384 262142 14 | 32768 557054 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/MergeSort_75PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 14 2 | 8 38 3 | 16 94 4 | 32 222 5 | 64 510 6 | 128 1150 7 | 256 2558 8 | 512 5630 9 | 1024 12286 10 | 2048 26622 11 | 4096 57342 12 | 8192 122878 13 | 16384 262142 14 | 32768 557054 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/MergeSort_Ordered.txt: -------------------------------------------------------------------------------- 1 | 4 14 2 | 8 38 3 | 16 94 4 | 32 222 5 | 64 510 6 | 128 1150 7 | 256 2558 8 | 512 5630 9 | 1024 12286 10 | 2048 26622 11 | 4096 57342 12 | 8192 122878 13 | 16384 262142 14 | 32768 557054 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/MergeSort_RandomOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 14 2 | 8 38 3 | 16 94 4 | 32 222 5 | 64 510 6 | 128 1150 7 | 256 2558 8 | 512 5630 9 | 1024 12286 10 | 2048 26622 11 | 4096 57342 12 | 8192 122878 13 | 16384 262142 14 | 32768 557054 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/MergeSort_ReverseOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 14 2 | 8 38 3 | 16 94 4 | 32 222 5 | 64 510 6 | 128 1150 7 | 256 2558 8 | 512 5630 9 | 1024 12286 10 | 2048 26622 11 | 4096 57342 12 | 8192 122878 13 | 16384 262142 14 | 32768 557054 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/QuickSort_50PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 13 2 | 8 26 3 | 16 77 4 | 32 155 5 | 64 291 6 | 128 722 7 | 256 1570 8 | 512 3225 9 | 1024 8073 10 | 2048 19291 11 | 4096 47256 12 | 8192 135357 13 | 16384 421039 14 | 32768 1440497 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/QuickSort_75PercentOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 12 2 | 8 25 3 | 16 57 4 | 32 147 5 | 64 254 6 | 128 506 7 | 256 1101 8 | 512 2601 9 | 1024 5285 10 | 2048 14015 11 | 4096 36144 12 | 8192 107405 13 | 16384 359111 14 | 32768 1284502 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/QuickSort_Ordered.txt: -------------------------------------------------------------------------------- 1 | 4 12 2 | 8 24 3 | 16 48 4 | 32 96 5 | 64 192 6 | 128 387 7 | 256 772 8 | 512 1590 9 | 1024 3581 10 | 2048 8646 11 | 4096 25261 12 | 8192 83298 13 | 16384 300597 14 | 32768 1137819 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/QuickSort_RandomOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 17 2 | 8 36 3 | 16 83 4 | 32 176 5 | 64 433 6 | 128 977 7 | 256 2181 8 | 512 4988 9 | 1024 11123 10 | 2048 24078 11 | 4096 57699 12 | 8192 151167 13 | 16384 435309 14 | 32768 1414723 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 1/outputs/QuickSort_ReverseOrdered.txt: -------------------------------------------------------------------------------- 1 | 4 16 2 | 8 32 3 | 16 64 4 | 32 128 5 | 64 256 6 | 128 511 7 | 256 1068 8 | 512 2193 9 | 1024 4792 10 | 2048 11273 11 | 4096 29448 12 | 8192 90231 13 | 16384 312704 14 | 32768 1158854 15 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 2/Homework 2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Analysis of Algorithms/Homework 2/Homework 2.pdf -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 2/Report.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Analysis of Algorithms/Homework 2/Report.docx -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 2/Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Analysis of Algorithms/Homework 2/Report.pdf -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 2/inputs/test1.txt: -------------------------------------------------------------------------------- 1 | 2 105 2 | 41850 38261 23800 21697 7074 5587 5560 5500 3450 2391 3 | 761 460 367 24785 47910 30250 107200 4235 9835 9262 4 | 15000 6399 6155 10874 37100 27040 4117 32240 1600 4500 5 | 70610 6570 15290 23840 16500 7010 16020 8000 31026 2568 6 | 2365 4350 1972 4975 29400 7471 2700 3840 22400 3575 7 | 13500 1125 11950 12753 10568 15600 20652 13150 2900 1790 8 | 4970 5770 8180 2450 7140 12470 6010 16000 11100 11093 9 | 4685 2590 11500 5820 2842 5000 3300 2800 5420 900 10 | 13300 8450 5300 750 1435 2100 7215 2605 2422 5500 11 | 8550 2700 540 2550 2450 725 445 700 1720 2675 12 | 220 300 405 150 70 13 | 3000 3000 14 | 75 40 365 95 25 17 125 20 22 84 15 | 75 50 15 0 0 12 0 10 0 50 16 | 0 0 10 0 0 50 60 150 0 0 17 | 75 0 102 0 0 40 60 0 165 0 18 | 0 0 45 0 0 0 25 0 150 0 19 | 0 0 158 0 85 95 0 89 20 0 20 | 0 0 0 0 0 80 0 110 0 15 21 | 0 60 5 135 0 0 25 0 300 35 22 | 100 0 0 25 0 0 225 25 0 0 23 | 0 0 0 0 0 5 0 60 0 100 24 | 0 0 0 0 0 25 | 0 0 0 0 0 0 0 0 0 0 26 | 0 0 0 5 10 10 50 2 5 5 27 | 10 5 6 11 41 30 5 40 2 6 28 | 100 10 25 39 30 13 30 15 60 5 29 | 5 10 5 15 91 24 10 15 90 15 30 | 60 5 55 60 50 75 100 65 15 10 31 | 30 35 50 15 45 80 40 110 80 80 32 | 36 20 90 50 25 50 35 30 60 10 33 | 150 110 70 10 20 30 104 40 40 94 34 | 150 50 10 50 50 16 10 20 50 90 35 | 10 15 39 20 20 -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 2/inputs/test2.txt: -------------------------------------------------------------------------------- 1 | 5 90 2 | 360 83 59 130 431 67 230 52 93 125 3 | 670 892 600 38 48 147 78 256 63 17 4 | 120 164 432 35 92 110 22 42 50 323 5 | 514 28 87 73 78 15 26 78 210 36 6 | 85 189 274 43 33 10 19 389 276 312 7 | 94 68 73 192 41 163 16 40 195 138 8 | 73 152 400 26 14 170 205 57 369 435 9 | 123 25 94 88 90 146 55 29 82 74 10 | 100 72 31 29 316 244 70 82 90 52 11 | 2100 1100 3300 3700 3600 12 | 7 0 30 22 80 94 11 81 70 64 13 | 59 18 0 36 3 8 15 42 9 0 14 | 42 47 52 32 26 48 55 6 29 84 15 | 2 4 18 56 7 29 93 44 71 3 16 | 86 66 31 65 0 79 20 65 52 13 17 | 48 14 5 72 14 39 46 27 11 91 18 | 15 25 0 94 53 48 27 99 6 17 19 | 69 43 0 57 7 21 78 10 37 26 20 | 20 8 4 43 17 25 36 60 84 40 21 | 8 66 98 50 0 30 0 88 15 37 22 | 26 72 61 57 17 27 83 3 9 66 23 | 97 42 2 44 71 11 25 74 90 20 24 | 0 38 33 14 9 23 12 58 6 14 25 | 78 0 12 99 84 31 16 7 33 20 26 | 5 18 96 63 31 0 70 4 66 9 27 | 15 25 2 0 48 1 40 31 82 79 28 | 56 34 3 19 52 36 95 6 35 34 29 | 74 26 10 85 63 31 22 9 92 18 30 | 3 74 88 50 55 19 0 6 30 62 31 | 17 81 25 46 67 28 36 8 1 52 32 | 19 37 27 62 39 84 16 14 21 5 33 | 60 82 72 89 16 5 29 7 80 97 34 | 41 46 15 92 51 76 57 90 10 37 35 | 25 93 5 39 0 97 6 96 2 81 36 | 69 4 32 78 65 83 62 89 45 53 37 | 52 76 72 23 89 48 41 1 27 19 38 | 3 32 82 20 2 51 18 42 4 26 39 | 21 40 0 6 82 91 43 30 62 91 40 | 10 41 12 4 80 77 98 50 78 35 41 | 7 1 96 67 85 4 23 38 2 57 42 | 4 53 0 33 2 25 14 97 87 42 43 | 15 65 19 83 67 70 80 39 9 5 44 | 41 31 36 15 30 87 28 13 40 0 45 | 51 79 75 43 91 60 24 18 85 83 46 | 3 85 2 5 51 63 52 85 17 62 47 | 7 86 48 2 1 15 74 80 57 16 48 | 94 86 80 92 31 17 65 51 46 66 49 | 44 3 26 0 39 20 11 6 55 70 50 | 11 75 82 35 47 99 5 14 23 38 51 | 94 66 64 27 77 50 28 25 61 10 52 | 30 15 12 24 90 25 39 47 98 83 53 | 56 36 6 66 89 45 38 1 18 88 54 | 19 39 20 1 7 34 68 32 31 58 55 | 41 99 92 67 33 26 25 68 37 6 56 | 11 17 48 79 63 77 17 29 18 60 -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 2/outputs/output1.txt: -------------------------------------------------------------------------------- 1 | 942089 2 | 0 3 | 0 4 | 0 5 | 0 6 | 0 7 | 0 8 | 0 9 | 0 10 | 0 11 | 0 12 | 0 13 | 0 14 | 0 15 | 1 16 | 1 17 | 1 18 | 1 19 | 1 20 | 1 21 | 1 22 | 1 23 | 1 24 | 1 25 | 1 26 | 1 27 | 1 28 | 1 29 | 1 30 | 1 31 | 1 32 | 1 33 | 1 34 | 1 35 | 1 36 | 1 37 | 1 38 | 1 39 | 1 40 | 1 41 | 1 42 | 1 43 | 1 44 | 1 45 | 1 46 | 1 47 | 1 48 | 1 49 | 1 50 | 1 51 | 1 52 | 1 53 | 1 54 | 1 55 | 1 56 | 1 57 | 1 58 | 1 59 | 1 60 | 1 61 | 1 62 | 1 63 | 1 64 | 1 65 | 1 66 | 1 67 | 1 68 | 1 69 | 1 70 | 1 71 | 1 72 | 1 73 | 1 74 | 1 75 | 1 76 | 1 77 | 1 78 | 1 79 | 1 80 | 1 81 | 1 82 | 1 83 | 1 84 | 1 85 | 1 86 | 1 87 | 1 88 | 1 89 | 1 90 | 1 91 | 1 92 | 0 93 | 0 94 | 0 95 | 0 96 | 0 97 | 0 98 | 0 99 | 0 100 | 0 101 | 0 102 | 0 103 | 0 104 | 0 105 | 0 106 | 0 107 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 2/outputs/output2.txt: -------------------------------------------------------------------------------- 1 | 13260 2 | 1 3 | 1 4 | 1 5 | 1 6 | 1 7 | 1 8 | 1 9 | 1 10 | 1 11 | 1 12 | 1 13 | 1 14 | 1 15 | 0 16 | 1 17 | 1 18 | 1 19 | 1 20 | 1 21 | 0 22 | 1 23 | 1 24 | 1 25 | 1 26 | 1 27 | 1 28 | 1 29 | 1 30 | 1 31 | 1 32 | 1 33 | 1 34 | 1 35 | 1 36 | 1 37 | 0 38 | 1 39 | 1 40 | 1 41 | 1 42 | 1 43 | 1 44 | 1 45 | 1 46 | 0 47 | 1 48 | 1 49 | 1 50 | 1 51 | 1 52 | 1 53 | 1 54 | 1 55 | 1 56 | 1 57 | 1 58 | 1 59 | 1 60 | 1 61 | 1 62 | 1 63 | 1 64 | 1 65 | 1 66 | 1 67 | 1 68 | 1 69 | 1 70 | 1 71 | 1 72 | 1 73 | 0 74 | 1 75 | 1 76 | 1 77 | 1 78 | 1 79 | 1 80 | 1 81 | 1 82 | 1 83 | 1 84 | 1 85 | 0 86 | 1 87 | 1 88 | 1 89 | 1 90 | 1 91 | 1 92 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 2/outputs/output3.txt: -------------------------------------------------------------------------------- 1 | 9443 2 | 0 3 | 1 4 | 0 5 | 1 6 | 1 7 | 1 8 | 1 9 | 1 10 | 1 11 | 1 12 | 1 13 | 1 14 | 1 15 | 1 16 | 1 17 | 1 18 | 1 19 | 1 20 | 1 21 | 1 22 | 1 23 | 1 24 | 1 25 | 1 26 | 1 27 | 1 28 | 1 29 | 1 30 | 1 31 | 1 32 | 1 33 | 1 34 | 1 35 | 1 36 | 1 37 | 1 38 | 1 39 | 1 40 | 1 41 | 1 42 | 1 43 | 1 44 | 1 45 | 1 46 | 1 47 | 1 48 | 1 49 | 1 50 | 1 51 | 1 52 | 0 53 | 0 54 | 1 55 | 1 56 | 1 57 | 1 58 | 1 59 | 1 60 | 1 61 | 1 62 | -------------------------------------------------------------------------------- /2021 Analysis of Algorithms/Homework 2/outputs/output4.txt: -------------------------------------------------------------------------------- 1 | 2182 2 | 1 3 | 1 4 | 1 5 | 1 6 | 1 7 | 0 8 | 1 9 | 1 10 | 0 11 | 1 12 | 1 13 | 1 14 | 1 15 | 1 16 | 1 17 | 1 18 | 1 19 | 1 20 | 0 21 | 1 22 | 1 23 | 0 24 | 1 25 | 1 26 | 1 27 | 1 28 | 1 29 | 1 30 | 1 31 | 0 32 | 1 33 | 1 34 | 1 35 | 1 36 | 1 37 | 1 38 | 1 39 | 0 40 | 1 41 | 1 42 | -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW1/150116034_HW1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW1/150116034_HW1.pdf -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/Questions.sql: -------------------------------------------------------------------------------- 1 | -- smalldatetime throw an error because of dateformat. So, I set the dateformat DD/MM/YYYY 2 | USE EG_150116034; 3 | SET DATEFORMAT DMY; 4 | 5 | -- A) 6 | SELECT s.fName, s.lName, s.birthDate, s.city FROM STUDENT s; 7 | 8 | -- B) 9 | SELECT s.fName, s.lName, d.dName, st.fName, st.lName 10 | FROM STUDENT s, ADVISOR a, DEPARTMENT d, STAFF st 11 | WHERE s.advisorID = a.staffID AND s.deptCode = d.deptCode AND a.staffID = st.staffID 12 | ORDER BY d.dName, s.lName; 13 | 14 | -- C) 15 | SELECT DISTINCT s.fName, s.lName 16 | FROM STUDENT s, DEPARTMENT d 17 | WHERE s.deptCode=d.deptCode AND d.dName = 'Computer Engineering'; 18 | 19 | -- D) 20 | SELECT * 21 | FROM STUDENT s 22 | WHERE s.fName LIKE '%at%'; 23 | 24 | -- E) 25 | SELECT * 26 | FROM STAFF st, MANAGER m 27 | WHERE m.staffID = st.staffID AND st.isMarried='1' AND DATEDIFF(YEAR,st.birthDate,GETDATE())>40 AND st.noOfChildren >= 2; 28 | 29 | -- F) 30 | SELECT s.studentID, s.fName, s.lName, d.dName, di.dateOfGraduation 31 | FROM STUDENT s, DEPARTMENT d, DIPLOMA di 32 | WHERE s.deptCode = d.deptCode AND s.studentID = di.studentID AND DATEDIFF(DAY,di.dateOfGraduation,GETDATE())>0; 33 | -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/hw2_EG_150116034.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/hw2_EG_150116034.bak -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/screenshots/database diagram.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/screenshots/database diagram.png -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/screenshots/q1-1.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/screenshots/q1-1.png -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/screenshots/q1-2.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/screenshots/q1-2.png -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/screenshots/q2-1.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/screenshots/q2-1.png -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/screenshots/q2-2.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/screenshots/q2-2.png -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/screenshots/q3.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/screenshots/q3.png -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/screenshots/q4.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/screenshots/q4.png -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/screenshots/q5.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/screenshots/q5.png -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/screenshots/q6.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/screenshots/q6.png -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW2/screenshots/queries.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW2/screenshots/queries.png -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW3/hw3_150116034.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW3/hw3_150116034.bak -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW3/hw3_150116034_scr.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW3/hw3_150116034_scr.pdf -------------------------------------------------------------------------------- /2021 Database Systems/Homeworks/HW4/hw4_150116034_scr.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Database Systems/Homeworks/HW4/hw4_150116034_scr.pdf -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 1/CSE4057_HW1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 1/CSE4057_HW1.pdf -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 1/Homework1_Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 1/Homework1_Report.pdf -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 1/ctr.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 1/ctr.jpg -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 1/test.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 1/test.jpg -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/CSE 4057 Programming Assignment 2021.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 2/CSE 4057 Programming Assignment 2021.pdf -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/Homework-2 Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 2/Homework-2 Report.pdf -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/Main.java: -------------------------------------------------------------------------------- 1 | public class Main { 2 | 3 | public static void main(String[] args) throws Exception { 4 | 5 | // Server initialize 6 | initServer(); 7 | 8 | } 9 | public static void initServer() throws Exception { 10 | WebServer server=new WebServer(); 11 | server.start(); 12 | } 13 | } 14 | -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/README.txt: -------------------------------------------------------------------------------- 1 | Mikail Torun 150116021 2 | Enes Garip 150116034 3 | Mert İsmail Eği 150115025 -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/WebServer.java: -------------------------------------------------------------------------------- 1 | import javax.crypto.SecretKey; 2 | import javax.crypto.spec.IvParameterSpec; 3 | import java.io.File; 4 | import java.net.ServerSocket; 5 | import java.net.Socket; 6 | import java.util.ArrayList; 7 | 8 | public class WebServer { 9 | //Server starting... 10 | public void start() throws Exception { 11 | ArrayList onlineUsers= new ArrayList<>();// Arraylists for online users 12 | ArrayList onlineUsers2= new ArrayList<>(); 13 | ArrayList postedImages= new ArrayList<>(); // Arraylists for postedImages 14 | ArrayList keyArrayList=new ArrayList<>();// Arraylist for keys 15 | ArrayList ivParameterSpecList=new ArrayList<>();// Arraylist for iv 16 | ArrayList ciphered=new ArrayList<>();// Arraylist for ciphered text 17 | int port=8081; 18 | ServerSocket serverSocket=new ServerSocket(port); 19 | System.out.println("Web Server starting up on port "+port+"."); 20 | System.out.println("Server Link: http://localhost:"+port); 21 | String pageLink="http://localhost:"+port; 22 | while (true){ 23 | Socket socket=serverSocket.accept(); 24 | Connection connection=new Connection(socket,pageLink,onlineUsers,onlineUsers2,postedImages,keyArrayList,ivParameterSpecList,ciphered); 25 | connection.runServer(); 26 | } 27 | } 28 | 29 | } 30 | -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/images/1_CeuWv9fCjD1uTiTuKytnBQ.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 2/images/1_CeuWv9fCjD1uTiTuKytnBQ.png -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/images/1_O0S2-UBv_Y1RRgHgRkg1yQ.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 2/images/1_O0S2-UBv_Y1RRgHgRkg1yQ.png -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/images/Logo-de-Wireshark.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 2/images/Logo-de-Wireshark.png -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/images/angularjs-big-size.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 2/images/angularjs-big-size.png -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/images/logo-java.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 2/images/logo-java.png -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/images/python-logo-master-v3-tm.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 2/images/python-logo-master-v3-tm.png -------------------------------------------------------------------------------- /2021 Information Systems Security/Project 2/images/ubuntu-logo-1200x900.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Information Systems Security/Project 2/images/ubuntu-logo-1200x900.png -------------------------------------------------------------------------------- /2021 Introduction to Computational Genomics/Project/150116034_150116013_150117062_Assignment1_Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Introduction to Computational Genomics/Project/150116034_150116013_150117062_Assignment1_Report.pdf -------------------------------------------------------------------------------- /2021 Introduction to Computational Genomics/Project/CSE4065_Assignment I_2021_-1041835215.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Introduction to Computational Genomics/Project/CSE4065_Assignment I_2021_-1041835215.pdf -------------------------------------------------------------------------------- /2021 Introduction to Machine Learning/Homeworks/HW2/HW2_Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Introduction to Machine Learning/Homeworks/HW2/HW2_Report.pdf -------------------------------------------------------------------------------- /2021 Introduction to Machine Learning/Homeworks/HW2/homework2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Introduction to Machine Learning/Homeworks/HW2/homework2.pdf -------------------------------------------------------------------------------- /2021 Introduction to Machine Learning/Homeworks/HW3/HW3_Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Introduction to Machine Learning/Homeworks/HW3/HW3_Report.pdf -------------------------------------------------------------------------------- /2021 Introduction to Machine Learning/Homeworks/HW3/homework3.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Introduction to Machine Learning/Homeworks/HW3/homework3.pdf -------------------------------------------------------------------------------- /2021 Introduction to Machine Learning/Homeworks/HW4/HW4_Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Introduction to Machine Learning/Homeworks/HW4/HW4_Report.pdf -------------------------------------------------------------------------------- /2021 Introduction to Machine Learning/Homeworks/HW4/homework4.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Introduction to Machine Learning/Homeworks/HW4/homework4.pdf -------------------------------------------------------------------------------- /2021 Introduction to Machine Learning/Project/ML_Project-MustafaSertaçÖztürk-TunahanAydın-EnesGarip/ML_Final_Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Introduction to Machine Learning/Project/ML_Project-MustafaSertaçÖztürk-TunahanAydın-EnesGarip/ML_Final_Report.pdf -------------------------------------------------------------------------------- /2021 Introduction to Machine Learning/Project/ML_Project-MustafaSertaçÖztürk-TunahanAydın-EnesGarip/ML_Presentation.pptx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2021 Introduction to Machine Learning/Project/ML_Project-MustafaSertaçÖztürk-TunahanAydın-EnesGarip/ML_Presentation.pptx -------------------------------------------------------------------------------- /2022 Principles of Programming Languages/Project/21_22_Spring_CSE4060_p1_p2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/2022 Principles of Programming Languages/Project/21_22_Spring_CSE4060_p1_p2.pdf -------------------------------------------------------------------------------- /Java&JavaFX Term Project/CSE1142_Project.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/CSE1142_Project.pdf -------------------------------------------------------------------------------- /Java&JavaFX Term Project/Disconnector.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/Disconnector.class -------------------------------------------------------------------------------- /Java&JavaFX Term Project/HorizontalLineWithCircle.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/HorizontalLineWithCircle.class -------------------------------------------------------------------------------- /Java&JavaFX Term Project/Level1.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/Level1.class -------------------------------------------------------------------------------- /Java&JavaFX Term Project/Level2.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/Level2.class -------------------------------------------------------------------------------- /Java&JavaFX Term Project/Level3.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/Level3.class -------------------------------------------------------------------------------- /Java&JavaFX Term Project/Level4.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/Level4.class -------------------------------------------------------------------------------- /Java&JavaFX Term Project/Level5.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/Level5.class -------------------------------------------------------------------------------- /Java&JavaFX Term Project/Project.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/Project.class -------------------------------------------------------------------------------- /Java&JavaFX Term Project/Project.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/Project.java -------------------------------------------------------------------------------- /Java&JavaFX Term Project/ProjectReport.docx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/ProjectReport.docx -------------------------------------------------------------------------------- /Java&JavaFX Term Project/VerticalLineWithCircle.class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/VerticalLineWithCircle.class -------------------------------------------------------------------------------- /Java&JavaFX Term Project/congrats.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/enesgarip/Projects/a8b3d58ff811a6021bc25db7f65d91fc1e547ab9/Java&JavaFX Term Project/congrats.gif -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- 1 | MIT License 2 | 3 | Copyright (c) 2021 Enes Garip 4 | 5 | Permission is hereby granted, free of charge, to any person obtaining a copy 6 | of this software and associated documentation files (the "Software"), to deal 7 | in the Software without restriction, including without limitation the rights 8 | to use, copy, modify, merge, publish, distribute, sublicense, and/or sell 9 | copies of the Software, and to permit persons to whom the Software is 10 | furnished to do so, subject to the following conditions: 11 | 12 | The above copyright notice and this permission notice shall be included in all 13 | copies or substantial portions of the Software. 14 | 15 | THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 16 | IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 17 | FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 18 | AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 19 | LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, 20 | OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE 21 | SOFTWARE. 22 | --------------------------------------------------------------------------------