├── .gitignore ├── AnalogMax ├── AnalogMax.sdc └── pinmap.tcl ├── LICENSE ├── QMTECH_Artix7_Wukong ├── Wukong_v1.xdc ├── Wukong_v2.xdc └── blinky.v ├── README.md ├── a_c4e6e10 ├── a_c4e6e10.tcl └── blinky_a_c4e6e10.sv ├── ac701 ├── blinky.xdc └── blinky_ac701.v ├── agilex5 ├── agilex5.sdc └── agilex5_dk-a5e065bb32aes1.tcl ├── alchitry_au └── blinky.xdc ├── alchitry_au_plus └── blinky.xdc ├── alchitry_au_plus_io └── blinky.xdc ├── alchitry_cu ├── alchitry.sdc └── pinout.pcf ├── alchitry_cu_io ├── alchitry.sdc └── pinout.pcf ├── alhambra_II └── pinout.pcf ├── apf27 ├── blinky.ucf └── options.tcl ├── apf51 ├── blinky.ucf └── options.tcl ├── arty_a7_100t └── blinky.xdc ├── arty_a7_35t └── blinky.xdc ├── arty_s7_50t └── blinky.xdc ├── arty_z7_20 └── blinky.xdc ├── atum_a3_nano ├── atum_a3_nano.sdc └── atum_a3_nano.tcl ├── ax309 └── blinky.ucf ├── axe5000 ├── axe5000.sdc └── axe5000.tcl ├── axku5 ├── blinky.xdc └── blinky_axku5.v ├── basys2 ├── blinky.ucf └── options.tcl ├── basys3 └── blinky.xdc ├── bemicro_max10 ├── bemicro_max10.sdc └── pinmap.tcl ├── blinky.core ├── blinky.v ├── blinky_tb.v ├── c10lp_refkit ├── c10lp_refkit.sdc └── pinmap.tcl ├── chameleon96 ├── CV_96.v ├── HPS.sv ├── chain1.cdf ├── chameleon96.sdc └── pinmap.tcl ├── cisco-hwic-3g-cdma ├── cisco-hwic-3g-cdma.sdc └── pinmap.tcl ├── cmod_a7 └── blinky.xdc ├── colorlight_5a75b └── blinky.lpf ├── cora_z7 ├── blinky_cora_z7.vhd └── blinky_cora_z7.xdc ├── core_ep4ce10 ├── core_ep4ce10.sdc └── pinmap.tcl ├── crosslink_nx_evn └── blinky.pdc ├── cyc1000 ├── cyc1000.sdc └── pinmap.tcl ├── cyc5000 ├── cyc5000.sdc └── cyc5000.tcl ├── de0_nano ├── de0_nano.sdc └── pinmap.tcl ├── de0_nanosoc ├── de0_nanosoc.sdc └── pinmap.tcl ├── de10_lite ├── de10_lite.sdc └── pinmap.tcl ├── de10_nano ├── de10_nano.sdc └── pinmap.tcl ├── de10_nano_mistral ├── blinky_de10_nano.v └── de10nano.qsf ├── de10_soc ├── de10_soc.sdc └── pinmap.tcl ├── de1_soc_revF ├── de1_soc_revF.sdc └── pinmap.tcl ├── de5_net ├── de5_net.sdc └── pinmap.tcl ├── deca ├── deca.sdc └── pinmap.tcl ├── digilent_s3estarter ├── blinky.ucf └── options.tcl ├── ebaz4205 ├── blinky_ebaz4205.v └── combined.xdc ├── eclypse_z7 └── blinky.xdc ├── ecp5_evn └── blinky.lpf ├── edgeZ7_20 ├── blinky.xdc └── blinky_edgeZ7_20.v ├── ep2c5t144 ├── ep2c5t144.sdc └── pinmap.tcl ├── fomu └── fomu-pvt.pcf ├── fpc_iii └── fpc_iii.lpf ├── genesys2 ├── blinky.xdc └── blinky_genesys2.v ├── gmm7550 ├── blinky_gmm7550.v └── gmm7550.ccf ├── go_board ├── blinky_go_board.v └── pinout.pcf ├── ice40-hx1k_icestick └── pinout.pcf ├── ice40-hx8k_breakout └── pinout.pcf ├── ice40-up5k_breakout └── pinout.pcf ├── ice40hx1k_evb └── pinout.pcf ├── iceFUN ├── blinky.v └── iceFUN.pcf ├── iceWerx └── pinout.pcf ├── icebreaker └── pinout.pcf ├── icesugar ├── blinky.v └── icesugar.pcf ├── icesugar_nano └── icesugar-nano.pcf ├── icev_wireless └── pinout.pcf ├── kcu1500 ├── blinky.xdc └── blinky_kcu1500.v ├── lx9_microboard └── blinky.ucf ├── machXO2_breakout ├── blinky.lpf └── blinky_machXO2_breakout.v ├── machXO3_breakout └── blinky.lpf ├── machdyne_brot └── pins.pcf ├── machdyne_eis └── pins.pcf ├── machdyne_kolibri └── pins.pcf ├── machdyne_konfekt └── pins.lpf ├── machdyne_kuchen └── pins.pcf ├── machdyne_minze └── pins.lpf ├── machdyne_noir └── pins.lpf ├── machdyne_riegel └── pins.pcf ├── machdyne_schoko └── pins.lpf ├── max1000 ├── max1000.sdc └── pinmap.tcl ├── max10_10m08evk ├── max10_10m08evk.sdc └── pinmap.tcl ├── max10_10m50evk ├── max10_10m50evk.sdc └── pinmap.tcl ├── mimas_a7 ├── blinky.xdc └── blinky_mimas_a7.v ├── mini_s7 └── blinky.xdc ├── myir_fz3 └── blinky.xdc ├── nexys_2 ├── blinky.ucf └── options.tcl ├── nexys_4 └── blinky.xdc ├── nexys_a7 └── blinky.xdc ├── nexys_video └── blinky.xdc ├── nitefury_ii ├── blinky.xdc └── blinky_nitefury_ii.v ├── opos6ul_sp ├── blinky.ucf └── options.tcl ├── orangecrab ├── blinky_orangecrab.v └── orangecrab_r02.lpf ├── pico_ice └── pinout.pcf ├── pipistrello └── blinky.ucf ├── polarfire_splashkit ├── blinky_polarfire_splashkit.v └── polarfire_splashkit.pdc ├── polarfireeval ├── blinky_polarfireeval.v └── polarfireeval.pdc ├── pynq_z2 ├── blinky.xdc └── blinky_pynq_z2.v ├── qmtech_5cefa5f23 ├── blinky_qmtech_5cefa5f23.v └── io.qsf ├── rz_easyfpga_a2.x ├── pinmap.tcl └── rz_easyfpga_a2.x.sdc ├── soc_kit ├── pinmap.tcl └── soc_kit.sdc ├── sockit ├── pinmap.tcl └── sockit.sdc ├── spartan_edge_accelerator_board └── blinky.xdc ├── stlv7325 ├── blinky.xdc └── blinky_stlv7325.sv ├── sw └── proginfo.py ├── tang_nano ├── blinky.v └── tangnano.cst ├── te0802 └── blinky.xdc ├── tinyfpga_bx └── pinout.pcf ├── ultra96_v2 ├── bd_ultra96_v2.tcl ├── blinky.xdc ├── blinky_ultra96_v2.v └── design_1_wrapper.v ├── ulx3s ├── blinky_ulx3s.v ├── ulx3s_empty.config └── ulx3s_v20.lpf ├── upduino2 ├── blinky_upduino2.v └── pinout.pcf ├── xc6sl9_hseda_eda6.1 └── blinky.ucf ├── xem8320 ├── blinky.xdc └── blinky_xem8320.v ├── zcu102 ├── blinky.xdc └── blinky_zcu102.v ├── zcu106 ├── blinky.xdc └── blinky_zcu106.v ├── zrtech_v2 ├── pinmap.tcl └── zrtech_v2.sdc ├── zuboard ├── blinky.xdc └── blinky_zuboard.v └── zybo_z7 ├── blinky.xdc └── blinky_zybo_z7.v /.gitignore: -------------------------------------------------------------------------------- 1 | build/ 2 | -------------------------------------------------------------------------------- /AnalogMax/AnalogMax.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/AnalogMax/AnalogMax.sdc -------------------------------------------------------------------------------- /AnalogMax/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/AnalogMax/pinmap.tcl -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/LICENSE -------------------------------------------------------------------------------- /QMTECH_Artix7_Wukong/Wukong_v1.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/QMTECH_Artix7_Wukong/Wukong_v1.xdc -------------------------------------------------------------------------------- /QMTECH_Artix7_Wukong/Wukong_v2.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/QMTECH_Artix7_Wukong/Wukong_v2.xdc -------------------------------------------------------------------------------- /QMTECH_Artix7_Wukong/blinky.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/QMTECH_Artix7_Wukong/blinky.v -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/README.md -------------------------------------------------------------------------------- /a_c4e6e10/a_c4e6e10.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/a_c4e6e10/a_c4e6e10.tcl -------------------------------------------------------------------------------- /a_c4e6e10/blinky_a_c4e6e10.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/a_c4e6e10/blinky_a_c4e6e10.sv -------------------------------------------------------------------------------- /ac701/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ac701/blinky.xdc -------------------------------------------------------------------------------- /ac701/blinky_ac701.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ac701/blinky_ac701.v -------------------------------------------------------------------------------- /agilex5/agilex5.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/agilex5/agilex5.sdc -------------------------------------------------------------------------------- /agilex5/agilex5_dk-a5e065bb32aes1.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/agilex5/agilex5_dk-a5e065bb32aes1.tcl -------------------------------------------------------------------------------- /alchitry_au/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/alchitry_au/blinky.xdc -------------------------------------------------------------------------------- /alchitry_au_plus/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/alchitry_au_plus/blinky.xdc -------------------------------------------------------------------------------- /alchitry_au_plus_io/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/alchitry_au_plus_io/blinky.xdc -------------------------------------------------------------------------------- /alchitry_cu/alchitry.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/alchitry_cu/alchitry.sdc -------------------------------------------------------------------------------- /alchitry_cu/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/alchitry_cu/pinout.pcf -------------------------------------------------------------------------------- /alchitry_cu_io/alchitry.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/alchitry_cu_io/alchitry.sdc -------------------------------------------------------------------------------- /alchitry_cu_io/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/alchitry_cu_io/pinout.pcf -------------------------------------------------------------------------------- /alhambra_II/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/alhambra_II/pinout.pcf -------------------------------------------------------------------------------- /apf27/blinky.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/apf27/blinky.ucf -------------------------------------------------------------------------------- /apf27/options.tcl: -------------------------------------------------------------------------------- 1 | project set "Other XST Command Line Options" "-use_new_parser yes" 2 | -------------------------------------------------------------------------------- /apf51/blinky.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/apf51/blinky.ucf -------------------------------------------------------------------------------- /apf51/options.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/apf51/options.tcl -------------------------------------------------------------------------------- /arty_a7_100t/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/arty_a7_100t/blinky.xdc -------------------------------------------------------------------------------- /arty_a7_35t/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/arty_a7_35t/blinky.xdc -------------------------------------------------------------------------------- /arty_s7_50t/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/arty_s7_50t/blinky.xdc -------------------------------------------------------------------------------- /arty_z7_20/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/arty_z7_20/blinky.xdc -------------------------------------------------------------------------------- /atum_a3_nano/atum_a3_nano.sdc: -------------------------------------------------------------------------------- 1 | create_clock -name CLK_50M -period 20 -waveform {0 10} [get_ports {clk}] 2 | 3 | 4 | -------------------------------------------------------------------------------- /atum_a3_nano/atum_a3_nano.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/atum_a3_nano/atum_a3_nano.tcl -------------------------------------------------------------------------------- /ax309/blinky.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ax309/blinky.ucf -------------------------------------------------------------------------------- /axe5000/axe5000.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/axe5000/axe5000.sdc -------------------------------------------------------------------------------- /axe5000/axe5000.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/axe5000/axe5000.tcl -------------------------------------------------------------------------------- /axku5/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/axku5/blinky.xdc -------------------------------------------------------------------------------- /axku5/blinky_axku5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/axku5/blinky_axku5.v -------------------------------------------------------------------------------- /basys2/blinky.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/basys2/blinky.ucf -------------------------------------------------------------------------------- /basys2/options.tcl: -------------------------------------------------------------------------------- 1 | project set "Other XST Command Line Options" "-use_new_parser yes" 2 | -------------------------------------------------------------------------------- /basys3/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/basys3/blinky.xdc -------------------------------------------------------------------------------- /bemicro_max10/bemicro_max10.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/bemicro_max10/bemicro_max10.sdc -------------------------------------------------------------------------------- /bemicro_max10/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/bemicro_max10/pinmap.tcl -------------------------------------------------------------------------------- /blinky.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/blinky.core -------------------------------------------------------------------------------- /blinky.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/blinky.v -------------------------------------------------------------------------------- /blinky_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/blinky_tb.v -------------------------------------------------------------------------------- /c10lp_refkit/c10lp_refkit.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/c10lp_refkit/c10lp_refkit.sdc -------------------------------------------------------------------------------- /c10lp_refkit/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/c10lp_refkit/pinmap.tcl -------------------------------------------------------------------------------- /chameleon96/CV_96.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/chameleon96/CV_96.v -------------------------------------------------------------------------------- /chameleon96/HPS.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/chameleon96/HPS.sv -------------------------------------------------------------------------------- /chameleon96/chain1.cdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/chameleon96/chain1.cdf -------------------------------------------------------------------------------- /chameleon96/chameleon96.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/chameleon96/chameleon96.sdc -------------------------------------------------------------------------------- /chameleon96/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/chameleon96/pinmap.tcl -------------------------------------------------------------------------------- /cisco-hwic-3g-cdma/cisco-hwic-3g-cdma.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/cisco-hwic-3g-cdma/cisco-hwic-3g-cdma.sdc -------------------------------------------------------------------------------- /cisco-hwic-3g-cdma/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/cisco-hwic-3g-cdma/pinmap.tcl -------------------------------------------------------------------------------- /cmod_a7/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/cmod_a7/blinky.xdc -------------------------------------------------------------------------------- /colorlight_5a75b/blinky.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/colorlight_5a75b/blinky.lpf -------------------------------------------------------------------------------- /cora_z7/blinky_cora_z7.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/cora_z7/blinky_cora_z7.vhd -------------------------------------------------------------------------------- /cora_z7/blinky_cora_z7.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/cora_z7/blinky_cora_z7.xdc -------------------------------------------------------------------------------- /core_ep4ce10/core_ep4ce10.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/core_ep4ce10/core_ep4ce10.sdc -------------------------------------------------------------------------------- /core_ep4ce10/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/core_ep4ce10/pinmap.tcl -------------------------------------------------------------------------------- /crosslink_nx_evn/blinky.pdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/crosslink_nx_evn/blinky.pdc -------------------------------------------------------------------------------- /cyc1000/cyc1000.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/cyc1000/cyc1000.sdc -------------------------------------------------------------------------------- /cyc1000/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/cyc1000/pinmap.tcl -------------------------------------------------------------------------------- /cyc5000/cyc5000.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/cyc5000/cyc5000.sdc -------------------------------------------------------------------------------- /cyc5000/cyc5000.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/cyc5000/cyc5000.tcl -------------------------------------------------------------------------------- /de0_nano/de0_nano.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de0_nano/de0_nano.sdc -------------------------------------------------------------------------------- /de0_nano/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de0_nano/pinmap.tcl -------------------------------------------------------------------------------- /de0_nanosoc/de0_nanosoc.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de0_nanosoc/de0_nanosoc.sdc -------------------------------------------------------------------------------- /de0_nanosoc/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de0_nanosoc/pinmap.tcl -------------------------------------------------------------------------------- /de10_lite/de10_lite.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de10_lite/de10_lite.sdc -------------------------------------------------------------------------------- /de10_lite/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de10_lite/pinmap.tcl -------------------------------------------------------------------------------- /de10_nano/de10_nano.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de10_nano/de10_nano.sdc -------------------------------------------------------------------------------- /de10_nano/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de10_nano/pinmap.tcl -------------------------------------------------------------------------------- /de10_nano_mistral/blinky_de10_nano.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de10_nano_mistral/blinky_de10_nano.v -------------------------------------------------------------------------------- /de10_nano_mistral/de10nano.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de10_nano_mistral/de10nano.qsf -------------------------------------------------------------------------------- /de10_soc/de10_soc.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de10_soc/de10_soc.sdc -------------------------------------------------------------------------------- /de10_soc/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de10_soc/pinmap.tcl -------------------------------------------------------------------------------- /de1_soc_revF/de1_soc_revF.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de1_soc_revF/de1_soc_revF.sdc -------------------------------------------------------------------------------- /de1_soc_revF/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de1_soc_revF/pinmap.tcl -------------------------------------------------------------------------------- /de5_net/de5_net.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de5_net/de5_net.sdc -------------------------------------------------------------------------------- /de5_net/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/de5_net/pinmap.tcl -------------------------------------------------------------------------------- /deca/deca.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/deca/deca.sdc -------------------------------------------------------------------------------- /deca/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/deca/pinmap.tcl -------------------------------------------------------------------------------- /digilent_s3estarter/blinky.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/digilent_s3estarter/blinky.ucf -------------------------------------------------------------------------------- /digilent_s3estarter/options.tcl: -------------------------------------------------------------------------------- 1 | project set "Other XST Command Line Options" "-use_new_parser yes" 2 | -------------------------------------------------------------------------------- /ebaz4205/blinky_ebaz4205.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ebaz4205/blinky_ebaz4205.v -------------------------------------------------------------------------------- /ebaz4205/combined.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ebaz4205/combined.xdc -------------------------------------------------------------------------------- /eclypse_z7/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/eclypse_z7/blinky.xdc -------------------------------------------------------------------------------- /ecp5_evn/blinky.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ecp5_evn/blinky.lpf -------------------------------------------------------------------------------- /edgeZ7_20/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/edgeZ7_20/blinky.xdc -------------------------------------------------------------------------------- /edgeZ7_20/blinky_edgeZ7_20.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/edgeZ7_20/blinky_edgeZ7_20.v -------------------------------------------------------------------------------- /ep2c5t144/ep2c5t144.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ep2c5t144/ep2c5t144.sdc -------------------------------------------------------------------------------- /ep2c5t144/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ep2c5t144/pinmap.tcl -------------------------------------------------------------------------------- /fomu/fomu-pvt.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/fomu/fomu-pvt.pcf -------------------------------------------------------------------------------- /fpc_iii/fpc_iii.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/fpc_iii/fpc_iii.lpf -------------------------------------------------------------------------------- /genesys2/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/genesys2/blinky.xdc -------------------------------------------------------------------------------- /genesys2/blinky_genesys2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/genesys2/blinky_genesys2.v -------------------------------------------------------------------------------- /gmm7550/blinky_gmm7550.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/gmm7550/blinky_gmm7550.v -------------------------------------------------------------------------------- /gmm7550/gmm7550.ccf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/gmm7550/gmm7550.ccf -------------------------------------------------------------------------------- /go_board/blinky_go_board.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/go_board/blinky_go_board.v -------------------------------------------------------------------------------- /go_board/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/go_board/pinout.pcf -------------------------------------------------------------------------------- /ice40-hx1k_icestick/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ice40-hx1k_icestick/pinout.pcf -------------------------------------------------------------------------------- /ice40-hx8k_breakout/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ice40-hx8k_breakout/pinout.pcf -------------------------------------------------------------------------------- /ice40-up5k_breakout/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ice40-up5k_breakout/pinout.pcf -------------------------------------------------------------------------------- /ice40hx1k_evb/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ice40hx1k_evb/pinout.pcf -------------------------------------------------------------------------------- /iceFUN/blinky.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/iceFUN/blinky.v -------------------------------------------------------------------------------- /iceFUN/iceFUN.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/iceFUN/iceFUN.pcf -------------------------------------------------------------------------------- /iceWerx/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/iceWerx/pinout.pcf -------------------------------------------------------------------------------- /icebreaker/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/icebreaker/pinout.pcf -------------------------------------------------------------------------------- /icesugar/blinky.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/icesugar/blinky.v -------------------------------------------------------------------------------- /icesugar/icesugar.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/icesugar/icesugar.pcf -------------------------------------------------------------------------------- /icesugar_nano/icesugar-nano.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/icesugar_nano/icesugar-nano.pcf -------------------------------------------------------------------------------- /icev_wireless/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/icev_wireless/pinout.pcf -------------------------------------------------------------------------------- /kcu1500/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/kcu1500/blinky.xdc -------------------------------------------------------------------------------- /kcu1500/blinky_kcu1500.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/kcu1500/blinky_kcu1500.v -------------------------------------------------------------------------------- /lx9_microboard/blinky.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/lx9_microboard/blinky.ucf -------------------------------------------------------------------------------- /machXO2_breakout/blinky.lpf: -------------------------------------------------------------------------------- 1 | LOCATE COMP "q" SITE "97"; 2 | -------------------------------------------------------------------------------- /machXO2_breakout/blinky_machXO2_breakout.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machXO2_breakout/blinky_machXO2_breakout.v -------------------------------------------------------------------------------- /machXO3_breakout/blinky.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machXO3_breakout/blinky.lpf -------------------------------------------------------------------------------- /machdyne_brot/pins.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machdyne_brot/pins.pcf -------------------------------------------------------------------------------- /machdyne_eis/pins.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machdyne_eis/pins.pcf -------------------------------------------------------------------------------- /machdyne_kolibri/pins.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machdyne_kolibri/pins.pcf -------------------------------------------------------------------------------- /machdyne_konfekt/pins.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machdyne_konfekt/pins.lpf -------------------------------------------------------------------------------- /machdyne_kuchen/pins.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machdyne_kuchen/pins.pcf -------------------------------------------------------------------------------- /machdyne_minze/pins.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machdyne_minze/pins.lpf -------------------------------------------------------------------------------- /machdyne_noir/pins.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machdyne_noir/pins.lpf -------------------------------------------------------------------------------- /machdyne_riegel/pins.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machdyne_riegel/pins.pcf -------------------------------------------------------------------------------- /machdyne_schoko/pins.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/machdyne_schoko/pins.lpf -------------------------------------------------------------------------------- /max1000/max1000.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/max1000/max1000.sdc -------------------------------------------------------------------------------- /max1000/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/max1000/pinmap.tcl -------------------------------------------------------------------------------- /max10_10m08evk/max10_10m08evk.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/max10_10m08evk/max10_10m08evk.sdc -------------------------------------------------------------------------------- /max10_10m08evk/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/max10_10m08evk/pinmap.tcl -------------------------------------------------------------------------------- /max10_10m50evk/max10_10m50evk.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/max10_10m50evk/max10_10m50evk.sdc -------------------------------------------------------------------------------- /max10_10m50evk/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/max10_10m50evk/pinmap.tcl -------------------------------------------------------------------------------- /mimas_a7/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/mimas_a7/blinky.xdc -------------------------------------------------------------------------------- /mimas_a7/blinky_mimas_a7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/mimas_a7/blinky_mimas_a7.v -------------------------------------------------------------------------------- /mini_s7/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/mini_s7/blinky.xdc -------------------------------------------------------------------------------- /myir_fz3/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/myir_fz3/blinky.xdc -------------------------------------------------------------------------------- /nexys_2/blinky.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/nexys_2/blinky.ucf -------------------------------------------------------------------------------- /nexys_2/options.tcl: -------------------------------------------------------------------------------- 1 | project set "Other XST Command Line Options" "-use_new_parser yes" 2 | 3 | -------------------------------------------------------------------------------- /nexys_4/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/nexys_4/blinky.xdc -------------------------------------------------------------------------------- /nexys_a7/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/nexys_a7/blinky.xdc -------------------------------------------------------------------------------- /nexys_video/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/nexys_video/blinky.xdc -------------------------------------------------------------------------------- /nitefury_ii/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/nitefury_ii/blinky.xdc -------------------------------------------------------------------------------- /nitefury_ii/blinky_nitefury_ii.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/nitefury_ii/blinky_nitefury_ii.v -------------------------------------------------------------------------------- /opos6ul_sp/blinky.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/opos6ul_sp/blinky.ucf -------------------------------------------------------------------------------- /opos6ul_sp/options.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/opos6ul_sp/options.tcl -------------------------------------------------------------------------------- /orangecrab/blinky_orangecrab.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/orangecrab/blinky_orangecrab.v -------------------------------------------------------------------------------- /orangecrab/orangecrab_r02.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/orangecrab/orangecrab_r02.lpf -------------------------------------------------------------------------------- /pico_ice/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/pico_ice/pinout.pcf -------------------------------------------------------------------------------- /pipistrello/blinky.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/pipistrello/blinky.ucf -------------------------------------------------------------------------------- /polarfire_splashkit/blinky_polarfire_splashkit.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/polarfire_splashkit/blinky_polarfire_splashkit.v -------------------------------------------------------------------------------- /polarfire_splashkit/polarfire_splashkit.pdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/polarfire_splashkit/polarfire_splashkit.pdc -------------------------------------------------------------------------------- /polarfireeval/blinky_polarfireeval.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/polarfireeval/blinky_polarfireeval.v -------------------------------------------------------------------------------- /polarfireeval/polarfireeval.pdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/polarfireeval/polarfireeval.pdc -------------------------------------------------------------------------------- /pynq_z2/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/pynq_z2/blinky.xdc -------------------------------------------------------------------------------- /pynq_z2/blinky_pynq_z2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/pynq_z2/blinky_pynq_z2.v -------------------------------------------------------------------------------- /qmtech_5cefa5f23/blinky_qmtech_5cefa5f23.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/qmtech_5cefa5f23/blinky_qmtech_5cefa5f23.v -------------------------------------------------------------------------------- /qmtech_5cefa5f23/io.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/qmtech_5cefa5f23/io.qsf -------------------------------------------------------------------------------- /rz_easyfpga_a2.x/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/rz_easyfpga_a2.x/pinmap.tcl -------------------------------------------------------------------------------- /rz_easyfpga_a2.x/rz_easyfpga_a2.x.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/rz_easyfpga_a2.x/rz_easyfpga_a2.x.sdc -------------------------------------------------------------------------------- /soc_kit/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/soc_kit/pinmap.tcl -------------------------------------------------------------------------------- /soc_kit/soc_kit.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/soc_kit/soc_kit.sdc -------------------------------------------------------------------------------- /sockit/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/sockit/pinmap.tcl -------------------------------------------------------------------------------- /sockit/sockit.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/sockit/sockit.sdc -------------------------------------------------------------------------------- /spartan_edge_accelerator_board/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/spartan_edge_accelerator_board/blinky.xdc -------------------------------------------------------------------------------- /stlv7325/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/stlv7325/blinky.xdc -------------------------------------------------------------------------------- /stlv7325/blinky_stlv7325.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/stlv7325/blinky_stlv7325.sv -------------------------------------------------------------------------------- /sw/proginfo.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/sw/proginfo.py -------------------------------------------------------------------------------- /tang_nano/blinky.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/tang_nano/blinky.v -------------------------------------------------------------------------------- /tang_nano/tangnano.cst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/tang_nano/tangnano.cst -------------------------------------------------------------------------------- /te0802/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/te0802/blinky.xdc -------------------------------------------------------------------------------- /tinyfpga_bx/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/tinyfpga_bx/pinout.pcf -------------------------------------------------------------------------------- /ultra96_v2/bd_ultra96_v2.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ultra96_v2/bd_ultra96_v2.tcl -------------------------------------------------------------------------------- /ultra96_v2/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ultra96_v2/blinky.xdc -------------------------------------------------------------------------------- /ultra96_v2/blinky_ultra96_v2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ultra96_v2/blinky_ultra96_v2.v -------------------------------------------------------------------------------- /ultra96_v2/design_1_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ultra96_v2/design_1_wrapper.v -------------------------------------------------------------------------------- /ulx3s/blinky_ulx3s.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ulx3s/blinky_ulx3s.v -------------------------------------------------------------------------------- /ulx3s/ulx3s_empty.config: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ulx3s/ulx3s_empty.config -------------------------------------------------------------------------------- /ulx3s/ulx3s_v20.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/ulx3s/ulx3s_v20.lpf -------------------------------------------------------------------------------- /upduino2/blinky_upduino2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/upduino2/blinky_upduino2.v -------------------------------------------------------------------------------- /upduino2/pinout.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/upduino2/pinout.pcf -------------------------------------------------------------------------------- /xc6sl9_hseda_eda6.1/blinky.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/xc6sl9_hseda_eda6.1/blinky.ucf -------------------------------------------------------------------------------- /xem8320/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/xem8320/blinky.xdc -------------------------------------------------------------------------------- /xem8320/blinky_xem8320.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/xem8320/blinky_xem8320.v -------------------------------------------------------------------------------- /zcu102/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/zcu102/blinky.xdc -------------------------------------------------------------------------------- /zcu102/blinky_zcu102.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/zcu102/blinky_zcu102.v -------------------------------------------------------------------------------- /zcu106/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/zcu106/blinky.xdc -------------------------------------------------------------------------------- /zcu106/blinky_zcu106.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/zcu106/blinky_zcu106.v -------------------------------------------------------------------------------- /zrtech_v2/pinmap.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/zrtech_v2/pinmap.tcl -------------------------------------------------------------------------------- /zrtech_v2/zrtech_v2.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/zrtech_v2/zrtech_v2.sdc -------------------------------------------------------------------------------- /zuboard/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/zuboard/blinky.xdc -------------------------------------------------------------------------------- /zuboard/blinky_zuboard.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/zuboard/blinky_zuboard.v -------------------------------------------------------------------------------- /zybo_z7/blinky.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/zybo_z7/blinky.xdc -------------------------------------------------------------------------------- /zybo_z7/blinky_zybo_z7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/fusesoc/blinky/HEAD/zybo_z7/blinky_zybo_z7.v --------------------------------------------------------------------------------