├── .gitignore ├── LICENSE ├── README.md ├── ip ├── axi4lslave │ ├── axi4lslave.hw │ │ └── axi4lslave.lpr │ ├── axi4lslave.runs │ │ ├── .jobs │ │ │ └── vrs_config_1.xml │ │ └── synth_1 │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ ├── .vivado.begin.rst │ │ │ ├── .vivado.end.rst │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── axi_lite_slave.dcp │ │ │ ├── axi_lite_slave.tcl │ │ │ ├── axi_lite_slave.vds │ │ │ ├── axi_lite_slave_utilization_synth.pb │ │ │ ├── axi_lite_slave_utilization_synth.rpt │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── project.wdf │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── vivado.jou │ │ │ └── vivado.pb │ ├── axi4lslave.srcs │ │ └── sources_1 │ │ │ └── imports │ │ │ ├── component.xml │ │ │ ├── verilog │ │ │ └── axi_lite_slave.v │ │ │ └── xgui │ │ │ ├── axi_lite_slave_v1_0.tcl │ │ │ └── axi_lite_slave_v1_1.tcl │ └── axi4lslave.xpr └── convl1 │ ├── convl1.hw │ └── convl1.lpr │ ├── convl1.ip_user_files │ ├── README.txt │ ├── ip │ │ └── fp_mult_add_16bit │ │ │ ├── fp_mult_add_16bit.veo │ │ │ ├── fp_mult_add_16bit.vho │ │ │ ├── fp_mult_add_16bit_stub.v │ │ │ └── fp_mult_add_16bit_stub.vhdl │ ├── ipstatic │ │ └── hdl │ │ │ ├── axi_utils_v2_0_vh_rfs.vhd │ │ │ ├── floating_point_v7_1_vh_rfs.vhd │ │ │ ├── mult_gen_v12_0_vh_rfs.vhd │ │ │ ├── xbip_bram18k_v3_0_vh_rfs.vhd │ │ │ ├── xbip_dsp48_addsub_v3_0_vh_rfs.vhd │ │ │ ├── xbip_dsp48_multadd_v3_0_vh_rfs.vhd │ │ │ ├── xbip_dsp48_wrapper_v3_0_vh_rfs.vhd │ │ │ ├── xbip_multadd_v3_0_vh_rfs.vhd │ │ │ ├── xbip_pipe_v3_0_vh_rfs.vhd │ │ │ └── xbip_utils_v3_0_vh_rfs.vhd │ └── sim_scripts │ │ └── fp_mult_add_16bit │ │ ├── README.txt │ │ ├── activehdl │ │ ├── README.txt │ │ ├── compile.do │ │ ├── file_info.txt │ │ ├── fp_mult_add_16bit.sh │ │ ├── fp_mult_add_16bit.udo │ │ ├── simulate.do │ │ └── wave.do │ │ ├── ies │ │ ├── README.txt │ │ ├── file_info.txt │ │ ├── fp_mult_add_16bit.sh │ │ └── run.f │ │ ├── modelsim │ │ ├── README.txt │ │ ├── compile.do │ │ ├── file_info.txt │ │ ├── fp_mult_add_16bit.sh │ │ ├── fp_mult_add_16bit.udo │ │ ├── simulate.do │ │ └── wave.do │ │ ├── questa │ │ ├── README.txt │ │ ├── compile.do │ │ ├── elaborate.do │ │ ├── file_info.txt │ │ ├── fp_mult_add_16bit.sh │ │ ├── fp_mult_add_16bit.udo │ │ ├── simulate.do │ │ └── wave.do │ │ ├── riviera │ │ ├── README.txt │ │ ├── compile.do │ │ ├── file_info.txt │ │ ├── fp_mult_add_16bit.sh │ │ ├── fp_mult_add_16bit.udo │ │ ├── simulate.do │ │ └── wave.do │ │ ├── vcs │ │ ├── README.txt │ │ ├── file_info.txt │ │ ├── fp_mult_add_16bit.sh │ │ └── simulate.do │ │ └── xsim │ │ ├── README.txt │ │ ├── cmd.tcl │ │ ├── file_info.txt │ │ ├── fp_mult_add_16bit.sh │ │ └── vhdl.prj │ ├── convl1.runs │ ├── .jobs │ │ ├── vrs_config_1.xml │ │ ├── vrs_config_10.xml │ │ ├── vrs_config_11.xml │ │ ├── vrs_config_12.xml │ │ ├── vrs_config_13.xml │ │ ├── vrs_config_14.xml │ │ ├── vrs_config_15.xml │ │ ├── vrs_config_16.xml │ │ ├── vrs_config_17.xml │ │ ├── vrs_config_18.xml │ │ ├── vrs_config_19.xml │ │ ├── vrs_config_2.xml │ │ ├── vrs_config_20.xml │ │ ├── vrs_config_21.xml │ │ ├── vrs_config_22.xml │ │ ├── vrs_config_3.xml │ │ ├── vrs_config_4.xml │ │ ├── vrs_config_5.xml │ │ ├── vrs_config_6.xml │ │ ├── vrs_config_7.xml │ │ ├── vrs_config_8.xml │ │ └── vrs_config_9.xml │ ├── fp_mult_add_16bit_synth_1 │ │ ├── .Vivado_Synthesis.queue.rst │ │ ├── .vivado.begin.rst │ │ ├── .vivado.end.rst │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── dont_touch.xdc │ │ ├── fp_mult_add_16bit.dcp │ │ ├── fp_mult_add_16bit.tcl │ │ ├── fp_mult_add_16bit.vds │ │ ├── fp_mult_add_16bit_utilization_synth.pb │ │ ├── fp_mult_add_16bit_utilization_synth.rpt │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── project.wdf │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── vivado.jou │ │ └── vivado.pb │ └── synth_1 │ │ ├── .Vivado_Synthesis.queue.rst │ │ ├── .vivado.begin.rst │ │ ├── .vivado.end.rst │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── conv1l_top.dcp │ │ ├── conv1l_top.tcl │ │ ├── conv1l_top.vds │ │ ├── conv1l_top_utilization_synth.pb │ │ ├── conv1l_top_utilization_synth.rpt │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── project.wdf │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── vivado.jou │ │ └── vivado.pb │ ├── convl1.srcs │ └── sources_1 │ │ ├── component.xml │ │ ├── ip │ │ └── fp_mult_add_16bit │ │ │ ├── cmodel │ │ │ ├── floating_point_v7_1_bitacc_cmodel_lin64.zip │ │ │ └── floating_point_v7_1_bitacc_cmodel_nt64.zip │ │ │ ├── demo_tb │ │ │ └── tb_fp_mult_add_16bit.vhd │ │ │ ├── doc │ │ │ └── floating_point_v7_1_changelog.txt │ │ │ ├── fp_mult_add_16bit.dcp │ │ │ ├── fp_mult_add_16bit.veo │ │ │ ├── fp_mult_add_16bit.vho │ │ │ ├── fp_mult_add_16bit.xci │ │ │ ├── fp_mult_add_16bit.xml │ │ │ ├── fp_mult_add_16bit_ooc.xdc │ │ │ ├── fp_mult_add_16bit_sim_netlist.v │ │ │ ├── fp_mult_add_16bit_sim_netlist.vhdl │ │ │ ├── fp_mult_add_16bit_stub.v │ │ │ ├── fp_mult_add_16bit_stub.vhdl │ │ │ ├── hdl │ │ │ ├── axi_utils_v2_0_vh_rfs.vhd │ │ │ ├── floating_point_v7_1_vh_rfs.vhd │ │ │ ├── mult_gen_v12_0_vh_rfs.vhd │ │ │ ├── xbip_bram18k_v3_0_vh_rfs.vhd │ │ │ ├── xbip_dsp48_addsub_v3_0_vh_rfs.vhd │ │ │ ├── xbip_dsp48_multadd_v3_0_vh_rfs.vhd │ │ │ ├── xbip_dsp48_wrapper_v3_0_vh_rfs.vhd │ │ │ ├── xbip_pipe_v3_0_vh_rfs.vhd │ │ │ └── xbip_utils_v3_0_vh_rfs.vhd │ │ │ ├── sim │ │ │ └── fp_mult_add_16bit.vhd │ │ │ └── synth │ │ │ └── fp_mult_add_16bit.vhd │ │ ├── new │ │ ├── axils.v │ │ └── conv1l_top.v │ │ └── xgui │ │ └── conv1l_top_v1_0.tcl │ └── convl1.xpr ├── ip_upgrade.log ├── runme.tcl ├── scripts ├── mem_access │ ├── mem_access_hw.bit │ ├── mem_access_hw.ipynb │ └── mem_access_hw.tcl ├── utilities │ └── py_mult_float16.py └── vcnn │ ├── vcnn.ipynb │ ├── vcnn_naive_v2.bit │ └── vcnn_naive_v2.tcl ├── vcnn.hw ├── backup │ ├── hw_ila_data_1.ila │ └── hw_ila_data_2.ila ├── hw_1 │ ├── hw.xml │ └── wave │ │ ├── hw_ila_data_1 │ │ ├── hw_ila_data_1.wcfg │ │ └── hw_ila_data_1.wdb │ │ └── hw_ila_data_2 │ │ ├── hw_ila_data_2.wcfg │ │ └── hw_ila_data_2.wdb └── vcnn.lpr ├── vcnn.ip_user_files ├── README.txt ├── bd │ └── vcnnbd │ │ ├── hdl │ │ └── vcnnbd.v │ │ ├── ip │ │ ├── vcnnbd_axi_bram_ctrl_0_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_axi_bram_ctrl_0_0.vhd │ │ │ ├── vcnnbd_axi_bram_ctrl_0_0_sim_netlist.v │ │ │ └── vcnnbd_axi_bram_ctrl_0_0_sim_netlist.vhdl │ │ ├── vcnnbd_axi_bram_ctrl_0_1 │ │ │ ├── sim │ │ │ │ └── vcnnbd_axi_bram_ctrl_0_1.vhd │ │ │ ├── vcnnbd_axi_bram_ctrl_0_1_sim_netlist.v │ │ │ └── vcnnbd_axi_bram_ctrl_0_1_sim_netlist.vhdl │ │ ├── vcnnbd_axi_lite_slave_0_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_axi_lite_slave_0_0.v │ │ │ ├── vcnnbd_axi_lite_slave_0_0_sim_netlist.v │ │ │ └── vcnnbd_axi_lite_slave_0_0_sim_netlist.vhdl │ │ ├── vcnnbd_axi_lite_slave_0_2 │ │ │ ├── sim │ │ │ │ └── vcnnbd_axi_lite_slave_0_2.v │ │ │ ├── vcnnbd_axi_lite_slave_0_2_sim_netlist.v │ │ │ └── vcnnbd_axi_lite_slave_0_2_sim_netlist.vhdl │ │ ├── vcnnbd_axi_smc_0 │ │ │ ├── bd_2 │ │ │ │ ├── bd_cc5a.bd │ │ │ │ ├── hdl │ │ │ │ │ └── bd_cc5a.v │ │ │ │ └── ip │ │ │ │ │ ├── ip_0 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_one_0.v │ │ │ │ │ ├── ip_1 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_psr_aclk_0.vhd │ │ │ │ │ ├── ip_10 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_s00a2s_0.sv │ │ │ │ │ ├── ip_11 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_sarn_0.sv │ │ │ │ │ ├── ip_12 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_srn_0.sv │ │ │ │ │ ├── ip_13 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_sawn_0.sv │ │ │ │ │ ├── ip_14 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_swn_0.sv │ │ │ │ │ ├── ip_15 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_sbn_0.sv │ │ │ │ │ ├── ip_16 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m00s2a_0.sv │ │ │ │ │ ├── ip_17 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m00arn_0.sv │ │ │ │ │ ├── ip_18 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m00rn_0.sv │ │ │ │ │ ├── ip_19 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m00awn_0.sv │ │ │ │ │ ├── ip_2 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_arsw_0.sv │ │ │ │ │ ├── ip_20 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m00wn_0.sv │ │ │ │ │ ├── ip_21 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m00bn_0.sv │ │ │ │ │ ├── ip_22 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m00e_0.sv │ │ │ │ │ ├── ip_23 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m01s2a_0.sv │ │ │ │ │ ├── ip_24 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m01arn_0.sv │ │ │ │ │ ├── ip_25 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m01rn_0.sv │ │ │ │ │ ├── ip_26 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m01awn_0.sv │ │ │ │ │ ├── ip_27 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m01wn_0.sv │ │ │ │ │ ├── ip_28 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m01bn_0.sv │ │ │ │ │ ├── ip_29 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m01e_0.sv │ │ │ │ │ ├── ip_3 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_rsw_0.sv │ │ │ │ │ ├── ip_30 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m02s2a_0.sv │ │ │ │ │ ├── ip_31 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m02arn_0.sv │ │ │ │ │ ├── ip_32 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m02rn_0.sv │ │ │ │ │ ├── ip_33 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m02awn_0.sv │ │ │ │ │ ├── ip_34 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m02wn_0.sv │ │ │ │ │ ├── ip_35 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m02bn_0.sv │ │ │ │ │ ├── ip_36 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m02e_0.sv │ │ │ │ │ ├── ip_37 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m03s2a_0.sv │ │ │ │ │ ├── ip_38 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m03arn_0.sv │ │ │ │ │ ├── ip_39 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m03rn_0.sv │ │ │ │ │ ├── ip_4 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_awsw_0.sv │ │ │ │ │ ├── ip_40 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m03awn_0.sv │ │ │ │ │ ├── ip_41 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m03wn_0.sv │ │ │ │ │ ├── ip_42 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m03bn_0.sv │ │ │ │ │ ├── ip_43 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m03e_0.sv │ │ │ │ │ ├── ip_44 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m04s2a_0.sv │ │ │ │ │ ├── ip_45 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m04arn_0.sv │ │ │ │ │ ├── ip_46 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m04rn_0.sv │ │ │ │ │ ├── ip_47 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m04awn_0.sv │ │ │ │ │ ├── ip_48 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m04wn_0.sv │ │ │ │ │ ├── ip_49 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m04bn_0.sv │ │ │ │ │ ├── ip_5 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_wsw_0.sv │ │ │ │ │ ├── ip_50 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_m04e_0.sv │ │ │ │ │ ├── ip_6 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_bsw_0.sv │ │ │ │ │ ├── ip_7 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_s00mmu_0.sv │ │ │ │ │ ├── ip_8 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_cc5a_s00tr_0.sv │ │ │ │ │ └── ip_9 │ │ │ │ │ └── sim │ │ │ │ │ └── bd_cc5a_s00sic_0.sv │ │ │ ├── sim │ │ │ │ └── vcnnbd_axi_smc_0.v │ │ │ ├── vcnnbd_axi_smc_0_sim_netlist.v │ │ │ └── vcnnbd_axi_smc_0_sim_netlist.vhdl │ │ ├── vcnnbd_blk_mem_gen_0_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_blk_mem_gen_0_0.v │ │ │ ├── vcnnbd_blk_mem_gen_0_0_sim_netlist.v │ │ │ └── vcnnbd_blk_mem_gen_0_0_sim_netlist.vhdl │ │ ├── vcnnbd_blk_mem_gen_0_1 │ │ │ ├── sim │ │ │ │ └── vcnnbd_blk_mem_gen_0_1.v │ │ │ ├── vcnnbd_blk_mem_gen_0_1_sim_netlist.v │ │ │ └── vcnnbd_blk_mem_gen_0_1_sim_netlist.vhdl │ │ ├── vcnnbd_blk_mem_gen_1_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_blk_mem_gen_1_0.v │ │ │ ├── vcnnbd_blk_mem_gen_1_0_sim_netlist.v │ │ │ └── vcnnbd_blk_mem_gen_1_0_sim_netlist.vhdl │ │ ├── vcnnbd_output_bram_mem_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_output_bram_mem_0.v │ │ │ ├── vcnnbd_output_bram_mem_0_sim_netlist.v │ │ │ └── vcnnbd_output_bram_mem_0_sim_netlist.vhdl │ │ ├── vcnnbd_output_bram_mem_1 │ │ │ ├── sim │ │ │ │ └── vcnnbd_output_bram_mem_1.v │ │ │ ├── vcnnbd_output_bram_mem_1_sim_netlist.v │ │ │ └── vcnnbd_output_bram_mem_1_sim_netlist.vhdl │ │ ├── vcnnbd_processing_system7_0_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_processing_system7_0_0.v │ │ │ ├── vcnnbd_processing_system7_0_0_sim_netlist.v │ │ │ └── vcnnbd_processing_system7_0_0_sim_netlist.vhdl │ │ ├── vcnnbd_rst_ps7_0_100M_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_rst_ps7_0_100M_0.vhd │ │ │ ├── vcnnbd_rst_ps7_0_100M_0_sim_netlist.v │ │ │ └── vcnnbd_rst_ps7_0_100M_0_sim_netlist.vhdl │ │ ├── vcnnbd_system_ila_0_0 │ │ │ ├── bd_0 │ │ │ │ ├── bd_ae0e.bd │ │ │ │ ├── hdl │ │ │ │ │ └── bd_ae0e.v │ │ │ │ └── ip │ │ │ │ │ ├── ip_0 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_ila_lib_0.v │ │ │ │ │ ├── ip_1 │ │ │ │ │ ├── bd_ae0e_g_inst_0_gigantic_mux.v │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_g_inst_0.v │ │ │ │ │ ├── ip_10 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_slot_4_ar_0.v │ │ │ │ │ ├── ip_11 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_slot_4_r_0.v │ │ │ │ │ ├── ip_2 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_slot_0_aw_0.v │ │ │ │ │ ├── ip_3 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_slot_0_w_0.v │ │ │ │ │ ├── ip_4 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_slot_0_b_0.v │ │ │ │ │ ├── ip_5 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_slot_0_ar_0.v │ │ │ │ │ ├── ip_6 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_slot_0_r_0.v │ │ │ │ │ ├── ip_7 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_slot_4_aw_0.v │ │ │ │ │ ├── ip_8 │ │ │ │ │ └── sim │ │ │ │ │ │ └── bd_ae0e_slot_4_w_0.v │ │ │ │ │ └── ip_9 │ │ │ │ │ └── sim │ │ │ │ │ └── bd_ae0e_slot_4_b_0.v │ │ │ ├── sim │ │ │ │ └── vcnnbd_system_ila_0_0.v │ │ │ ├── vcnnbd_system_ila_0_0_sim_netlist.v │ │ │ └── vcnnbd_system_ila_0_0_sim_netlist.vhdl │ │ └── vcnnbd_weight_bram_0 │ │ │ ├── sim │ │ │ └── vcnnbd_weight_bram_0.vhd │ │ │ ├── vcnnbd_weight_bram_0_sim_netlist.v │ │ │ └── vcnnbd_weight_bram_0_sim_netlist.vhdl │ │ ├── ipshared │ │ └── 5980 │ │ │ └── verilog │ │ │ └── axi_lite_slave.v │ │ └── vcnnbd.bmm └── mem_init_files │ ├── input_bram.coe │ ├── ps7_init.h │ ├── ps7_init.html │ ├── ps7_init.tcl │ ├── ps7_init_gpl.h │ ├── sc_post_elab.rld │ ├── vcnnbd_blk_mem_gen_0_0.mif │ ├── vcnnbd_blk_mem_gen_0_1.mif │ └── weight_bram.coe ├── vcnn.runs ├── .jobs │ ├── vrs_config_1.xml │ ├── vrs_config_10.xml │ ├── vrs_config_11.xml │ ├── vrs_config_12.xml │ ├── vrs_config_13.xml │ ├── vrs_config_14.xml │ ├── vrs_config_15.xml │ ├── vrs_config_16.xml │ ├── vrs_config_17.xml │ ├── vrs_config_18.xml │ ├── vrs_config_19.xml │ ├── vrs_config_2.xml │ ├── vrs_config_20.xml │ ├── vrs_config_21.xml │ ├── vrs_config_22.xml │ ├── vrs_config_23.xml │ ├── vrs_config_24.xml │ ├── vrs_config_25.xml │ ├── vrs_config_26.xml │ ├── vrs_config_27.xml │ ├── vrs_config_28.xml │ ├── vrs_config_29.xml │ ├── vrs_config_3.xml │ ├── vrs_config_30.xml │ ├── vrs_config_31.xml │ ├── vrs_config_32.xml │ ├── vrs_config_33.xml │ ├── vrs_config_34.xml │ ├── vrs_config_35.xml │ ├── vrs_config_36.xml │ ├── vrs_config_37.xml │ ├── vrs_config_38.xml │ ├── vrs_config_39.xml │ ├── vrs_config_4.xml │ ├── vrs_config_40.xml │ ├── vrs_config_41.xml │ ├── vrs_config_42.xml │ ├── vrs_config_43.xml │ ├── vrs_config_44.xml │ ├── vrs_config_45.xml │ ├── vrs_config_46.xml │ ├── vrs_config_47.xml │ ├── vrs_config_48.xml │ ├── vrs_config_49.xml │ ├── vrs_config_5.xml │ ├── vrs_config_50.xml │ ├── vrs_config_51.xml │ ├── vrs_config_52.xml │ ├── vrs_config_53.xml │ ├── vrs_config_54.xml │ ├── vrs_config_55.xml │ ├── vrs_config_56.xml │ ├── vrs_config_57.xml │ ├── vrs_config_58.xml │ ├── vrs_config_59.xml │ ├── vrs_config_6.xml │ ├── vrs_config_60.xml │ ├── vrs_config_61.xml │ ├── vrs_config_62.xml │ ├── vrs_config_63.xml │ ├── vrs_config_64.xml │ ├── vrs_config_65.xml │ ├── vrs_config_66.xml │ ├── vrs_config_7.xml │ ├── vrs_config_8.xml │ └── vrs_config_9.xml ├── impl_1 │ ├── .Vivado_Implementation.queue.rst │ ├── .init_design.begin.rst │ ├── .init_design.end.rst │ ├── .opt_design.begin.rst │ ├── .opt_design.end.rst │ ├── .place_design.begin.rst │ ├── .place_design.end.rst │ ├── .route_design.begin.rst │ ├── .route_design.end.rst │ ├── .vivado.begin.rst │ ├── .vivado.end.rst │ ├── .write_bitstream.begin.rst │ ├── .write_bitstream.end.rst │ ├── ISEWrap.js │ ├── ISEWrap.sh │ ├── gen_run.xml │ ├── htr.txt │ ├── init_design.pb │ ├── opt_design.pb │ ├── place_design.pb │ ├── project.wdf │ ├── route_design.pb │ ├── rundef.js │ ├── runme.bat │ ├── runme.log │ ├── runme.sh │ ├── usage_statistics_webtalk.html │ ├── usage_statistics_webtalk.xml │ ├── vcnnbd_wrapper.bit │ ├── vcnnbd_wrapper.hwdef │ ├── vcnnbd_wrapper.ltx │ ├── vcnnbd_wrapper.sysdef │ ├── vcnnbd_wrapper.tcl │ ├── vcnnbd_wrapper.vdi │ ├── vcnnbd_wrapper_10863.backup.vdi │ ├── vcnnbd_wrapper_12157.backup.vdi │ ├── vcnnbd_wrapper_2687.backup.vdi │ ├── vcnnbd_wrapper_3142.backup.vdi │ ├── vcnnbd_wrapper_4442.backup.vdi │ ├── vcnnbd_wrapper_clock_utilization_routed.rpt │ ├── vcnnbd_wrapper_control_sets_placed.rpt │ ├── vcnnbd_wrapper_drc_opted.rpt │ ├── vcnnbd_wrapper_drc_routed.pb │ ├── vcnnbd_wrapper_drc_routed.rpt │ ├── vcnnbd_wrapper_drc_routed.rpx │ ├── vcnnbd_wrapper_io_placed.rpt │ ├── vcnnbd_wrapper_methodology_drc_routed.rpt │ ├── vcnnbd_wrapper_methodology_drc_routed.rpx │ ├── vcnnbd_wrapper_opt.dcp │ ├── vcnnbd_wrapper_placed.dcp │ ├── vcnnbd_wrapper_power_routed.rpt │ ├── vcnnbd_wrapper_power_routed.rpx │ ├── vcnnbd_wrapper_power_summary_routed.pb │ ├── vcnnbd_wrapper_route_status.pb │ ├── vcnnbd_wrapper_route_status.rpt │ ├── vcnnbd_wrapper_routed.dcp │ ├── vcnnbd_wrapper_timing_summary_routed.rpt │ ├── vcnnbd_wrapper_timing_summary_routed.rpx │ ├── vcnnbd_wrapper_utilization_placed.pb │ ├── vcnnbd_wrapper_utilization_placed.rpt │ ├── vivado.jou │ ├── vivado.pb │ ├── vivado_10863.backup.jou │ ├── vivado_12157.backup.jou │ ├── vivado_2687.backup.jou │ ├── vivado_3142.backup.jou │ ├── vivado_4442.backup.jou │ └── write_bitstream.pb ├── synth_1 │ ├── .Vivado_Synthesis.queue.rst │ ├── .Xil │ │ └── vcnnbd_wrapper_propImpl.xdc │ ├── .vivado.begin.rst │ ├── .vivado.end.rst │ ├── ISEWrap.js │ ├── ISEWrap.sh │ ├── dont_touch.xdc │ ├── gen_run.xml │ ├── htr.txt │ ├── rundef.js │ ├── runme.bat │ ├── runme.log │ ├── runme.sh │ ├── vcnnbd_wrapper.dcp │ ├── vcnnbd_wrapper.tcl │ ├── vcnnbd_wrapper.vds │ ├── vcnnbd_wrapper_utilization_synth.pb │ ├── vcnnbd_wrapper_utilization_synth.rpt │ ├── vivado.jou │ └── vivado.pb ├── vcnnbd_axi_smc_0_synth_1 │ ├── .Vivado_Synthesis.queue.rst │ ├── .vivado.begin.rst │ ├── .vivado.end.rst │ ├── ISEWrap.js │ ├── ISEWrap.sh │ ├── dont_touch.xdc │ ├── fsm_encoding.os │ ├── gen_run.xml │ ├── htr.txt │ ├── rundef.js │ ├── runme.bat │ ├── runme.log │ ├── runme.sh │ ├── vcnnbd_axi_smc_0.dcp │ ├── vcnnbd_axi_smc_0.tcl │ ├── vcnnbd_axi_smc_0.vds │ ├── vcnnbd_axi_smc_0_utilization_synth.pb │ ├── vcnnbd_axi_smc_0_utilization_synth.rpt │ ├── vivado.jou │ └── vivado.pb ├── vcnnbd_blk_mem_gen_0_1_synth_1 │ ├── .Vivado_Synthesis.queue.rst │ ├── .vivado.begin.rst │ ├── .vivado.end.rst │ ├── ISEWrap.js │ ├── ISEWrap.sh │ ├── dont_touch.xdc │ ├── gen_run.xml │ ├── htr.txt │ ├── rundef.js │ ├── runme.bat │ ├── runme.log │ ├── runme.sh │ ├── vcnnbd_blk_mem_gen_0_1.dcp │ ├── vcnnbd_blk_mem_gen_0_1.tcl │ ├── vcnnbd_blk_mem_gen_0_1.vds │ ├── vcnnbd_blk_mem_gen_0_1_utilization_synth.pb │ ├── vcnnbd_blk_mem_gen_0_1_utilization_synth.rpt │ ├── vivado.jou │ └── vivado.pb ├── vcnnbd_conv1l_top_0_0_synth_1 │ ├── .Vivado_Synthesis.queue.rst │ ├── .vivado.begin.rst │ ├── .vivado.end.rst │ ├── ISEWrap.js │ ├── ISEWrap.sh │ ├── dont_touch.xdc │ ├── gen_run.xml │ ├── htr.txt │ ├── rundef.js │ ├── runme.bat │ ├── runme.log │ ├── runme.sh │ ├── vcnnbd_conv1l_top_0_0.dcp │ ├── vcnnbd_conv1l_top_0_0.tcl │ ├── vcnnbd_conv1l_top_0_0.vds │ ├── vcnnbd_conv1l_top_0_0_utilization_synth.pb │ ├── vcnnbd_conv1l_top_0_0_utilization_synth.rpt │ ├── vivado.jou │ └── vivado.pb ├── vcnnbd_conv1l_top_0_1_synth_1 │ ├── .Vivado_Synthesis.queue.rst │ ├── .vivado.begin.rst │ ├── .vivado.end.rst │ ├── ISEWrap.js │ ├── ISEWrap.sh │ ├── dont_touch.xdc │ ├── gen_run.xml │ ├── htr.txt │ ├── rundef.js │ ├── runme.bat │ ├── runme.log │ ├── runme.sh │ ├── vcnnbd_conv1l_top_0_1.dcp │ ├── vcnnbd_conv1l_top_0_1.tcl │ ├── vcnnbd_conv1l_top_0_1.vds │ ├── vcnnbd_conv1l_top_0_1_utilization_synth.pb │ ├── vcnnbd_conv1l_top_0_1_utilization_synth.rpt │ ├── vivado.jou │ └── vivado.pb └── vcnnbd_output_bram_mem_0_synth_1 │ ├── .Vivado_Synthesis.queue.rst │ ├── .vivado.begin.rst │ ├── .vivado.end.rst │ ├── ISEWrap.js │ ├── ISEWrap.sh │ ├── dont_touch.xdc │ ├── gen_run.xml │ ├── htr.txt │ ├── rundef.js │ ├── runme.bat │ ├── runme.log │ ├── runme.sh │ ├── vcnnbd_output_bram_mem_0.dcp │ ├── vcnnbd_output_bram_mem_0.mif │ ├── vcnnbd_output_bram_mem_0.tcl │ ├── vcnnbd_output_bram_mem_0.vds │ ├── vivado.jou │ └── vivado.pb ├── vcnn.srcs ├── constrs_1 │ └── new │ │ └── vcnnbd_wrapper.xdc └── sources_1 │ ├── bd │ └── vcnnbd │ │ ├── hdl │ │ ├── vcnnbd.hwdef │ │ ├── vcnnbd.v │ │ └── vcnnbd_wrapper.v │ │ ├── hw_handoff │ │ ├── vcnnbd.hwh │ │ └── vcnnbd_bd.tcl │ │ ├── ip │ │ ├── conv2_weights.coe │ │ ├── vcnnbd_axi_bram_ctrl_0_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_axi_bram_ctrl_0_0.vhd │ │ │ ├── synth │ │ │ │ └── vcnnbd_axi_bram_ctrl_0_0.vhd │ │ │ ├── vcnnbd_axi_bram_ctrl_0_0.dcp │ │ │ ├── vcnnbd_axi_bram_ctrl_0_0.xci │ │ │ ├── vcnnbd_axi_bram_ctrl_0_0.xml │ │ │ ├── vcnnbd_axi_bram_ctrl_0_0_ooc.xdc │ │ │ ├── vcnnbd_axi_bram_ctrl_0_0_sim_netlist.v │ │ │ ├── vcnnbd_axi_bram_ctrl_0_0_sim_netlist.vhdl │ │ │ ├── vcnnbd_axi_bram_ctrl_0_0_stub.v │ │ │ └── vcnnbd_axi_bram_ctrl_0_0_stub.vhdl │ │ ├── vcnnbd_axi_lite_slave_0_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_axi_lite_slave_0_0.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_axi_lite_slave_0_0.v │ │ │ ├── vcnnbd_axi_lite_slave_0_0.dcp │ │ │ ├── vcnnbd_axi_lite_slave_0_0.xci │ │ │ ├── vcnnbd_axi_lite_slave_0_0.xml │ │ │ ├── vcnnbd_axi_lite_slave_0_0_sim_netlist.v │ │ │ ├── vcnnbd_axi_lite_slave_0_0_sim_netlist.vhdl │ │ │ ├── vcnnbd_axi_lite_slave_0_0_stub.v │ │ │ └── vcnnbd_axi_lite_slave_0_0_stub.vhdl │ │ ├── vcnnbd_axi_lite_slave_0_2 │ │ │ ├── sim │ │ │ │ └── vcnnbd_axi_lite_slave_0_2.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_axi_lite_slave_0_2.v │ │ │ ├── vcnnbd_axi_lite_slave_0_2.dcp │ │ │ ├── vcnnbd_axi_lite_slave_0_2.xci │ │ │ ├── vcnnbd_axi_lite_slave_0_2.xml │ │ │ ├── vcnnbd_axi_lite_slave_0_2_sim_netlist.v │ │ │ ├── vcnnbd_axi_lite_slave_0_2_sim_netlist.vhdl │ │ │ ├── vcnnbd_axi_lite_slave_0_2_stub.v │ │ │ └── vcnnbd_axi_lite_slave_0_2_stub.vhdl │ │ ├── vcnnbd_axi_smc_0 │ │ │ ├── bd_0 │ │ │ │ ├── bd_cc5a.bd │ │ │ │ └── bd_cc5a.bxml │ │ │ ├── bd_1 │ │ │ │ ├── bd_cc5a.bd │ │ │ │ ├── bd_cc5a.bxml │ │ │ │ ├── hdl │ │ │ │ │ ├── bd_cc5a.v │ │ │ │ │ ├── bd_cc5a_wrapper.v │ │ │ │ │ └── vcnnbd_axi_smc_0.hwdef │ │ │ │ └── hw_handoff │ │ │ │ │ ├── vcnnbd_axi_smc_0.hwh │ │ │ │ │ └── vcnnbd_axi_smc_0_bd.tcl │ │ │ ├── bd_2 │ │ │ │ ├── bd_cc5a.bd │ │ │ │ ├── bd_cc5a.bxml │ │ │ │ ├── hdl │ │ │ │ │ ├── bd_cc5a.v │ │ │ │ │ ├── bd_cc5a_wrapper.v │ │ │ │ │ └── vcnnbd_axi_smc_0.hwdef │ │ │ │ ├── hw_handoff │ │ │ │ │ ├── vcnnbd_axi_smc_0.hwh │ │ │ │ │ └── vcnnbd_axi_smc_0_bd.tcl │ │ │ │ └── ip │ │ │ │ │ ├── ip_0 │ │ │ │ │ ├── bd_cc5a_one_0.xci │ │ │ │ │ ├── bd_cc5a_one_0.xml │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_one_0.v │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_one_0.v │ │ │ │ │ ├── ip_1 │ │ │ │ │ ├── bd_cc5a_psr_aclk_0.xci │ │ │ │ │ ├── bd_cc5a_psr_aclk_0.xdc │ │ │ │ │ ├── bd_cc5a_psr_aclk_0.xml │ │ │ │ │ ├── bd_cc5a_psr_aclk_0_board.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_psr_aclk_0.vhd │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_psr_aclk_0.vhd │ │ │ │ │ ├── ip_10 │ │ │ │ │ ├── bd_cc5a_s00a2s_0.xci │ │ │ │ │ ├── bd_cc5a_s00a2s_0.xml │ │ │ │ │ ├── bd_cc5a_s00a2s_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_s00a2s_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_s00a2s_0.sv │ │ │ │ │ ├── ip_11 │ │ │ │ │ ├── bd_cc5a_sarn_0.xci │ │ │ │ │ ├── bd_cc5a_sarn_0.xml │ │ │ │ │ ├── bd_cc5a_sarn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_sarn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_sarn_0.sv │ │ │ │ │ ├── ip_12 │ │ │ │ │ ├── bd_cc5a_srn_0.xci │ │ │ │ │ ├── bd_cc5a_srn_0.xml │ │ │ │ │ ├── bd_cc5a_srn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_srn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_srn_0.sv │ │ │ │ │ ├── ip_13 │ │ │ │ │ ├── bd_cc5a_sawn_0.xci │ │ │ │ │ ├── bd_cc5a_sawn_0.xml │ │ │ │ │ ├── bd_cc5a_sawn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_sawn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_sawn_0.sv │ │ │ │ │ ├── ip_14 │ │ │ │ │ ├── bd_cc5a_swn_0.xci │ │ │ │ │ ├── bd_cc5a_swn_0.xml │ │ │ │ │ ├── bd_cc5a_swn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_swn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_swn_0.sv │ │ │ │ │ ├── ip_15 │ │ │ │ │ ├── bd_cc5a_sbn_0.xci │ │ │ │ │ ├── bd_cc5a_sbn_0.xml │ │ │ │ │ ├── bd_cc5a_sbn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_sbn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_sbn_0.sv │ │ │ │ │ ├── ip_16 │ │ │ │ │ ├── bd_cc5a_m00s2a_0.xci │ │ │ │ │ ├── bd_cc5a_m00s2a_0.xml │ │ │ │ │ ├── bd_cc5a_m00s2a_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m00s2a_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m00s2a_0.sv │ │ │ │ │ ├── ip_17 │ │ │ │ │ ├── bd_cc5a_m00arn_0.xci │ │ │ │ │ ├── bd_cc5a_m00arn_0.xml │ │ │ │ │ ├── bd_cc5a_m00arn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m00arn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m00arn_0.sv │ │ │ │ │ ├── ip_18 │ │ │ │ │ ├── bd_cc5a_m00rn_0.xci │ │ │ │ │ ├── bd_cc5a_m00rn_0.xml │ │ │ │ │ ├── bd_cc5a_m00rn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m00rn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m00rn_0.sv │ │ │ │ │ ├── ip_19 │ │ │ │ │ ├── bd_cc5a_m00awn_0.xci │ │ │ │ │ ├── bd_cc5a_m00awn_0.xml │ │ │ │ │ ├── bd_cc5a_m00awn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m00awn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m00awn_0.sv │ │ │ │ │ ├── ip_2 │ │ │ │ │ ├── bd_cc5a_arsw_0.xci │ │ │ │ │ ├── bd_cc5a_arsw_0.xml │ │ │ │ │ ├── bd_cc5a_arsw_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_arsw_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_arsw_0.sv │ │ │ │ │ ├── ip_20 │ │ │ │ │ ├── bd_cc5a_m00wn_0.xci │ │ │ │ │ ├── bd_cc5a_m00wn_0.xml │ │ │ │ │ ├── bd_cc5a_m00wn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m00wn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m00wn_0.sv │ │ │ │ │ ├── ip_21 │ │ │ │ │ ├── bd_cc5a_m00bn_0.xci │ │ │ │ │ ├── bd_cc5a_m00bn_0.xml │ │ │ │ │ ├── bd_cc5a_m00bn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m00bn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m00bn_0.sv │ │ │ │ │ ├── ip_22 │ │ │ │ │ ├── bd_cc5a_m00e_0.xci │ │ │ │ │ ├── bd_cc5a_m00e_0.xml │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m00e_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m00e_0.sv │ │ │ │ │ ├── ip_23 │ │ │ │ │ ├── bd_cc5a_m01s2a_0.xci │ │ │ │ │ ├── bd_cc5a_m01s2a_0.xml │ │ │ │ │ ├── bd_cc5a_m01s2a_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m01s2a_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m01s2a_0.sv │ │ │ │ │ ├── ip_24 │ │ │ │ │ ├── bd_cc5a_m01arn_0.xci │ │ │ │ │ ├── bd_cc5a_m01arn_0.xml │ │ │ │ │ ├── bd_cc5a_m01arn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m01arn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m01arn_0.sv │ │ │ │ │ ├── ip_25 │ │ │ │ │ ├── bd_cc5a_m01rn_0.xci │ │ │ │ │ ├── bd_cc5a_m01rn_0.xml │ │ │ │ │ ├── bd_cc5a_m01rn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m01rn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m01rn_0.sv │ │ │ │ │ ├── ip_26 │ │ │ │ │ ├── bd_cc5a_m01awn_0.xci │ │ │ │ │ ├── bd_cc5a_m01awn_0.xml │ │ │ │ │ ├── bd_cc5a_m01awn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m01awn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m01awn_0.sv │ │ │ │ │ ├── ip_27 │ │ │ │ │ ├── bd_cc5a_m01wn_0.xci │ │ │ │ │ ├── bd_cc5a_m01wn_0.xml │ │ │ │ │ ├── bd_cc5a_m01wn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m01wn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m01wn_0.sv │ │ │ │ │ ├── ip_28 │ │ │ │ │ ├── bd_cc5a_m01bn_0.xci │ │ │ │ │ ├── bd_cc5a_m01bn_0.xml │ │ │ │ │ ├── bd_cc5a_m01bn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m01bn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m01bn_0.sv │ │ │ │ │ ├── ip_29 │ │ │ │ │ ├── bd_cc5a_m01e_0.xci │ │ │ │ │ ├── bd_cc5a_m01e_0.xml │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m01e_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m01e_0.sv │ │ │ │ │ ├── ip_3 │ │ │ │ │ ├── bd_cc5a_rsw_0.xci │ │ │ │ │ ├── bd_cc5a_rsw_0.xml │ │ │ │ │ ├── bd_cc5a_rsw_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_rsw_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_rsw_0.sv │ │ │ │ │ ├── ip_30 │ │ │ │ │ ├── bd_cc5a_m02s2a_0.xci │ │ │ │ │ ├── bd_cc5a_m02s2a_0.xml │ │ │ │ │ ├── bd_cc5a_m02s2a_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m02s2a_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m02s2a_0.sv │ │ │ │ │ ├── ip_31 │ │ │ │ │ ├── bd_cc5a_m02arn_0.xci │ │ │ │ │ ├── bd_cc5a_m02arn_0.xml │ │ │ │ │ ├── bd_cc5a_m02arn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m02arn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m02arn_0.sv │ │ │ │ │ ├── ip_32 │ │ │ │ │ ├── bd_cc5a_m02rn_0.xci │ │ │ │ │ ├── bd_cc5a_m02rn_0.xml │ │ │ │ │ ├── bd_cc5a_m02rn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m02rn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m02rn_0.sv │ │ │ │ │ ├── ip_33 │ │ │ │ │ ├── bd_cc5a_m02awn_0.xci │ │ │ │ │ ├── bd_cc5a_m02awn_0.xml │ │ │ │ │ ├── bd_cc5a_m02awn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m02awn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m02awn_0.sv │ │ │ │ │ ├── ip_34 │ │ │ │ │ ├── bd_cc5a_m02wn_0.xci │ │ │ │ │ ├── bd_cc5a_m02wn_0.xml │ │ │ │ │ ├── bd_cc5a_m02wn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m02wn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m02wn_0.sv │ │ │ │ │ ├── ip_35 │ │ │ │ │ ├── bd_cc5a_m02bn_0.xci │ │ │ │ │ ├── bd_cc5a_m02bn_0.xml │ │ │ │ │ ├── bd_cc5a_m02bn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m02bn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m02bn_0.sv │ │ │ │ │ ├── ip_36 │ │ │ │ │ ├── bd_cc5a_m02e_0.xci │ │ │ │ │ ├── bd_cc5a_m02e_0.xml │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m02e_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m02e_0.sv │ │ │ │ │ ├── ip_37 │ │ │ │ │ ├── bd_cc5a_m03s2a_0.xci │ │ │ │ │ ├── bd_cc5a_m03s2a_0.xml │ │ │ │ │ ├── bd_cc5a_m03s2a_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m03s2a_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m03s2a_0.sv │ │ │ │ │ ├── ip_38 │ │ │ │ │ ├── bd_cc5a_m03arn_0.xci │ │ │ │ │ ├── bd_cc5a_m03arn_0.xml │ │ │ │ │ ├── bd_cc5a_m03arn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m03arn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m03arn_0.sv │ │ │ │ │ ├── ip_39 │ │ │ │ │ ├── bd_cc5a_m03rn_0.xci │ │ │ │ │ ├── bd_cc5a_m03rn_0.xml │ │ │ │ │ ├── bd_cc5a_m03rn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m03rn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m03rn_0.sv │ │ │ │ │ ├── ip_4 │ │ │ │ │ ├── bd_cc5a_awsw_0.xci │ │ │ │ │ ├── bd_cc5a_awsw_0.xml │ │ │ │ │ ├── bd_cc5a_awsw_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_awsw_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_awsw_0.sv │ │ │ │ │ ├── ip_40 │ │ │ │ │ ├── bd_cc5a_m03awn_0.xci │ │ │ │ │ ├── bd_cc5a_m03awn_0.xml │ │ │ │ │ ├── bd_cc5a_m03awn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m03awn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m03awn_0.sv │ │ │ │ │ ├── ip_41 │ │ │ │ │ ├── bd_cc5a_m03wn_0.xci │ │ │ │ │ ├── bd_cc5a_m03wn_0.xml │ │ │ │ │ ├── bd_cc5a_m03wn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m03wn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m03wn_0.sv │ │ │ │ │ ├── ip_42 │ │ │ │ │ ├── bd_cc5a_m03bn_0.xci │ │ │ │ │ ├── bd_cc5a_m03bn_0.xml │ │ │ │ │ ├── bd_cc5a_m03bn_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m03bn_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m03bn_0.sv │ │ │ │ │ ├── ip_43 │ │ │ │ │ ├── bd_cc5a_m03e_0.xci │ │ │ │ │ ├── bd_cc5a_m03e_0.xml │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_m03e_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_m03e_0.sv │ │ │ │ │ ├── ip_5 │ │ │ │ │ ├── bd_cc5a_wsw_0.xci │ │ │ │ │ ├── bd_cc5a_wsw_0.xml │ │ │ │ │ ├── bd_cc5a_wsw_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_wsw_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_wsw_0.sv │ │ │ │ │ ├── ip_6 │ │ │ │ │ ├── bd_cc5a_bsw_0.xci │ │ │ │ │ ├── bd_cc5a_bsw_0.xml │ │ │ │ │ ├── bd_cc5a_bsw_0_ooc.xdc │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_bsw_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_bsw_0.sv │ │ │ │ │ ├── ip_7 │ │ │ │ │ ├── bd_cc5a_s00mmu_0.xci │ │ │ │ │ ├── bd_cc5a_s00mmu_0.xml │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_s00mmu_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_s00mmu_0.sv │ │ │ │ │ ├── ip_8 │ │ │ │ │ ├── bd_cc5a_s00tr_0.xci │ │ │ │ │ ├── bd_cc5a_s00tr_0.xml │ │ │ │ │ ├── sim │ │ │ │ │ │ └── bd_cc5a_s00tr_0.sv │ │ │ │ │ └── synth │ │ │ │ │ │ └── bd_cc5a_s00tr_0.sv │ │ │ │ │ └── ip_9 │ │ │ │ │ ├── bd_cc5a_s00sic_0.xci │ │ │ │ │ ├── bd_cc5a_s00sic_0.xml │ │ │ │ │ ├── sim │ │ │ │ │ └── bd_cc5a_s00sic_0.sv │ │ │ │ │ └── synth │ │ │ │ │ └── bd_cc5a_s00sic_0.sv │ │ │ ├── ooc.xdc │ │ │ ├── sc_post_elab.rld │ │ │ ├── sim │ │ │ │ └── vcnnbd_axi_smc_0.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_axi_smc_0.v │ │ │ ├── vcnnbd_axi_smc_0.dcp │ │ │ ├── vcnnbd_axi_smc_0.xci │ │ │ ├── vcnnbd_axi_smc_0.xml │ │ │ ├── vcnnbd_axi_smc_0_sim_netlist.v │ │ │ ├── vcnnbd_axi_smc_0_sim_netlist.vhdl │ │ │ ├── vcnnbd_axi_smc_0_stub.v │ │ │ └── vcnnbd_axi_smc_0_stub.vhdl │ │ ├── vcnnbd_blk_mem_gen_0_0 │ │ │ ├── input_bram.coe │ │ │ ├── sim │ │ │ │ └── vcnnbd_blk_mem_gen_0_0.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_blk_mem_gen_0_0.vhd │ │ │ ├── vcnnbd_blk_mem_gen_0_0.dcp │ │ │ ├── vcnnbd_blk_mem_gen_0_0.mif │ │ │ ├── vcnnbd_blk_mem_gen_0_0.xci │ │ │ ├── vcnnbd_blk_mem_gen_0_0.xml │ │ │ ├── vcnnbd_blk_mem_gen_0_0_ooc.xdc │ │ │ ├── vcnnbd_blk_mem_gen_0_0_sim_netlist.v │ │ │ ├── vcnnbd_blk_mem_gen_0_0_sim_netlist.vhdl │ │ │ ├── vcnnbd_blk_mem_gen_0_0_stub.v │ │ │ └── vcnnbd_blk_mem_gen_0_0_stub.vhdl │ │ ├── vcnnbd_blk_mem_gen_0_1 │ │ │ ├── sim │ │ │ │ └── vcnnbd_blk_mem_gen_0_1.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_blk_mem_gen_0_1.vhd │ │ │ ├── vcnnbd_blk_mem_gen_0_1.dcp │ │ │ ├── vcnnbd_blk_mem_gen_0_1.mif │ │ │ ├── vcnnbd_blk_mem_gen_0_1.xci │ │ │ ├── vcnnbd_blk_mem_gen_0_1.xml │ │ │ ├── vcnnbd_blk_mem_gen_0_1_ooc.xdc │ │ │ ├── vcnnbd_blk_mem_gen_0_1_sim_netlist.v │ │ │ ├── vcnnbd_blk_mem_gen_0_1_sim_netlist.vhdl │ │ │ ├── vcnnbd_blk_mem_gen_0_1_stub.v │ │ │ ├── vcnnbd_blk_mem_gen_0_1_stub.vhdl │ │ │ └── weight_bram.coe │ │ ├── vcnnbd_blk_mem_gen_1_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_blk_mem_gen_1_0.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_blk_mem_gen_1_0.vhd │ │ │ ├── vcnnbd_blk_mem_gen_1_0.dcp │ │ │ ├── vcnnbd_blk_mem_gen_1_0.xci │ │ │ ├── vcnnbd_blk_mem_gen_1_0.xml │ │ │ ├── vcnnbd_blk_mem_gen_1_0_ooc.xdc │ │ │ ├── vcnnbd_blk_mem_gen_1_0_sim_netlist.v │ │ │ ├── vcnnbd_blk_mem_gen_1_0_sim_netlist.vhdl │ │ │ ├── vcnnbd_blk_mem_gen_1_0_stub.v │ │ │ └── vcnnbd_blk_mem_gen_1_0_stub.vhdl │ │ ├── vcnnbd_conv1l_top_0_0 │ │ │ ├── ip │ │ │ │ └── fp_mult_add_16bit │ │ │ │ │ ├── fp_mult_add_16bit.xci │ │ │ │ │ ├── fp_mult_add_16bit.xml │ │ │ │ │ ├── hdl │ │ │ │ │ ├── axi_utils_v2_0_vh_rfs.vhd │ │ │ │ │ ├── floating_point_v7_1_vh_rfs.vhd │ │ │ │ │ ├── mult_gen_v12_0_vh_rfs.vhd │ │ │ │ │ ├── xbip_bram18k_v3_0_vh_rfs.vhd │ │ │ │ │ ├── xbip_dsp48_addsub_v3_0_vh_rfs.vhd │ │ │ │ │ ├── xbip_dsp48_multadd_v3_0_vh_rfs.vhd │ │ │ │ │ ├── xbip_dsp48_wrapper_v3_0_vh_rfs.vhd │ │ │ │ │ ├── xbip_pipe_v3_0_vh_rfs.vhd │ │ │ │ │ └── xbip_utils_v3_0_vh_rfs.vhd │ │ │ │ │ ├── sim │ │ │ │ │ └── fp_mult_add_16bit.vhd │ │ │ │ │ └── synth │ │ │ │ │ └── fp_mult_add_16bit.vhd │ │ │ ├── sim │ │ │ │ └── vcnnbd_conv1l_top_0_0.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_conv1l_top_0_0.v │ │ │ ├── vcnnbd_conv1l_top_0_0.dcp │ │ │ ├── vcnnbd_conv1l_top_0_0.xci │ │ │ ├── vcnnbd_conv1l_top_0_0.xml │ │ │ ├── vcnnbd_conv1l_top_0_0_sim_netlist.v │ │ │ ├── vcnnbd_conv1l_top_0_0_sim_netlist.vhdl │ │ │ ├── vcnnbd_conv1l_top_0_0_stub.v │ │ │ └── vcnnbd_conv1l_top_0_0_stub.vhdl │ │ ├── vcnnbd_conv1l_top_0_1 │ │ │ ├── ip │ │ │ │ └── fp_mult_add_16bit │ │ │ │ │ ├── fp_mult_add_16bit.xci │ │ │ │ │ ├── fp_mult_add_16bit.xml │ │ │ │ │ ├── hdl │ │ │ │ │ ├── axi_utils_v2_0_vh_rfs.vhd │ │ │ │ │ ├── floating_point_v7_1_vh_rfs.vhd │ │ │ │ │ ├── mult_gen_v12_0_vh_rfs.vhd │ │ │ │ │ ├── xbip_bram18k_v3_0_vh_rfs.vhd │ │ │ │ │ ├── xbip_dsp48_addsub_v3_0_vh_rfs.vhd │ │ │ │ │ ├── xbip_dsp48_multadd_v3_0_vh_rfs.vhd │ │ │ │ │ ├── xbip_dsp48_wrapper_v3_0_vh_rfs.vhd │ │ │ │ │ ├── xbip_pipe_v3_0_vh_rfs.vhd │ │ │ │ │ └── xbip_utils_v3_0_vh_rfs.vhd │ │ │ │ │ ├── sim │ │ │ │ │ └── fp_mult_add_16bit.vhd │ │ │ │ │ └── synth │ │ │ │ │ └── fp_mult_add_16bit.vhd │ │ │ ├── sim │ │ │ │ └── vcnnbd_conv1l_top_0_1.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_conv1l_top_0_1.v │ │ │ ├── vcnnbd_conv1l_top_0_1.dcp │ │ │ ├── vcnnbd_conv1l_top_0_1.xci │ │ │ ├── vcnnbd_conv1l_top_0_1.xml │ │ │ ├── vcnnbd_conv1l_top_0_1_sim_netlist.v │ │ │ ├── vcnnbd_conv1l_top_0_1_sim_netlist.vhdl │ │ │ ├── vcnnbd_conv1l_top_0_1_stub.v │ │ │ └── vcnnbd_conv1l_top_0_1_stub.vhdl │ │ ├── vcnnbd_output_bram_mem_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_output_bram_mem_0.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_output_bram_mem_0.vhd │ │ │ ├── vcnnbd_output_bram_mem_0.dcp │ │ │ ├── vcnnbd_output_bram_mem_0.mif │ │ │ ├── vcnnbd_output_bram_mem_0.xci │ │ │ ├── vcnnbd_output_bram_mem_0.xml │ │ │ ├── vcnnbd_output_bram_mem_0_ooc.xdc │ │ │ ├── vcnnbd_output_bram_mem_0_sim_netlist.v │ │ │ ├── vcnnbd_output_bram_mem_0_sim_netlist.vhdl │ │ │ ├── vcnnbd_output_bram_mem_0_stub.v │ │ │ └── vcnnbd_output_bram_mem_0_stub.vhdl │ │ ├── vcnnbd_output_bram_mem_1 │ │ │ ├── sim │ │ │ │ └── vcnnbd_output_bram_mem_1.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_output_bram_mem_1.vhd │ │ │ ├── vcnnbd_output_bram_mem_1.dcp │ │ │ ├── vcnnbd_output_bram_mem_1.xci │ │ │ ├── vcnnbd_output_bram_mem_1.xml │ │ │ ├── vcnnbd_output_bram_mem_1_ooc.xdc │ │ │ ├── vcnnbd_output_bram_mem_1_sim_netlist.v │ │ │ ├── vcnnbd_output_bram_mem_1_sim_netlist.vhdl │ │ │ ├── vcnnbd_output_bram_mem_1_stub.v │ │ │ └── vcnnbd_output_bram_mem_1_stub.vhdl │ │ ├── vcnnbd_processing_system7_0_0 │ │ │ ├── hdl │ │ │ │ └── verilog │ │ │ │ │ ├── processing_system7_v5_5_processing_system7.v │ │ │ │ │ └── vcnnbd_processing_system7_0_0.hwdef │ │ │ ├── ps7_init.c │ │ │ ├── ps7_init.h │ │ │ ├── ps7_init.html │ │ │ ├── ps7_init.tcl │ │ │ ├── ps7_init_gpl.c │ │ │ ├── ps7_init_gpl.h │ │ │ ├── ps7_parameters.xml │ │ │ ├── sim │ │ │ │ └── vcnnbd_processing_system7_0_0.v │ │ │ ├── synth │ │ │ │ └── vcnnbd_processing_system7_0_0.v │ │ │ ├── vcnnbd_processing_system7_0_0.dcp │ │ │ ├── vcnnbd_processing_system7_0_0.xci │ │ │ ├── vcnnbd_processing_system7_0_0.xdc │ │ │ ├── vcnnbd_processing_system7_0_0.xml │ │ │ ├── vcnnbd_processing_system7_0_0_sim_netlist.v │ │ │ ├── vcnnbd_processing_system7_0_0_sim_netlist.vhdl │ │ │ ├── vcnnbd_processing_system7_0_0_stub.v │ │ │ └── vcnnbd_processing_system7_0_0_stub.vhdl │ │ ├── vcnnbd_rst_ps7_0_100M_0 │ │ │ ├── sim │ │ │ │ └── vcnnbd_rst_ps7_0_100M_0.vhd │ │ │ ├── synth │ │ │ │ └── vcnnbd_rst_ps7_0_100M_0.vhd │ │ │ ├── vcnnbd_rst_ps7_0_100M_0.dcp │ │ │ ├── vcnnbd_rst_ps7_0_100M_0.xci │ │ │ ├── vcnnbd_rst_ps7_0_100M_0.xdc │ │ │ ├── vcnnbd_rst_ps7_0_100M_0.xml │ │ │ ├── vcnnbd_rst_ps7_0_100M_0_board.xdc │ │ │ ├── vcnnbd_rst_ps7_0_100M_0_ooc.xdc │ │ │ ├── vcnnbd_rst_ps7_0_100M_0_sim_netlist.v │ │ │ ├── vcnnbd_rst_ps7_0_100M_0_sim_netlist.vhdl │ │ │ ├── vcnnbd_rst_ps7_0_100M_0_stub.v │ │ │ └── vcnnbd_rst_ps7_0_100M_0_stub.vhdl │ │ └── vcnnbd_weight_bram_0 │ │ │ ├── sim │ │ │ └── vcnnbd_weight_bram_0.vhd │ │ │ ├── synth │ │ │ └── vcnnbd_weight_bram_0.vhd │ │ │ ├── vcnnbd_weight_bram_0.dcp │ │ │ ├── vcnnbd_weight_bram_0.xci │ │ │ ├── vcnnbd_weight_bram_0.xml │ │ │ ├── vcnnbd_weight_bram_0_ooc.xdc │ │ │ ├── vcnnbd_weight_bram_0_sim_netlist.v │ │ │ ├── vcnnbd_weight_bram_0_sim_netlist.vhdl │ │ │ ├── vcnnbd_weight_bram_0_stub.v │ │ │ └── vcnnbd_weight_bram_0_stub.vhdl │ │ ├── ipshared │ │ ├── 4158 │ │ │ ├── hdl │ │ │ │ └── blk_mem_gen_v8_3_vhsyn_rfs.vhd │ │ │ └── simulation │ │ │ │ └── blk_mem_gen_v8_3.v │ │ ├── 5300 │ │ │ └── hdl │ │ │ │ └── sc_mmu_v1_0_vl_rfs.sv │ │ ├── 5980 │ │ │ └── verilog │ │ │ │ └── axi_lite_slave.v │ │ ├── 9183 │ │ │ └── hdl │ │ │ │ └── axi_bram_ctrl_v4_0_rfs.vhd │ │ ├── 0691 │ │ │ └── new │ │ │ │ └── conv1l_top.v │ │ ├── 1d61 │ │ │ └── hdl │ │ │ │ ├── processing_system7_vip_v1_0_1_apis.v │ │ │ │ ├── processing_system7_vip_v1_0_1_axi_acp.v │ │ │ │ ├── processing_system7_vip_v1_0_1_axi_gp.v │ │ │ │ ├── processing_system7_vip_v1_0_1_axi_hp.v │ │ │ │ ├── processing_system7_vip_v1_0_1_local_params.v │ │ │ │ ├── processing_system7_vip_v1_0_1_reg_init.v │ │ │ │ ├── processing_system7_vip_v1_0_1_reg_params.v │ │ │ │ ├── processing_system7_vip_v1_0_1_unused_ports.v │ │ │ │ └── processing_system7_vip_v1_0_vl_rfs.sv │ │ ├── 224a │ │ │ └── hdl │ │ │ │ └── sc_sc2axi_v1_0_vl_rfs.sv │ │ ├── 2ad9 │ │ │ └── hdl │ │ │ │ ├── xil_common_vip_v1_0_0_macros.svh │ │ │ │ └── xil_common_vip_v1_0_vl_rfs.sv │ │ ├── 39ca │ │ │ └── hdl │ │ │ │ ├── sc_util_v1_0_vl_rfs.sv │ │ │ │ └── verilog │ │ │ │ ├── sc_util_v1_0_2_constants.vh │ │ │ │ └── sc_util_v1_0_2_structs.svh │ │ ├── 45df │ │ │ └── hdl │ │ │ │ └── xlconstant_v1_1_vl_rfs.v │ │ ├── 52cb │ │ │ └── hdl │ │ │ │ └── lib_cdc_v1_0_rfs.vhd │ │ ├── 5db7 │ │ │ └── hdl │ │ │ │ └── proc_sys_reset_v5_0_vh_rfs.vhd │ │ ├── 6eb1 │ │ │ └── hdl │ │ │ │ ├── axi_vip_v1_0_2_axi4pc.sv │ │ │ │ └── axi_vip_v1_0_vl_rfs.sv │ │ ├── 7daf │ │ │ └── hdl │ │ │ │ └── sc_switchboard_v1_0_vl_rfs.sv │ │ ├── 7e3a │ │ │ └── hdl │ │ │ │ ├── axi_infrastructure_v1_1_0.vh │ │ │ │ └── axi_infrastructure_v1_1_vl_rfs.v │ │ ├── 8e93 │ │ │ └── hdl │ │ │ │ └── verilog │ │ │ │ ├── processing_system7_v5_5_atc.v │ │ │ │ ├── processing_system7_v5_5_aw_atc.v │ │ │ │ ├── processing_system7_v5_5_b_atc.v │ │ │ │ ├── processing_system7_v5_5_trace_buffer.v │ │ │ │ └── processing_system7_v5_5_w_atc.v │ │ ├── 986a │ │ │ └── hdl │ │ │ │ └── sc_exit_v1_0_vl_rfs.sv │ │ ├── a1b2 │ │ │ └── hdl │ │ │ │ └── axi_protocol_checker_v1_1_vl_rfs.sv │ │ ├── cbcb │ │ │ └── hdl │ │ │ │ └── sc_transaction_regulator_v1_0_vl_rfs.sv │ │ ├── cc66 │ │ │ └── hdl │ │ │ │ └── sc_si_converter_v1_0_vl_rfs.sv │ │ ├── e870 │ │ │ └── hdl │ │ │ │ └── sc_axi2sc_v1_0_vl_rfs.sv │ │ └── f80f │ │ │ └── hdl │ │ │ ├── sc_node_v1_0_vl_rfs.sv │ │ │ └── verilog │ │ │ └── sc_node_v1_0_5_t_reqsend.svh │ │ ├── ui │ │ └── bd_b46dc8af.ui │ │ ├── vcnnbd.bd │ │ ├── vcnnbd.bmm │ │ ├── vcnnbd.bxml │ │ └── vcnnbd_ooc.xdc │ └── new │ └── axi4ls.v └── vcnn.xpr /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/.gitignore -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/LICENSE -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/README.md -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.hw/axi4lslave.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.hw/axi4lslave.lpr -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/.jobs/vrs_config_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/.jobs/vrs_config_1.xml -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/axi_lite_slave.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/axi_lite_slave.dcp -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/axi_lite_slave.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/axi_lite_slave.tcl -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/axi_lite_slave.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/axi_lite_slave.vds -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/axi_lite_slave_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/axi_lite_slave_utilization_synth.pb -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/axi_lite_slave_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/axi_lite_slave_utilization_synth.rpt -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/project.wdf -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/runme.log -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.srcs/sources_1/imports/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.srcs/sources_1/imports/component.xml -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.srcs/sources_1/imports/verilog/axi_lite_slave.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.srcs/sources_1/imports/verilog/axi_lite_slave.v -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.srcs/sources_1/imports/xgui/axi_lite_slave_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.srcs/sources_1/imports/xgui/axi_lite_slave_v1_0.tcl -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.srcs/sources_1/imports/xgui/axi_lite_slave_v1_1.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.srcs/sources_1/imports/xgui/axi_lite_slave_v1_1.tcl -------------------------------------------------------------------------------- /ip/axi4lslave/axi4lslave.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/axi4lslave/axi4lslave.xpr -------------------------------------------------------------------------------- /ip/convl1/convl1.hw/convl1.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.hw/convl1.lpr -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/README.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ip/fp_mult_add_16bit/fp_mult_add_16bit.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ip/fp_mult_add_16bit/fp_mult_add_16bit.veo -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ip/fp_mult_add_16bit/fp_mult_add_16bit.vho: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ip/fp_mult_add_16bit/fp_mult_add_16bit.vho -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ip/fp_mult_add_16bit/fp_mult_add_16bit_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ip/fp_mult_add_16bit/fp_mult_add_16bit_stub.v -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ip/fp_mult_add_16bit/fp_mult_add_16bit_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ip/fp_mult_add_16bit/fp_mult_add_16bit_stub.vhdl -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ipstatic/hdl/axi_utils_v2_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ipstatic/hdl/axi_utils_v2_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ipstatic/hdl/floating_point_v7_1_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ipstatic/hdl/floating_point_v7_1_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ipstatic/hdl/mult_gen_v12_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ipstatic/hdl/mult_gen_v12_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_bram18k_v3_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_bram18k_v3_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_multadd_v3_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_multadd_v3_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_pipe_v3_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_pipe_v3_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_utils_v3_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/ipstatic/hdl/xbip_utils_v3_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/README.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/activehdl/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/activehdl/README.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/activehdl/compile.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/activehdl/compile.do -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/activehdl/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/activehdl/file_info.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/activehdl/fp_mult_add_16bit.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/activehdl/simulate.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/activehdl/simulate.do -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/activehdl/wave.do: -------------------------------------------------------------------------------- 1 | add wave * 2 | -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/ies/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/ies/README.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/ies/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/ies/file_info.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/ies/fp_mult_add_16bit.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/ies/fp_mult_add_16bit.sh -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/ies/run.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/ies/run.f -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/modelsim/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/modelsim/README.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/modelsim/compile.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/modelsim/compile.do -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/modelsim/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/modelsim/file_info.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/modelsim/fp_mult_add_16bit.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/modelsim/simulate.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/modelsim/simulate.do -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/modelsim/wave.do: -------------------------------------------------------------------------------- 1 | add wave * 2 | -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/README.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/compile.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/compile.do -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/elaborate.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/elaborate.do -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/file_info.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/fp_mult_add_16bit.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/simulate.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/simulate.do -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/questa/wave.do: -------------------------------------------------------------------------------- 1 | add wave * 2 | -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/riviera/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/riviera/README.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/riviera/compile.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/riviera/compile.do -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/riviera/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/riviera/file_info.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/riviera/fp_mult_add_16bit.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/riviera/simulate.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/riviera/simulate.do -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/riviera/wave.do: -------------------------------------------------------------------------------- 1 | add wave * 2 | -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/vcs/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/vcs/README.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/vcs/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/vcs/file_info.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/vcs/fp_mult_add_16bit.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/vcs/fp_mult_add_16bit.sh -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/vcs/simulate.do: -------------------------------------------------------------------------------- 1 | run 2 | quit 3 | -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/xsim/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/xsim/README.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/xsim/cmd.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/xsim/cmd.tcl -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/xsim/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/xsim/file_info.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/xsim/fp_mult_add_16bit.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/xsim/fp_mult_add_16bit.sh -------------------------------------------------------------------------------- /ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/xsim/vhdl.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.ip_user_files/sim_scripts/fp_mult_add_16bit/xsim/vhdl.prj -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_1.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_10.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_10.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_11.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_11.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_12.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_12.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_13.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_13.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_14.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_14.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_15.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_15.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_16.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_16.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_17.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_17.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_18.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_18.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_19.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_19.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_2.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_20.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_20.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_21.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_21.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_22.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_22.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_3.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_3.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_4.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_4.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_5.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_5.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_6.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_6.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_7.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_7.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_8.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_8.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/.jobs/vrs_config_9.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/.jobs/vrs_config_9.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/fp_mult_add_16bit.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/fp_mult_add_16bit.dcp -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/fp_mult_add_16bit.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/fp_mult_add_16bit.tcl -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/fp_mult_add_16bit.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/fp_mult_add_16bit.vds -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/fp_mult_add_16bit_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/fp_mult_add_16bit_utilization_synth.pb -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/gen_run.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/htr.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/project.wdf -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/rundef.js -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/runme.bat -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/runme.log -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/runme.sh -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/vivado.jou -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/fp_mult_add_16bit_synth_1/vivado.pb -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/conv1l_top.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/conv1l_top.dcp -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/conv1l_top.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/conv1l_top.tcl -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/conv1l_top.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/conv1l_top.vds -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/conv1l_top_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/conv1l_top_utilization_synth.pb -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/conv1l_top_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/conv1l_top_utilization_synth.rpt -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/project.wdf -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/runme.log -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /ip/convl1/convl1.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/component.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/demo_tb/tb_fp_mult_add_16bit.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/demo_tb/tb_fp_mult_add_16bit.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit.dcp -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit.veo -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit.vho: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit.vho -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit.xci -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit.xml -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit_ooc.xdc -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit_sim_netlist.v -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit_stub.v -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/fp_mult_add_16bit_stub.vhdl -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/hdl/axi_utils_v2_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/hdl/axi_utils_v2_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/hdl/mult_gen_v12_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/hdl/mult_gen_v12_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/hdl/xbip_bram18k_v3_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/hdl/xbip_bram18k_v3_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/hdl/xbip_pipe_v3_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/hdl/xbip_pipe_v3_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/hdl/xbip_utils_v3_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/hdl/xbip_utils_v3_0_vh_rfs.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/sim/fp_mult_add_16bit.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/sim/fp_mult_add_16bit.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/synth/fp_mult_add_16bit.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/ip/fp_mult_add_16bit/synth/fp_mult_add_16bit.vhd -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/new/axils.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/new/axils.v -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/new/conv1l_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/new/conv1l_top.v -------------------------------------------------------------------------------- /ip/convl1/convl1.srcs/sources_1/xgui/conv1l_top_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.srcs/sources_1/xgui/conv1l_top_v1_0.tcl -------------------------------------------------------------------------------- /ip/convl1/convl1.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip/convl1/convl1.xpr -------------------------------------------------------------------------------- /ip_upgrade.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/ip_upgrade.log -------------------------------------------------------------------------------- /runme.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/runme.tcl -------------------------------------------------------------------------------- /scripts/mem_access/mem_access_hw.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/scripts/mem_access/mem_access_hw.bit -------------------------------------------------------------------------------- /scripts/mem_access/mem_access_hw.ipynb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/scripts/mem_access/mem_access_hw.ipynb -------------------------------------------------------------------------------- /scripts/mem_access/mem_access_hw.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/scripts/mem_access/mem_access_hw.tcl -------------------------------------------------------------------------------- /scripts/utilities/py_mult_float16.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/scripts/utilities/py_mult_float16.py -------------------------------------------------------------------------------- /scripts/vcnn/vcnn.ipynb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/scripts/vcnn/vcnn.ipynb -------------------------------------------------------------------------------- /scripts/vcnn/vcnn_naive_v2.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/scripts/vcnn/vcnn_naive_v2.bit -------------------------------------------------------------------------------- /scripts/vcnn/vcnn_naive_v2.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/scripts/vcnn/vcnn_naive_v2.tcl -------------------------------------------------------------------------------- /vcnn.hw/backup/hw_ila_data_1.ila: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.hw/backup/hw_ila_data_1.ila -------------------------------------------------------------------------------- /vcnn.hw/backup/hw_ila_data_2.ila: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.hw/backup/hw_ila_data_2.ila -------------------------------------------------------------------------------- /vcnn.hw/hw_1/hw.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.hw/hw_1/hw.xml -------------------------------------------------------------------------------- /vcnn.hw/hw_1/wave/hw_ila_data_1/hw_ila_data_1.wcfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.hw/hw_1/wave/hw_ila_data_1/hw_ila_data_1.wcfg -------------------------------------------------------------------------------- /vcnn.hw/hw_1/wave/hw_ila_data_1/hw_ila_data_1.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.hw/hw_1/wave/hw_ila_data_1/hw_ila_data_1.wdb -------------------------------------------------------------------------------- /vcnn.hw/hw_1/wave/hw_ila_data_2/hw_ila_data_2.wcfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.hw/hw_1/wave/hw_ila_data_2/hw_ila_data_2.wcfg -------------------------------------------------------------------------------- /vcnn.hw/hw_1/wave/hw_ila_data_2/hw_ila_data_2.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.hw/hw_1/wave/hw_ila_data_2/hw_ila_data_2.wdb -------------------------------------------------------------------------------- /vcnn.hw/vcnn.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.hw/vcnn.lpr -------------------------------------------------------------------------------- /vcnn.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/README.txt -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/hdl/vcnnbd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/hdl/vcnnbd.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/bd_cc5a.bd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/bd_cc5a.bd -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/hdl/bd_cc5a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/hdl/bd_cc5a.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_0/sim/bd_cc5a_one_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_0/sim/bd_cc5a_one_0.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_10/sim/bd_cc5a_s00a2s_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_10/sim/bd_cc5a_s00a2s_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_11/sim/bd_cc5a_sarn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_11/sim/bd_cc5a_sarn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/sim/bd_cc5a_srn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/sim/bd_cc5a_srn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_13/sim/bd_cc5a_sawn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_13/sim/bd_cc5a_sawn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/sim/bd_cc5a_swn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/sim/bd_cc5a_swn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/sim/bd_cc5a_sbn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/sim/bd_cc5a_sbn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_16/sim/bd_cc5a_m00s2a_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_16/sim/bd_cc5a_m00s2a_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_17/sim/bd_cc5a_m00arn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_17/sim/bd_cc5a_m00arn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_18/sim/bd_cc5a_m00rn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_18/sim/bd_cc5a_m00rn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_19/sim/bd_cc5a_m00awn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_19/sim/bd_cc5a_m00awn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/sim/bd_cc5a_arsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/sim/bd_cc5a_arsw_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_20/sim/bd_cc5a_m00wn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_20/sim/bd_cc5a_m00wn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_21/sim/bd_cc5a_m00bn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_21/sim/bd_cc5a_m00bn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_22/sim/bd_cc5a_m00e_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_22/sim/bd_cc5a_m00e_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_23/sim/bd_cc5a_m01s2a_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_23/sim/bd_cc5a_m01s2a_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_24/sim/bd_cc5a_m01arn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_24/sim/bd_cc5a_m01arn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_25/sim/bd_cc5a_m01rn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_25/sim/bd_cc5a_m01rn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_26/sim/bd_cc5a_m01awn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_26/sim/bd_cc5a_m01awn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_27/sim/bd_cc5a_m01wn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_27/sim/bd_cc5a_m01wn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_28/sim/bd_cc5a_m01bn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_28/sim/bd_cc5a_m01bn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_29/sim/bd_cc5a_m01e_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_29/sim/bd_cc5a_m01e_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/sim/bd_cc5a_rsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/sim/bd_cc5a_rsw_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_30/sim/bd_cc5a_m02s2a_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_30/sim/bd_cc5a_m02s2a_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_31/sim/bd_cc5a_m02arn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_31/sim/bd_cc5a_m02arn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_32/sim/bd_cc5a_m02rn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_32/sim/bd_cc5a_m02rn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_33/sim/bd_cc5a_m02awn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_33/sim/bd_cc5a_m02awn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_34/sim/bd_cc5a_m02wn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_34/sim/bd_cc5a_m02wn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_35/sim/bd_cc5a_m02bn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_35/sim/bd_cc5a_m02bn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_36/sim/bd_cc5a_m02e_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_36/sim/bd_cc5a_m02e_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_37/sim/bd_cc5a_m03s2a_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_37/sim/bd_cc5a_m03s2a_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_38/sim/bd_cc5a_m03arn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_38/sim/bd_cc5a_m03arn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_39/sim/bd_cc5a_m03rn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_39/sim/bd_cc5a_m03rn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/sim/bd_cc5a_awsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/sim/bd_cc5a_awsw_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_40/sim/bd_cc5a_m03awn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_40/sim/bd_cc5a_m03awn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_41/sim/bd_cc5a_m03wn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_41/sim/bd_cc5a_m03wn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_42/sim/bd_cc5a_m03bn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_42/sim/bd_cc5a_m03bn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_43/sim/bd_cc5a_m03e_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_43/sim/bd_cc5a_m03e_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_44/sim/bd_cc5a_m04s2a_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_44/sim/bd_cc5a_m04s2a_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_45/sim/bd_cc5a_m04arn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_45/sim/bd_cc5a_m04arn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_46/sim/bd_cc5a_m04rn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_46/sim/bd_cc5a_m04rn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_47/sim/bd_cc5a_m04awn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_47/sim/bd_cc5a_m04awn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_48/sim/bd_cc5a_m04wn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_48/sim/bd_cc5a_m04wn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_49/sim/bd_cc5a_m04bn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_49/sim/bd_cc5a_m04bn_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_5/sim/bd_cc5a_wsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_5/sim/bd_cc5a_wsw_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_50/sim/bd_cc5a_m04e_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_50/sim/bd_cc5a_m04e_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_6/sim/bd_cc5a_bsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_6/sim/bd_cc5a_bsw_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_7/sim/bd_cc5a_s00mmu_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_7/sim/bd_cc5a_s00mmu_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_8/sim/bd_cc5a_s00tr_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_8/sim/bd_cc5a_s00tr_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_9/sim/bd_cc5a_s00sic_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_9/sim/bd_cc5a_s00sic_0.sv -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/sim/vcnnbd_axi_smc_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/sim/vcnnbd_axi_smc_0.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_sim_netlist.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_sim_netlist.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_sim_netlist.vhdl -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/sim/vcnnbd_blk_mem_gen_0_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/sim/vcnnbd_blk_mem_gen_0_0.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/sim/vcnnbd_blk_mem_gen_0_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/sim/vcnnbd_blk_mem_gen_0_1.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_1_0/sim/vcnnbd_blk_mem_gen_1_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_1_0/sim/vcnnbd_blk_mem_gen_1_0.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_system_ila_0_0/bd_0/bd_ae0e.bd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_system_ila_0_0/bd_0/bd_ae0e.bd -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_system_ila_0_0/bd_0/hdl/bd_ae0e.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_system_ila_0_0/bd_0/hdl/bd_ae0e.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_system_ila_0_0/sim/vcnnbd_system_ila_0_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_system_ila_0_0/sim/vcnnbd_system_ila_0_0.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_weight_bram_0/sim/vcnnbd_weight_bram_0.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ip/vcnnbd_weight_bram_0/sim/vcnnbd_weight_bram_0.vhd -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/ipshared/5980/verilog/axi_lite_slave.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/ipshared/5980/verilog/axi_lite_slave.v -------------------------------------------------------------------------------- /vcnn.ip_user_files/bd/vcnnbd/vcnnbd.bmm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/bd/vcnnbd/vcnnbd.bmm -------------------------------------------------------------------------------- /vcnn.ip_user_files/mem_init_files/input_bram.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/mem_init_files/input_bram.coe -------------------------------------------------------------------------------- /vcnn.ip_user_files/mem_init_files/ps7_init.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/mem_init_files/ps7_init.h -------------------------------------------------------------------------------- /vcnn.ip_user_files/mem_init_files/ps7_init.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/mem_init_files/ps7_init.html -------------------------------------------------------------------------------- /vcnn.ip_user_files/mem_init_files/ps7_init.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/mem_init_files/ps7_init.tcl -------------------------------------------------------------------------------- /vcnn.ip_user_files/mem_init_files/ps7_init_gpl.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/mem_init_files/ps7_init_gpl.h -------------------------------------------------------------------------------- /vcnn.ip_user_files/mem_init_files/sc_post_elab.rld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/mem_init_files/sc_post_elab.rld -------------------------------------------------------------------------------- /vcnn.ip_user_files/mem_init_files/vcnnbd_blk_mem_gen_0_0.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/mem_init_files/vcnnbd_blk_mem_gen_0_0.mif -------------------------------------------------------------------------------- /vcnn.ip_user_files/mem_init_files/vcnnbd_blk_mem_gen_0_1.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/mem_init_files/vcnnbd_blk_mem_gen_0_1.mif -------------------------------------------------------------------------------- /vcnn.ip_user_files/mem_init_files/weight_bram.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.ip_user_files/mem_init_files/weight_bram.coe -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_1.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_10.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_10.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_11.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_11.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_12.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_12.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_13.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_13.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_14.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_14.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_15.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_15.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_16.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_16.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_17.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_17.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_18.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_18.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_19.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_19.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_2.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_20.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_20.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_21.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_21.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_22.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_22.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_23.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_23.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_24.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_24.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_25.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_25.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_26.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_26.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_27.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_27.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_28.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_28.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_29.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_29.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_3.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_3.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_30.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_30.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_31.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_31.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_32.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_32.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_33.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_33.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_34.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_34.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_35.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_35.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_36.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_36.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_37.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_37.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_38.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_38.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_39.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_39.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_4.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_4.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_40.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_40.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_41.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_41.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_42.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_42.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_43.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_43.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_44.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_44.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_45.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_45.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_46.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_46.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_47.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_47.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_48.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_48.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_49.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_49.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_5.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_5.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_50.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_50.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_51.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_51.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_52.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_52.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_53.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_53.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_54.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_54.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_55.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_55.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_56.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_56.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_57.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_57.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_58.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_58.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_59.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_59.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_6.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_6.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_60.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_60.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_61.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_61.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_62.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_62.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_63.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_63.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_64.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_64.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_65.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_65.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_66.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_66.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_7.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_7.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_8.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_8.xml -------------------------------------------------------------------------------- /vcnn.runs/.jobs/vrs_config_9.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/.jobs/vrs_config_9.xml -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.Vivado_Implementation.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.init_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/.init_design.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.init_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.opt_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/.opt_design.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.place_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/.place_design.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.place_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.route_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/.route_design.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.route_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/.vivado.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.write_bitstream.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/.write_bitstream.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/impl_1/.write_bitstream.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/impl_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/ISEWrap.js -------------------------------------------------------------------------------- /vcnn.runs/impl_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/ISEWrap.sh -------------------------------------------------------------------------------- /vcnn.runs/impl_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/gen_run.xml -------------------------------------------------------------------------------- /vcnn.runs/impl_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/htr.txt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/init_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/init_design.pb -------------------------------------------------------------------------------- /vcnn.runs/impl_1/opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/opt_design.pb -------------------------------------------------------------------------------- /vcnn.runs/impl_1/place_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/place_design.pb -------------------------------------------------------------------------------- /vcnn.runs/impl_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/project.wdf -------------------------------------------------------------------------------- /vcnn.runs/impl_1/route_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/route_design.pb -------------------------------------------------------------------------------- /vcnn.runs/impl_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/rundef.js -------------------------------------------------------------------------------- /vcnn.runs/impl_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/runme.bat -------------------------------------------------------------------------------- /vcnn.runs/impl_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/runme.log -------------------------------------------------------------------------------- /vcnn.runs/impl_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/runme.sh -------------------------------------------------------------------------------- /vcnn.runs/impl_1/usage_statistics_webtalk.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/usage_statistics_webtalk.html -------------------------------------------------------------------------------- /vcnn.runs/impl_1/usage_statistics_webtalk.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/usage_statistics_webtalk.xml -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper.bit -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper.hwdef: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper.hwdef -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper.ltx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper.ltx -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper.sysdef: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper.sysdef -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper.tcl -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper.vdi -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_10863.backup.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_10863.backup.vdi -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_12157.backup.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_12157.backup.vdi -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_2687.backup.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_2687.backup.vdi -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_3142.backup.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_3142.backup.vdi -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_4442.backup.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_4442.backup.vdi -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_clock_utilization_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_clock_utilization_routed.rpt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_control_sets_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_control_sets_placed.rpt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_drc_opted.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_drc_opted.rpt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_drc_routed.pb -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_drc_routed.rpt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_drc_routed.rpx -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_io_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_io_placed.rpt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_methodology_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_methodology_drc_routed.rpt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_methodology_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_methodology_drc_routed.rpx -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_opt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_opt.dcp -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_placed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_placed.dcp -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_power_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_power_routed.rpt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_power_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_power_routed.rpx -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_power_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_power_summary_routed.pb -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_route_status.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_route_status.pb -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_route_status.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_route_status.rpt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_routed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_routed.dcp -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_timing_summary_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_timing_summary_routed.rpt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_timing_summary_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_timing_summary_routed.rpx -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_utilization_placed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_utilization_placed.pb -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vcnnbd_wrapper_utilization_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vcnnbd_wrapper_utilization_placed.rpt -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vivado.jou -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vivado.pb -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vivado_10863.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vivado_10863.backup.jou -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vivado_12157.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vivado_12157.backup.jou -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vivado_2687.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vivado_2687.backup.jou -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vivado_3142.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vivado_3142.backup.jou -------------------------------------------------------------------------------- /vcnn.runs/impl_1/vivado_4442.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/vivado_4442.backup.jou -------------------------------------------------------------------------------- /vcnn.runs/impl_1/write_bitstream.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/impl_1/write_bitstream.pb -------------------------------------------------------------------------------- /vcnn.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/synth_1/.Xil/vcnnbd_wrapper_propImpl.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/.Xil/vcnnbd_wrapper_propImpl.xdc -------------------------------------------------------------------------------- /vcnn.runs/synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /vcnn.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /vcnn.runs/synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /vcnn.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /vcnn.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /vcnn.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /vcnn.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /vcnn.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/runme.log -------------------------------------------------------------------------------- /vcnn.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /vcnn.runs/synth_1/vcnnbd_wrapper.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/vcnnbd_wrapper.dcp -------------------------------------------------------------------------------- /vcnn.runs/synth_1/vcnnbd_wrapper.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/vcnnbd_wrapper.tcl -------------------------------------------------------------------------------- /vcnn.runs/synth_1/vcnnbd_wrapper.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/vcnnbd_wrapper.vds -------------------------------------------------------------------------------- /vcnn.runs/synth_1/vcnnbd_wrapper_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/vcnnbd_wrapper_utilization_synth.pb -------------------------------------------------------------------------------- /vcnn.runs/synth_1/vcnnbd_wrapper_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/vcnnbd_wrapper_utilization_synth.rpt -------------------------------------------------------------------------------- /vcnn.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /vcnn.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/fsm_encoding.os: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/fsm_encoding.os -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/gen_run.xml -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/htr.txt -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/rundef.js -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/runme.bat -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/runme.log -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/runme.sh -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/vcnnbd_axi_smc_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/vcnnbd_axi_smc_0.dcp -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/vcnnbd_axi_smc_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/vcnnbd_axi_smc_0.tcl -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/vcnnbd_axi_smc_0.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/vcnnbd_axi_smc_0.vds -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/vcnnbd_axi_smc_0_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/vcnnbd_axi_smc_0_utilization_synth.pb -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/vcnnbd_axi_smc_0_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/vcnnbd_axi_smc_0_utilization_synth.rpt -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/vivado.jou -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_axi_smc_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_axi_smc_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/gen_run.xml -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/htr.txt -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/rundef.js -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/runme.bat -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/runme.log -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/runme.sh -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vcnnbd_blk_mem_gen_0_1.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vcnnbd_blk_mem_gen_0_1.dcp -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vcnnbd_blk_mem_gen_0_1.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vcnnbd_blk_mem_gen_0_1.tcl -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vcnnbd_blk_mem_gen_0_1.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vcnnbd_blk_mem_gen_0_1.vds -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vcnnbd_blk_mem_gen_0_1_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vcnnbd_blk_mem_gen_0_1_utilization_synth.pb -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vcnnbd_blk_mem_gen_0_1_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vcnnbd_blk_mem_gen_0_1_utilization_synth.rpt -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vivado.jou -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_blk_mem_gen_0_1_synth_1/vivado.pb -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/gen_run.xml -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/htr.txt -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/rundef.js -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/runme.bat -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/runme.log -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/runme.sh -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vcnnbd_conv1l_top_0_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vcnnbd_conv1l_top_0_0.dcp -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vcnnbd_conv1l_top_0_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vcnnbd_conv1l_top_0_0.tcl -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vcnnbd_conv1l_top_0_0.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vcnnbd_conv1l_top_0_0.vds -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vcnnbd_conv1l_top_0_0_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vcnnbd_conv1l_top_0_0_utilization_synth.pb -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vcnnbd_conv1l_top_0_0_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vcnnbd_conv1l_top_0_0_utilization_synth.rpt -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vivado.jou -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/gen_run.xml -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/htr.txt -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/rundef.js -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/runme.bat -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/runme.log -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/runme.sh -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vcnnbd_conv1l_top_0_1.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vcnnbd_conv1l_top_0_1.dcp -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vcnnbd_conv1l_top_0_1.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vcnnbd_conv1l_top_0_1.tcl -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vcnnbd_conv1l_top_0_1.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vcnnbd_conv1l_top_0_1.vds -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vcnnbd_conv1l_top_0_1_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vcnnbd_conv1l_top_0_1_utilization_synth.pb -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vcnnbd_conv1l_top_0_1_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vcnnbd_conv1l_top_0_1_utilization_synth.rpt -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vivado.jou -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_conv1l_top_0_1_synth_1/vivado.pb -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/gen_run.xml -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/htr.txt -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/rundef.js -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/runme.bat -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/runme.log -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/runme.sh -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vcnnbd_output_bram_mem_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vcnnbd_output_bram_mem_0.dcp -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vcnnbd_output_bram_mem_0.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vcnnbd_output_bram_mem_0.mif -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vcnnbd_output_bram_mem_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vcnnbd_output_bram_mem_0.tcl -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vcnnbd_output_bram_mem_0.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vcnnbd_output_bram_mem_0.vds -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vivado.jou -------------------------------------------------------------------------------- /vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.runs/vcnnbd_output_bram_mem_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /vcnn.srcs/constrs_1/new/vcnnbd_wrapper.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/constrs_1/new/vcnnbd_wrapper.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/hdl/vcnnbd.hwdef: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/hdl/vcnnbd.hwdef -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/hdl/vcnnbd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/hdl/vcnnbd.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/hdl/vcnnbd_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/hdl/vcnnbd_wrapper.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/hw_handoff/vcnnbd.hwh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/hw_handoff/vcnnbd.hwh -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/hw_handoff/vcnnbd_bd.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/hw_handoff/vcnnbd_bd.tcl -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/conv2_weights.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/conv2_weights.coe -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_bram_ctrl_0_0/vcnnbd_axi_bram_ctrl_0_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_bram_ctrl_0_0/vcnnbd_axi_bram_ctrl_0_0.dcp -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_bram_ctrl_0_0/vcnnbd_axi_bram_ctrl_0_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_bram_ctrl_0_0/vcnnbd_axi_bram_ctrl_0_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_bram_ctrl_0_0/vcnnbd_axi_bram_ctrl_0_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_bram_ctrl_0_0/vcnnbd_axi_bram_ctrl_0_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_0/bd_cc5a.bd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_0/bd_cc5a.bd -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_0/bd_cc5a.bxml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_0/bd_cc5a.bxml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/bd_cc5a.bd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/bd_cc5a.bd -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/bd_cc5a.bxml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/bd_cc5a.bxml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/hdl/bd_cc5a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/hdl/bd_cc5a.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/hdl/bd_cc5a_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/hdl/bd_cc5a_wrapper.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/hdl/vcnnbd_axi_smc_0.hwdef: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/hdl/vcnnbd_axi_smc_0.hwdef -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/hw_handoff/vcnnbd_axi_smc_0.hwh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_1/hw_handoff/vcnnbd_axi_smc_0.hwh -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/bd_cc5a.bd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/bd_cc5a.bd -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/bd_cc5a.bxml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/bd_cc5a.bxml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/hdl/bd_cc5a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/hdl/bd_cc5a.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/hdl/bd_cc5a_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/hdl/bd_cc5a_wrapper.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/hdl/vcnnbd_axi_smc_0.hwdef: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/hdl/vcnnbd_axi_smc_0.hwdef -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/hw_handoff/vcnnbd_axi_smc_0.hwh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/hw_handoff/vcnnbd_axi_smc_0.hwh -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_0/bd_cc5a_one_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_0/bd_cc5a_one_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_0/bd_cc5a_one_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_0/bd_cc5a_one_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_0/sim/bd_cc5a_one_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_0/sim/bd_cc5a_one_0.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_0/synth/bd_cc5a_one_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_0/synth/bd_cc5a_one_0.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_1/bd_cc5a_psr_aclk_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_1/bd_cc5a_psr_aclk_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_1/bd_cc5a_psr_aclk_0.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_1/bd_cc5a_psr_aclk_0.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_1/bd_cc5a_psr_aclk_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_1/bd_cc5a_psr_aclk_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_10/bd_cc5a_s00a2s_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_10/bd_cc5a_s00a2s_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_10/bd_cc5a_s00a2s_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_10/bd_cc5a_s00a2s_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_11/bd_cc5a_sarn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_11/bd_cc5a_sarn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_11/bd_cc5a_sarn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_11/bd_cc5a_sarn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_11/bd_cc5a_sarn_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_11/bd_cc5a_sarn_0_ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_11/sim/bd_cc5a_sarn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_11/sim/bd_cc5a_sarn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/bd_cc5a_srn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/bd_cc5a_srn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/bd_cc5a_srn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/bd_cc5a_srn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/bd_cc5a_srn_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/bd_cc5a_srn_0_ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/sim/bd_cc5a_srn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/sim/bd_cc5a_srn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/synth/bd_cc5a_srn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_12/synth/bd_cc5a_srn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_13/bd_cc5a_sawn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_13/bd_cc5a_sawn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_13/bd_cc5a_sawn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_13/bd_cc5a_sawn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_13/bd_cc5a_sawn_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_13/bd_cc5a_sawn_0_ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_13/sim/bd_cc5a_sawn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_13/sim/bd_cc5a_sawn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/bd_cc5a_swn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/bd_cc5a_swn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/bd_cc5a_swn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/bd_cc5a_swn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/bd_cc5a_swn_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/bd_cc5a_swn_0_ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/sim/bd_cc5a_swn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/sim/bd_cc5a_swn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/synth/bd_cc5a_swn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_14/synth/bd_cc5a_swn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/bd_cc5a_sbn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/bd_cc5a_sbn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/bd_cc5a_sbn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/bd_cc5a_sbn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/bd_cc5a_sbn_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/bd_cc5a_sbn_0_ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/sim/bd_cc5a_sbn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/sim/bd_cc5a_sbn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/synth/bd_cc5a_sbn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_15/synth/bd_cc5a_sbn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_16/bd_cc5a_m00s2a_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_16/bd_cc5a_m00s2a_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_16/bd_cc5a_m00s2a_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_16/bd_cc5a_m00s2a_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_17/bd_cc5a_m00arn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_17/bd_cc5a_m00arn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_17/bd_cc5a_m00arn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_17/bd_cc5a_m00arn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_18/bd_cc5a_m00rn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_18/bd_cc5a_m00rn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_18/bd_cc5a_m00rn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_18/bd_cc5a_m00rn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_18/sim/bd_cc5a_m00rn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_18/sim/bd_cc5a_m00rn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_19/bd_cc5a_m00awn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_19/bd_cc5a_m00awn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_19/bd_cc5a_m00awn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_19/bd_cc5a_m00awn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/bd_cc5a_arsw_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/bd_cc5a_arsw_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/bd_cc5a_arsw_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/bd_cc5a_arsw_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/bd_cc5a_arsw_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/bd_cc5a_arsw_0_ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/sim/bd_cc5a_arsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/sim/bd_cc5a_arsw_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/synth/bd_cc5a_arsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_2/synth/bd_cc5a_arsw_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_20/bd_cc5a_m00wn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_20/bd_cc5a_m00wn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_20/bd_cc5a_m00wn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_20/bd_cc5a_m00wn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_20/sim/bd_cc5a_m00wn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_20/sim/bd_cc5a_m00wn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_21/bd_cc5a_m00bn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_21/bd_cc5a_m00bn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_21/bd_cc5a_m00bn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_21/bd_cc5a_m00bn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_21/sim/bd_cc5a_m00bn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_21/sim/bd_cc5a_m00bn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_22/bd_cc5a_m00e_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_22/bd_cc5a_m00e_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_22/bd_cc5a_m00e_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_22/bd_cc5a_m00e_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_22/sim/bd_cc5a_m00e_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_22/sim/bd_cc5a_m00e_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_23/bd_cc5a_m01s2a_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_23/bd_cc5a_m01s2a_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_23/bd_cc5a_m01s2a_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_23/bd_cc5a_m01s2a_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_24/bd_cc5a_m01arn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_24/bd_cc5a_m01arn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_24/bd_cc5a_m01arn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_24/bd_cc5a_m01arn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_25/bd_cc5a_m01rn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_25/bd_cc5a_m01rn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_25/bd_cc5a_m01rn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_25/bd_cc5a_m01rn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_25/sim/bd_cc5a_m01rn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_25/sim/bd_cc5a_m01rn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_26/bd_cc5a_m01awn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_26/bd_cc5a_m01awn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_26/bd_cc5a_m01awn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_26/bd_cc5a_m01awn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_27/bd_cc5a_m01wn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_27/bd_cc5a_m01wn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_27/bd_cc5a_m01wn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_27/bd_cc5a_m01wn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_27/sim/bd_cc5a_m01wn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_27/sim/bd_cc5a_m01wn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_28/bd_cc5a_m01bn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_28/bd_cc5a_m01bn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_28/bd_cc5a_m01bn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_28/bd_cc5a_m01bn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_28/sim/bd_cc5a_m01bn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_28/sim/bd_cc5a_m01bn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_29/bd_cc5a_m01e_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_29/bd_cc5a_m01e_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_29/bd_cc5a_m01e_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_29/bd_cc5a_m01e_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_29/sim/bd_cc5a_m01e_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_29/sim/bd_cc5a_m01e_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/bd_cc5a_rsw_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/bd_cc5a_rsw_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/bd_cc5a_rsw_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/bd_cc5a_rsw_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/bd_cc5a_rsw_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/bd_cc5a_rsw_0_ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/sim/bd_cc5a_rsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/sim/bd_cc5a_rsw_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/synth/bd_cc5a_rsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_3/synth/bd_cc5a_rsw_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_30/bd_cc5a_m02s2a_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_30/bd_cc5a_m02s2a_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_30/bd_cc5a_m02s2a_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_30/bd_cc5a_m02s2a_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_31/bd_cc5a_m02arn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_31/bd_cc5a_m02arn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_31/bd_cc5a_m02arn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_31/bd_cc5a_m02arn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_32/bd_cc5a_m02rn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_32/bd_cc5a_m02rn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_32/bd_cc5a_m02rn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_32/bd_cc5a_m02rn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_32/sim/bd_cc5a_m02rn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_32/sim/bd_cc5a_m02rn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_33/bd_cc5a_m02awn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_33/bd_cc5a_m02awn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_33/bd_cc5a_m02awn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_33/bd_cc5a_m02awn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_34/bd_cc5a_m02wn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_34/bd_cc5a_m02wn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_34/bd_cc5a_m02wn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_34/bd_cc5a_m02wn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_34/sim/bd_cc5a_m02wn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_34/sim/bd_cc5a_m02wn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_35/bd_cc5a_m02bn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_35/bd_cc5a_m02bn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_35/bd_cc5a_m02bn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_35/bd_cc5a_m02bn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_35/sim/bd_cc5a_m02bn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_35/sim/bd_cc5a_m02bn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_36/bd_cc5a_m02e_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_36/bd_cc5a_m02e_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_36/bd_cc5a_m02e_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_36/bd_cc5a_m02e_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_36/sim/bd_cc5a_m02e_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_36/sim/bd_cc5a_m02e_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_37/bd_cc5a_m03s2a_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_37/bd_cc5a_m03s2a_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_37/bd_cc5a_m03s2a_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_37/bd_cc5a_m03s2a_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_38/bd_cc5a_m03arn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_38/bd_cc5a_m03arn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_38/bd_cc5a_m03arn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_38/bd_cc5a_m03arn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_39/bd_cc5a_m03rn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_39/bd_cc5a_m03rn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_39/bd_cc5a_m03rn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_39/bd_cc5a_m03rn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_39/sim/bd_cc5a_m03rn_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_39/sim/bd_cc5a_m03rn_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/bd_cc5a_awsw_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/bd_cc5a_awsw_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/bd_cc5a_awsw_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/bd_cc5a_awsw_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/bd_cc5a_awsw_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/bd_cc5a_awsw_0_ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/sim/bd_cc5a_awsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/sim/bd_cc5a_awsw_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/synth/bd_cc5a_awsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_4/synth/bd_cc5a_awsw_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_40/bd_cc5a_m03awn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_40/bd_cc5a_m03awn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_40/bd_cc5a_m03awn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_40/bd_cc5a_m03awn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_41/bd_cc5a_m03wn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_41/bd_cc5a_m03wn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_41/bd_cc5a_m03wn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_41/bd_cc5a_m03wn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_42/bd_cc5a_m03bn_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_42/bd_cc5a_m03bn_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_42/bd_cc5a_m03bn_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_42/bd_cc5a_m03bn_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_43/bd_cc5a_m03e_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_43/bd_cc5a_m03e_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_43/bd_cc5a_m03e_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_43/bd_cc5a_m03e_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_5/bd_cc5a_wsw_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_5/bd_cc5a_wsw_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_5/bd_cc5a_wsw_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_5/bd_cc5a_wsw_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_5/sim/bd_cc5a_wsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_5/sim/bd_cc5a_wsw_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_6/bd_cc5a_bsw_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_6/bd_cc5a_bsw_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_6/bd_cc5a_bsw_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_6/bd_cc5a_bsw_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_6/sim/bd_cc5a_bsw_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_6/sim/bd_cc5a_bsw_0.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_7/bd_cc5a_s00mmu_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_7/bd_cc5a_s00mmu_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_7/bd_cc5a_s00mmu_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_7/bd_cc5a_s00mmu_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_8/bd_cc5a_s00tr_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_8/bd_cc5a_s00tr_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_8/bd_cc5a_s00tr_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_8/bd_cc5a_s00tr_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_9/bd_cc5a_s00sic_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_9/bd_cc5a_s00sic_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_9/bd_cc5a_s00sic_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/bd_2/ip/ip_9/bd_cc5a_s00sic_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/sc_post_elab.rld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/sc_post_elab.rld -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/sim/vcnnbd_axi_smc_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/sim/vcnnbd_axi_smc_0.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/synth/vcnnbd_axi_smc_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/synth/vcnnbd_axi_smc_0.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0.dcp -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_sim_netlist.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_sim_netlist.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_sim_netlist.vhdl -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_stub.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_axi_smc_0/vcnnbd_axi_smc_0_stub.vhdl -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/input_bram.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/input_bram.coe -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/vcnnbd_blk_mem_gen_0_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/vcnnbd_blk_mem_gen_0_0.dcp -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/vcnnbd_blk_mem_gen_0_0.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/vcnnbd_blk_mem_gen_0_0.mif -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/vcnnbd_blk_mem_gen_0_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/vcnnbd_blk_mem_gen_0_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/vcnnbd_blk_mem_gen_0_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_0/vcnnbd_blk_mem_gen_0_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/vcnnbd_blk_mem_gen_0_1.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/vcnnbd_blk_mem_gen_0_1.dcp -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/vcnnbd_blk_mem_gen_0_1.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/vcnnbd_blk_mem_gen_0_1.mif -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/vcnnbd_blk_mem_gen_0_1.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/vcnnbd_blk_mem_gen_0_1.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/vcnnbd_blk_mem_gen_0_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/vcnnbd_blk_mem_gen_0_1.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/weight_bram.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_0_1/weight_bram.coe -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_1_0/vcnnbd_blk_mem_gen_1_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_1_0/vcnnbd_blk_mem_gen_1_0.dcp -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_1_0/vcnnbd_blk_mem_gen_1_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_1_0/vcnnbd_blk_mem_gen_1_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_1_0/vcnnbd_blk_mem_gen_1_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_blk_mem_gen_1_0/vcnnbd_blk_mem_gen_1_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/sim/vcnnbd_conv1l_top_0_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/sim/vcnnbd_conv1l_top_0_0.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/vcnnbd_conv1l_top_0_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/vcnnbd_conv1l_top_0_0.dcp -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/vcnnbd_conv1l_top_0_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/vcnnbd_conv1l_top_0_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/vcnnbd_conv1l_top_0_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/vcnnbd_conv1l_top_0_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/vcnnbd_conv1l_top_0_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/vcnnbd_conv1l_top_0_0_stub.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_1/sim/vcnnbd_conv1l_top_0_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_1/sim/vcnnbd_conv1l_top_0_1.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_1/vcnnbd_conv1l_top_0_1.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_1/vcnnbd_conv1l_top_0_1.dcp -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_1/vcnnbd_conv1l_top_0_1.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_1/vcnnbd_conv1l_top_0_1.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_1/vcnnbd_conv1l_top_0_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_1/vcnnbd_conv1l_top_0_1.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_1/vcnnbd_conv1l_top_0_1_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_1/vcnnbd_conv1l_top_0_1_stub.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init.c -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init.h -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init.html -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init.tcl -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init_gpl.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init_gpl.c -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init_gpl.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_init_gpl.h -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_parameters.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_processing_system7_0_0/ps7_parameters.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/sim/vcnnbd_weight_bram_0.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/sim/vcnnbd_weight_bram_0.vhd -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/vcnnbd_weight_bram_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/vcnnbd_weight_bram_0.dcp -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/vcnnbd_weight_bram_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/vcnnbd_weight_bram_0.xci -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/vcnnbd_weight_bram_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/vcnnbd_weight_bram_0.xml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/vcnnbd_weight_bram_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/vcnnbd_weight_bram_0_ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/vcnnbd_weight_bram_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_weight_bram_0/vcnnbd_weight_bram_0_stub.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/0691/new/conv1l_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/0691/new/conv1l_top.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/224a/hdl/sc_sc2axi_v1_0_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/224a/hdl/sc_sc2axi_v1_0_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/2ad9/hdl/xil_common_vip_v1_0_0_macros.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/2ad9/hdl/xil_common_vip_v1_0_0_macros.svh -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/2ad9/hdl/xil_common_vip_v1_0_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/2ad9/hdl/xil_common_vip_v1_0_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/39ca/hdl/sc_util_v1_0_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/39ca/hdl/sc_util_v1_0_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/39ca/hdl/verilog/sc_util_v1_0_2_constants.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/39ca/hdl/verilog/sc_util_v1_0_2_constants.vh -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/39ca/hdl/verilog/sc_util_v1_0_2_structs.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/39ca/hdl/verilog/sc_util_v1_0_2_structs.svh -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/4158/hdl/blk_mem_gen_v8_3_vhsyn_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/4158/hdl/blk_mem_gen_v8_3_vhsyn_rfs.vhd -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/4158/simulation/blk_mem_gen_v8_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/4158/simulation/blk_mem_gen_v8_3.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/45df/hdl/xlconstant_v1_1_vl_rfs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/45df/hdl/xlconstant_v1_1_vl_rfs.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/52cb/hdl/lib_cdc_v1_0_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/52cb/hdl/lib_cdc_v1_0_rfs.vhd -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/5300/hdl/sc_mmu_v1_0_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/5300/hdl/sc_mmu_v1_0_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/5980/verilog/axi_lite_slave.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/5980/verilog/axi_lite_slave.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/5db7/hdl/proc_sys_reset_v5_0_vh_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/5db7/hdl/proc_sys_reset_v5_0_vh_rfs.vhd -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/6eb1/hdl/axi_vip_v1_0_2_axi4pc.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/6eb1/hdl/axi_vip_v1_0_2_axi4pc.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/6eb1/hdl/axi_vip_v1_0_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/6eb1/hdl/axi_vip_v1_0_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/7daf/hdl/sc_switchboard_v1_0_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/7daf/hdl/sc_switchboard_v1_0_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/7e3a/hdl/axi_infrastructure_v1_1_0.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/7e3a/hdl/axi_infrastructure_v1_1_0.vh -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/7e3a/hdl/axi_infrastructure_v1_1_vl_rfs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/7e3a/hdl/axi_infrastructure_v1_1_vl_rfs.v -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/9183/hdl/axi_bram_ctrl_v4_0_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/9183/hdl/axi_bram_ctrl_v4_0_rfs.vhd -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/986a/hdl/sc_exit_v1_0_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/986a/hdl/sc_exit_v1_0_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/a1b2/hdl/axi_protocol_checker_v1_1_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/a1b2/hdl/axi_protocol_checker_v1_1_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/cc66/hdl/sc_si_converter_v1_0_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/cc66/hdl/sc_si_converter_v1_0_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/e870/hdl/sc_axi2sc_v1_0_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/e870/hdl/sc_axi2sc_v1_0_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ipshared/f80f/hdl/sc_node_v1_0_vl_rfs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ipshared/f80f/hdl/sc_node_v1_0_vl_rfs.sv -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/ui/bd_b46dc8af.ui: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/ui/bd_b46dc8af.ui -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/vcnnbd.bd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/vcnnbd.bd -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/vcnnbd.bmm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/vcnnbd.bmm -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/vcnnbd.bxml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/vcnnbd.bxml -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/bd/vcnnbd/vcnnbd_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/bd/vcnnbd/vcnnbd_ooc.xdc -------------------------------------------------------------------------------- /vcnn.srcs/sources_1/new/axi4ls.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.srcs/sources_1/new/axi4ls.v -------------------------------------------------------------------------------- /vcnn.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/g0kul/vcnn/HEAD/vcnn.xpr --------------------------------------------------------------------------------