├── .gitattributes ├── .gitignore ├── Docs.kicad_sch ├── Documentation ├── GW4206AManual.pdf ├── GW4206BManual.pdf ├── ROM Layout.txt ├── TimeDisk.4206B-Placement.pdf └── TimeDisk.4206B-Schematic.pdf ├── LICENSE ├── Makefile ├── TimeDisk.kicad_pcb ├── TimeDisk.kicad_pro ├── TimeDisk.kicad_sch ├── cpld ├── TimeDisk.qpf ├── TimeDisk.qsf ├── TimeDisk.v └── output_files │ ├── TimeDisk.asm.rpt │ ├── TimeDisk.done │ ├── TimeDisk.fit.rpt │ ├── TimeDisk.fit.summary │ ├── TimeDisk.flow.rpt │ ├── TimeDisk.jdi │ ├── TimeDisk.map.rpt │ ├── TimeDisk.map.smsg │ ├── TimeDisk.map.summary │ ├── TimeDisk.pin │ ├── TimeDisk.pof │ ├── TimeDisk.sta.rpt │ ├── TimeDisk.sta.summary │ ├── TimeDisk.svf │ └── TimeDisk_Fixed.svf ├── fp-lib-table ├── gerber ├── TimeDisk-B_Cu.gbl ├── TimeDisk-B_Mask.gbs ├── TimeDisk-B_Silkscreen.gbo ├── TimeDisk-Edge_Cuts.gm1 ├── TimeDisk-F_Cu.gtl ├── TimeDisk-F_Mask.gts ├── TimeDisk-F_Paste.gtp ├── TimeDisk-F_Silkscreen.gto ├── TimeDisk-In1_Cu.g2 ├── TimeDisk-In2_Cu.g3 ├── TimeDisk-job.gbrjob ├── TimeDisk-top-pos.csv ├── TimeDisk.4206B-gerber.zip └── TimeDisk.drl └── sym-lib-table /.gitattributes: -------------------------------------------------------------------------------- 1 | # Auto detect text files and perform LF normalization 2 | * text=auto 3 | -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- 1 | # For PCBs designed using KiCad: http://www.kicad-pcb.org/ 2 | # Format documentation: http://kicad-pcb.org/help/file-formats/ 3 | 4 | # Temporary files 5 | *.000 6 | *.bak 7 | *.bck 8 | *.kicad_pcb-bak 9 | *.sch-bak 10 | *~ 11 | _autosave-* 12 | *.tmp 13 | *-rescue.lib 14 | *-save.pro 15 | *-save.kicad_pcb 16 | fp-info-cache 17 | 18 | # Netlist files (exported from Eeschema) 19 | *.net 20 | 21 | # Autorouter files (exported from Pcbnew) 22 | *.dsn 23 | *.ses 24 | 25 | *.DS_Store 26 | *.kicad_prl 27 | TimeDisk-backups/* 28 | *.lck 29 | 30 | *.qws 31 | /cpld/incremental_db/* 32 | /cpld/db/* 33 | cpld/output_files/TimeDisk.cdf 34 | -------------------------------------------------------------------------------- /Docs.kicad_sch: -------------------------------------------------------------------------------- 1 | (kicad_sch (version 20230121) (generator eeschema) 2 | 3 | (uuid 53719fc4-141e-4c58-98cd-ab3bf9a4e1c0) 4 | 5 | (paper "USLetter") 6 | 7 | (title_block 8 | (title "TimeDisk (GW4206B)") 9 | (date "2024-04-23") 10 | (rev "1.0") 11 | (company "Garrett's Workshop") 12 | ) 13 | 14 | (lib_symbols 15 | ) 16 | 17 | 18 | (wire (pts (xy 215.9 25.4) (xy 223.52 25.4)) 19 | (stroke (width 0) (type default)) 20 | (uuid 003974b6-cb8f-491b-a226-fc7891eb9a62) 21 | ) 22 | (wire (pts (xy 132.08 27.94) (xy 139.7 27.94)) 23 | (stroke (width 0) (type default)) 24 | (uuid 004b7456-c25a-480f-88f6-723c1bcd9939) 25 | ) 26 | (wire (pts (xy 40.64 25.4) (xy 40.64 27.94)) 27 | (stroke (width 0) (type default)) 28 | (uuid 01109662-12b4-48a3-b68d-624008909c2a) 29 | ) 30 | (wire (pts (xy 64.77 39.37) (xy 87.63 39.37)) 31 | (stroke (width 0) (type default)) 32 | (uuid 04d60995-4f82-4f17-8f82-2f27a0a779cc) 33 | ) 34 | (wire (pts (xy 64.77 36.83) (xy 64.77 39.37)) 35 | (stroke (width 0) (type default)) 36 | (uuid 05e45f00-3c6b-4c0c-9ffb-3fe26fcda007) 37 | ) 38 | (wire (pts (xy 209.55 36.83) (xy 240.03 36.83)) 39 | (stroke (width 0) (type default)) 40 | (uuid 08da8f18-02c3-4a28-a400-670f01755980) 41 | ) 42 | (bus (pts (xy 208.28 21.59) (xy 208.28 43.18)) 43 | (stroke (width 0) (type default)) 44 | (uuid 0938c137-668b-4d2f-b92b-cadb1df72bdb) 45 | ) 46 | 47 | (wire (pts (xy 40.64 27.94) (xy 48.26 27.94)) 48 | (stroke (width 0) (type default)) 49 | (uuid 0e166909-afb5-4d70-a00b-dd78cd09b084) 50 | ) 51 | (wire (pts (xy 124.46 25.4) (xy 132.08 25.4)) 52 | (stroke (width 0) (type default)) 53 | (uuid 0fc912fd-5036-4a55-b598-a9af40810824) 54 | ) 55 | (wire (pts (xy 223.52 27.94) (xy 231.14 27.94)) 56 | (stroke (width 0) (type default)) 57 | (uuid 122b5574-57fe-4d2d-80bf-3cabd28e7128) 58 | ) 59 | (bus (pts (xy 63.5 24.13) (xy 63.5 40.64)) 60 | (stroke (width 0) (type default)) 61 | (uuid 16d5bf81-590a-4149-97e0-64f3b3ad6f52) 62 | ) 63 | 64 | (wire (pts (xy 262.89 31.75) (xy 264.16 31.75)) 65 | (stroke (width 0) (type default)) 66 | (uuid 1765d6b9-ca0e-49c2-8c3c-8ab35eb3909b) 67 | ) 68 | (bus (pts (xy 93.98 24.13) (xy 93.98 40.64)) 69 | (stroke (width 0) (type default)) 70 | (uuid 18cf1537-83e6-4374-a277-6e3e21479ab0) 71 | ) 72 | 73 | (wire (pts (xy 33.02 27.94) (xy 33.02 25.4)) 74 | (stroke (width 0) (type default)) 75 | (uuid 1a813eeb-ee58-4579-81e1-3f9a7227213c) 76 | ) 77 | (wire (pts (xy 55.88 27.94) (xy 63.5 27.94)) 78 | (stroke (width 0) (type default)) 79 | (uuid 1b5a32e4-0b8e-4f38-b679-71dc277c2087) 80 | ) 81 | (wire (pts (xy 209.55 31.75) (xy 209.55 29.21)) 82 | (stroke (width 0) (type default)) 83 | (uuid 1b98de85-f9de-4825-baf2-c96991615275) 84 | ) 85 | (wire (pts (xy 87.63 33.02) (xy 87.63 35.56)) 86 | (stroke (width 0) (type default)) 87 | (uuid 2151a218-87ec-4d43-b5fa-736242c52602) 88 | ) 89 | (wire (pts (xy 200.66 27.94) (xy 200.66 25.4)) 90 | (stroke (width 0) (type default)) 91 | (uuid 2522909e-6f5c-4f36-9c3a-869dca14e50f) 92 | ) 93 | (wire (pts (xy 116.84 27.94) (xy 124.46 27.94)) 94 | (stroke (width 0) (type default)) 95 | (uuid 2a6ee718-8cdf-4fa6-be7c-8fe885d98fd7) 96 | ) 97 | (bus (pts (xy 215.9 24.13) (xy 215.9 40.64)) 98 | (stroke (width 0) (type default)) 99 | (uuid 2c488362-c230-4f6d-82f9-a229b1171a23) 100 | ) 101 | 102 | (wire (pts (xy 156.21 33.02) (xy 209.55 33.02)) 103 | (stroke (width 0) (type default)) 104 | (uuid 2d0d333a-99a0-4575-9433-710c8cc7ac0b) 105 | ) 106 | (wire (pts (xy 22.86 39.37) (xy 34.29 39.37)) 107 | (stroke (width 0) (type default)) 108 | (uuid 2d16cb66-2809-411d-912c-d3db0f48bd04) 109 | ) 110 | (bus (pts (xy 154.94 21.59) (xy 154.94 43.18)) 111 | (stroke (width 0) (type default)) 112 | (uuid 2e36ce87-4661-4b8f-956a-16dc559e1b50) 113 | ) 114 | 115 | (wire (pts (xy 64.77 36.83) (xy 34.29 36.83)) 116 | (stroke (width 0) (type default)) 117 | (uuid 2fb9964c-4cd4-4e81-b5e8-f78759d3adb5) 118 | ) 119 | (wire (pts (xy 200.66 25.4) (xy 208.28 25.4)) 120 | (stroke (width 0) (type default)) 121 | (uuid 3a45fb3b-7899-44f2-a78a-f676359df67b) 122 | ) 123 | (wire (pts (xy 118.11 39.37) (xy 156.21 39.37)) 124 | (stroke (width 0) (type default)) 125 | (uuid 3b6dda98-f455-4961-854e-3c4cceecffcc) 126 | ) 127 | (wire (pts (xy 101.6 27.94) (xy 109.22 27.94)) 128 | (stroke (width 0) (type default)) 129 | (uuid 3c66e6e2-f12d-4b23-910e-e478d272dfd5) 130 | ) 131 | (wire (pts (xy 78.74 27.94) (xy 78.74 25.4)) 132 | (stroke (width 0) (type default)) 133 | (uuid 414f80f7-b2d5-43c3-a018-819efe44fe30) 134 | ) 135 | (wire (pts (xy 147.32 25.4) (xy 147.32 27.94)) 136 | (stroke (width 0) (type default)) 137 | (uuid 42f10020-b50a-4739-a546-6b63e441c980) 138 | ) 139 | (wire (pts (xy 262.89 39.37) (xy 262.89 36.83)) 140 | (stroke (width 0) (type default)) 141 | (uuid 444b2eaf-241d-42e5-8717-27a83d099c5b) 142 | ) 143 | (wire (pts (xy 261.62 27.94) (xy 261.62 25.4)) 144 | (stroke (width 0) (type default)) 145 | (uuid 4688ff87-8262-46f4-ad96-b5f4e529cfa9) 146 | ) 147 | (wire (pts (xy 240.03 36.83) (xy 240.03 39.37)) 148 | (stroke (width 0) (type default)) 149 | (uuid 469f89fd-f629-46b7-b106-a0088168c9ec) 150 | ) 151 | (wire (pts (xy 71.12 27.94) (xy 78.74 27.94)) 152 | (stroke (width 0) (type default)) 153 | (uuid 494d4ce3-60c4-4021-8bd1-ab41a12b14ed) 154 | ) 155 | (wire (pts (xy 156.21 29.21) (xy 156.21 31.75)) 156 | (stroke (width 0) (type default)) 157 | (uuid 4d3a1f72-d521-46ae-8fe1-3f8221038335) 158 | ) 159 | (wire (pts (xy 231.14 27.94) (xy 231.14 25.4)) 160 | (stroke (width 0) (type default)) 161 | (uuid 4f4bd227-fa4c-47f4-ad05-ee16ad4c58c2) 162 | ) 163 | (wire (pts (xy 124.46 27.94) (xy 124.46 25.4)) 164 | (stroke (width 0) (type default)) 165 | (uuid 55cff608-ab38-48d9-ac09-2d0a877ceca1) 166 | ) 167 | (wire (pts (xy 262.89 29.21) (xy 262.89 31.75)) 168 | (stroke (width 0) (type default)) 169 | (uuid 5698a460-6e24-4857-84d8-4a43acd2325d) 170 | ) 171 | (wire (pts (xy 48.26 25.4) (xy 55.88 25.4)) 172 | (stroke (width 0) (type default)) 173 | (uuid 5a889284-4c9f-49be-8f02-e43e18550914) 174 | ) 175 | (wire (pts (xy 246.38 27.94) (xy 246.38 25.4)) 176 | (stroke (width 0) (type default)) 177 | (uuid 5b70b09b-6762-4725-9d48-805300c0bdc8) 178 | ) 179 | (wire (pts (xy 34.29 35.56) (xy 22.86 35.56)) 180 | (stroke (width 0) (type default)) 181 | (uuid 5fe7a4eb-9f04-4df6-a1fa-36c071e280d7) 182 | ) 183 | (wire (pts (xy 25.4 25.4) (xy 22.86 25.4)) 184 | (stroke (width 0) (type default)) 185 | (uuid 621c8eb9-ae87-439a-b350-badb5d559a5a) 186 | ) 187 | (wire (pts (xy 156.21 35.56) (xy 156.21 33.02)) 188 | (stroke (width 0) (type default)) 189 | (uuid 6316acb7-63a1-40e7-8695-2822d4a240b5) 190 | ) 191 | (wire (pts (xy 193.04 25.4) (xy 193.04 27.94)) 192 | (stroke (width 0) (type default)) 193 | (uuid 653e74f0-0a40-4ab5-8f5c-787bbaf1d723) 194 | ) 195 | (wire (pts (xy 87.63 29.21) (xy 156.21 29.21)) 196 | (stroke (width 0) (type default)) 197 | (uuid 68039801-1b0f-480a-861d-d55f24af0c17) 198 | ) 199 | (wire (pts (xy 34.29 33.02) (xy 87.63 33.02)) 200 | (stroke (width 0) (type default)) 201 | (uuid 6aa022fb-09ce-49d9-86b1-c73b3ee817e2) 202 | ) 203 | (wire (pts (xy 109.22 25.4) (xy 116.84 25.4)) 204 | (stroke (width 0) (type default)) 205 | (uuid 6b69fc79-c78f-4df1-9a05-c51d4173705f) 206 | ) 207 | (wire (pts (xy 254 25.4) (xy 254 27.94)) 208 | (stroke (width 0) (type default)) 209 | (uuid 6ce41a48-c5e2-4d5f-8548-1c7b5c309a8a) 210 | ) 211 | (wire (pts (xy 154.94 27.94) (xy 154.94 25.4)) 212 | (stroke (width 0) (type default)) 213 | (uuid 6e9883d7-9642-4425-a248-b92a09f0624c) 214 | ) 215 | (wire (pts (xy 87.63 36.83) (xy 87.63 39.37)) 216 | (stroke (width 0) (type default)) 217 | (uuid 6f44a349-1ba9-4965-b217-aa1589a07228) 218 | ) 219 | (wire (pts (xy 186.69 39.37) (xy 209.55 39.37)) 220 | (stroke (width 0) (type default)) 221 | (uuid 7255cbd1-8d38-4545-be9a-7fc5488ef942) 222 | ) 223 | (wire (pts (xy 25.4 27.94) (xy 33.02 27.94)) 224 | (stroke (width 0) (type default)) 225 | (uuid 72cc7949-68f8-4ef8-adcb-a65c1d042672) 226 | ) 227 | (bus (pts (xy 170.18 24.13) (xy 170.18 40.64)) 228 | (stroke (width 0) (type default)) 229 | (uuid 74096bdc-b668-408c-af3a-b048c20bd605) 230 | ) 231 | (bus (pts (xy 86.36 21.59) (xy 86.36 43.18)) 232 | (stroke (width 0) (type default)) 233 | (uuid 7806469b-c133-4e19-b2d5-f2b690b4b2f3) 234 | ) 235 | 236 | (wire (pts (xy 215.9 27.94) (xy 215.9 25.4)) 237 | (stroke (width 0) (type default)) 238 | (uuid 7c0866b5-b180-4be6-9e62-43f5b191d6d4) 239 | ) 240 | (wire (pts (xy 156.21 36.83) (xy 186.69 36.83)) 241 | (stroke (width 0) (type default)) 242 | (uuid 7c6e532b-1afd-48d4-9389-2942dcbc7c3c) 243 | ) 244 | (wire (pts (xy 34.29 35.56) (xy 34.29 33.02)) 245 | (stroke (width 0) (type default)) 246 | (uuid 7e498af5-a41b-4f8f-8a13-10c00a9160aa) 247 | ) 248 | (wire (pts (xy 170.18 25.4) (xy 177.8 25.4)) 249 | (stroke (width 0) (type default)) 250 | (uuid 81b95d0d-8967-4ed1-8d40-39925d015ae8) 251 | ) 252 | (wire (pts (xy 209.55 35.56) (xy 262.89 35.56)) 253 | (stroke (width 0) (type default)) 254 | (uuid 8220ba36-5fda-4461-95e2-49a5bc0c76af) 255 | ) 256 | (wire (pts (xy 154.94 25.4) (xy 162.56 25.4)) 257 | (stroke (width 0) (type default)) 258 | (uuid 832b5a8c-7fe2-47ff-beee-cebf840750bb) 259 | ) 260 | (wire (pts (xy 34.29 36.83) (xy 34.29 39.37)) 261 | (stroke (width 0) (type default)) 262 | (uuid 8385d9f6-6997-423b-b38d-d0ab00c45f3f) 263 | ) 264 | (wire (pts (xy 177.8 25.4) (xy 177.8 27.94)) 265 | (stroke (width 0) (type default)) 266 | (uuid 83a363ef-2850-4113-853b-2966af02d72d) 267 | ) 268 | (wire (pts (xy 246.38 25.4) (xy 254 25.4)) 269 | (stroke (width 0) (type default)) 270 | (uuid 843b53af-dd34-4db8-aa6b-5035b25affc7) 271 | ) 272 | (wire (pts (xy 185.42 25.4) (xy 193.04 25.4)) 273 | (stroke (width 0) (type default)) 274 | (uuid 848c6095-3966-404d-9f2a-51150fd8dc54) 275 | ) 276 | (wire (pts (xy 63.5 27.94) (xy 63.5 25.4)) 277 | (stroke (width 0) (type default)) 278 | (uuid 84febc35-87fd-4cad-8e04-2b66390cfc12) 279 | ) 280 | (wire (pts (xy 238.76 25.4) (xy 238.76 27.94)) 281 | (stroke (width 0) (type default)) 282 | (uuid 8765371a-21c2-4fe3-a3af-88f5eb1f02a0) 283 | ) 284 | (bus (pts (xy 200.66 24.13) (xy 200.66 40.64)) 285 | (stroke (width 0) (type default)) 286 | (uuid 89df70f4-3579-42b9-861e-6beb04a3b25e) 287 | ) 288 | 289 | (wire (pts (xy 262.89 33.02) (xy 264.16 33.02)) 290 | (stroke (width 0) (type default)) 291 | (uuid 8ade7975-64a0-440a-8545-11958836bf48) 292 | ) 293 | (bus (pts (xy 246.38 24.13) (xy 246.38 40.64)) 294 | (stroke (width 0) (type default)) 295 | (uuid 8cb5a828-8cef-4784-b78d-175b49646952) 296 | ) 297 | 298 | (wire (pts (xy 162.56 27.94) (xy 170.18 27.94)) 299 | (stroke (width 0) (type default)) 300 | (uuid 8ef1307e-4e79-474d-a93c-be38f714571c) 301 | ) 302 | (bus (pts (xy 48.26 24.13) (xy 48.26 40.64)) 303 | (stroke (width 0) (type default)) 304 | (uuid 90fa0465-7fe5-474b-8e7c-9f955c02a0f6) 305 | ) 306 | 307 | (wire (pts (xy 254 27.94) (xy 261.62 27.94)) 308 | (stroke (width 0) (type default)) 309 | (uuid 92bd1111-b941-4c03-b7ec-a08a9359bc50) 310 | ) 311 | (wire (pts (xy 209.55 36.83) (xy 209.55 39.37)) 312 | (stroke (width 0) (type default)) 313 | (uuid 971d1932-4a99-4265-9c76-26e554bde4fe) 314 | ) 315 | (bus (pts (xy 261.62 21.59) (xy 261.62 43.18)) 316 | (stroke (width 0) (type default)) 317 | (uuid 9bb406d9-c650-4e67-9a26-3195d4de542e) 318 | ) 319 | 320 | (wire (pts (xy 109.22 27.94) (xy 109.22 25.4)) 321 | (stroke (width 0) (type default)) 322 | (uuid 9c8eae28-a7c3-4e6a-bd81-98cf70031070) 323 | ) 324 | (wire (pts (xy 34.29 29.21) (xy 34.29 31.75)) 325 | (stroke (width 0) (type default)) 326 | (uuid a10b569c-d672-485d-9c05-2cb4795deeca) 327 | ) 328 | (wire (pts (xy 78.74 25.4) (xy 86.36 25.4)) 329 | (stroke (width 0) (type default)) 330 | (uuid a419542a-0c78-421e-9ac7-81d3afba6186) 331 | ) 332 | (bus (pts (xy 231.14 24.13) (xy 231.14 40.64)) 333 | (stroke (width 0) (type default)) 334 | (uuid a5e6f7cb-0a81-4357-a11f-231d23300342) 335 | ) 336 | 337 | (wire (pts (xy 193.04 27.94) (xy 200.66 27.94)) 338 | (stroke (width 0) (type default)) 339 | (uuid a647641f-bf16-4177-91ee-b01f347ff91c) 340 | ) 341 | (wire (pts (xy 93.98 27.94) (xy 93.98 25.4)) 342 | (stroke (width 0) (type default)) 343 | (uuid a67dbe3b-ec7d-4ea5-b0e5-715c5263d8da) 344 | ) 345 | (wire (pts (xy 22.86 29.21) (xy 34.29 29.21)) 346 | (stroke (width 0) (type default)) 347 | (uuid a6891c49-3648-41ce-811e-fccb4c4653af) 348 | ) 349 | (bus (pts (xy 78.74 24.13) (xy 78.74 40.64)) 350 | (stroke (width 0) (type default)) 351 | (uuid a6c7f556-10bb-4a6d-b61b-a732ec6fa5cc) 352 | ) 353 | 354 | (wire (pts (xy 87.63 35.56) (xy 156.21 35.56)) 355 | (stroke (width 0) (type default)) 356 | (uuid af6ac8e6-193c-4bd2-ac0b-7f515b538a8b) 357 | ) 358 | (wire (pts (xy 25.4 27.94) (xy 25.4 25.4)) 359 | (stroke (width 0) (type default)) 360 | (uuid b2001159-b6cb-4000-85f5-34f6c410920f) 361 | ) 362 | (wire (pts (xy 87.63 31.75) (xy 87.63 29.21)) 363 | (stroke (width 0) (type default)) 364 | (uuid b21625e3-a75b-41d7-9f13-4c0e12ba16cb) 365 | ) 366 | (wire (pts (xy 170.18 27.94) (xy 170.18 25.4)) 367 | (stroke (width 0) (type default)) 368 | (uuid b24c67bf-acb7-486e-9d7b-fb513b8c7fc6) 369 | ) 370 | (wire (pts (xy 118.11 36.83) (xy 118.11 39.37)) 371 | (stroke (width 0) (type default)) 372 | (uuid b45059f3-613f-4b7a-a70a-ed75a9e941e6) 373 | ) 374 | (wire (pts (xy 139.7 27.94) (xy 139.7 25.4)) 375 | (stroke (width 0) (type default)) 376 | (uuid b55dabdc-b790-4740-9349-75159cff975a) 377 | ) 378 | (wire (pts (xy 147.32 27.94) (xy 154.94 27.94)) 379 | (stroke (width 0) (type default)) 380 | (uuid b66731e7-61d5-4447-bf6a-e91a62b82298) 381 | ) 382 | (wire (pts (xy 33.02 25.4) (xy 40.64 25.4)) 383 | (stroke (width 0) (type default)) 384 | (uuid b754bfb3-a198-47be-8e7b-61bec885a5db) 385 | ) 386 | (wire (pts (xy 162.56 25.4) (xy 162.56 27.94)) 387 | (stroke (width 0) (type default)) 388 | (uuid b8b15b51-8345-4a1d-8ecf-04fc15b9e450) 389 | ) 390 | (wire (pts (xy 86.36 27.94) (xy 93.98 27.94)) 391 | (stroke (width 0) (type default)) 392 | (uuid bc1d5740-b0c7-4566-95b0-470ac47a1fb3) 393 | ) 394 | (wire (pts (xy 86.36 25.4) (xy 86.36 27.94)) 395 | (stroke (width 0) (type default)) 396 | (uuid c480dba7-51ff-4a4f-9251-e48b2784c64a) 397 | ) 398 | (bus (pts (xy 109.22 24.13) (xy 109.22 40.64)) 399 | (stroke (width 0) (type default)) 400 | (uuid c5565d96-c729-4597-a74f-7f75befcc39d) 401 | ) 402 | 403 | (wire (pts (xy 156.21 39.37) (xy 156.21 36.83)) 404 | (stroke (width 0) (type default)) 405 | (uuid c56bbebe-0c9a-418d-911e-b8ba7c53125d) 406 | ) 407 | (wire (pts (xy 208.28 25.4) (xy 208.28 27.94)) 408 | (stroke (width 0) (type default)) 409 | (uuid c81031ca-cd56-4ea3-b0db-833cbbdd7b2e) 410 | ) 411 | (wire (pts (xy 208.28 27.94) (xy 215.9 27.94)) 412 | (stroke (width 0) (type default)) 413 | (uuid d1817a81-d444-4cd9-95f6-174ec9e2a60e) 414 | ) 415 | (wire (pts (xy 262.89 36.83) (xy 264.16 36.83)) 416 | (stroke (width 0) (type default)) 417 | (uuid d396ce56-1974-47b7-a41b-ae2b20ef835c) 418 | ) 419 | (bus (pts (xy 33.02 21.59) (xy 33.02 43.18)) 420 | (stroke (width 0) (type default)) 421 | (uuid d53baa32-ba88-4646-9db3-0e9b0f0da4f0) 422 | ) 423 | 424 | (wire (pts (xy 101.6 25.4) (xy 101.6 27.94)) 425 | (stroke (width 0) (type default)) 426 | (uuid d8370835-89ad-4b62-9f40-d0c10470788a) 427 | ) 428 | (wire (pts (xy 186.69 36.83) (xy 186.69 39.37)) 429 | (stroke (width 0) (type default)) 430 | (uuid d8dc9b6c-67d0-4a0d-a791-6f7d43ef3652) 431 | ) 432 | (wire (pts (xy 238.76 27.94) (xy 246.38 27.94)) 433 | (stroke (width 0) (type default)) 434 | (uuid da337fe1-c322-4637-ad26-2622b82ac8ee) 435 | ) 436 | (wire (pts (xy 34.29 31.75) (xy 87.63 31.75)) 437 | (stroke (width 0) (type default)) 438 | (uuid db902262-2864-4997-aeff-8abaa132424a) 439 | ) 440 | (bus (pts (xy 185.42 24.13) (xy 185.42 40.64)) 441 | (stroke (width 0) (type default)) 442 | (uuid dc628a9d-67e8-4a03-b99f-8cc7a42af6ef) 443 | ) 444 | 445 | (wire (pts (xy 48.26 27.94) (xy 48.26 25.4)) 446 | (stroke (width 0) (type default)) 447 | (uuid dc7523a5-4408-4a51-bc92-6a47a538c094) 448 | ) 449 | (wire (pts (xy 209.55 29.21) (xy 262.89 29.21)) 450 | (stroke (width 0) (type default)) 451 | (uuid dde4c43d-f33e-48ba-86f3-779fdfce00c2) 452 | ) 453 | (wire (pts (xy 156.21 31.75) (xy 209.55 31.75)) 454 | (stroke (width 0) (type default)) 455 | (uuid df9a1242-2d73-4343-b170-237bc9a8080f) 456 | ) 457 | (bus (pts (xy 139.7 24.13) (xy 139.7 40.64)) 458 | (stroke (width 0) (type default)) 459 | (uuid dff67d5c-d976-4516-ae67-dbbdb70f8ddd) 460 | ) 461 | 462 | (wire (pts (xy 177.8 27.94) (xy 185.42 27.94)) 463 | (stroke (width 0) (type default)) 464 | (uuid e07c4b69-e0b4-4217-9b28-38d44f166b31) 465 | ) 466 | (wire (pts (xy 132.08 25.4) (xy 132.08 27.94)) 467 | (stroke (width 0) (type default)) 468 | (uuid e0b36e60-bb2b-489c-a764-1b81e551ce62) 469 | ) 470 | (wire (pts (xy 63.5 25.4) (xy 71.12 25.4)) 471 | (stroke (width 0) (type default)) 472 | (uuid e3c3d042-f4c5-4fb1-a6b8-52aa1c14cc0e) 473 | ) 474 | (wire (pts (xy 223.52 25.4) (xy 223.52 27.94)) 475 | (stroke (width 0) (type default)) 476 | (uuid e42fd0d4-9927-4308-81d9-4cca814c8ea9) 477 | ) 478 | (wire (pts (xy 139.7 25.4) (xy 147.32 25.4)) 479 | (stroke (width 0) (type default)) 480 | (uuid eafb53d1-7486-4935-b154-2efbffbed6ca) 481 | ) 482 | (wire (pts (xy 93.98 25.4) (xy 101.6 25.4)) 483 | (stroke (width 0) (type default)) 484 | (uuid eb1b2aa2-a3cc-4a96-87ec-70fcae365f0f) 485 | ) 486 | (wire (pts (xy 55.88 25.4) (xy 55.88 27.94)) 487 | (stroke (width 0) (type default)) 488 | (uuid eb7e294c-b398-413b-8b78-85a66ed5f3ea) 489 | ) 490 | (wire (pts (xy 262.89 39.37) (xy 240.03 39.37)) 491 | (stroke (width 0) (type default)) 492 | (uuid ec2e3d8a-128c-4be8-b432-9738bca934ae) 493 | ) 494 | (wire (pts (xy 231.14 25.4) (xy 238.76 25.4)) 495 | (stroke (width 0) (type default)) 496 | (uuid ed952427-2217-4500-9bbc-0c2746b198ad) 497 | ) 498 | (wire (pts (xy 116.84 25.4) (xy 116.84 27.94)) 499 | (stroke (width 0) (type default)) 500 | (uuid f2392fe0-54af-4e02-8793-9ba2471944b5) 501 | ) 502 | (wire (pts (xy 261.62 25.4) (xy 264.16 25.4)) 503 | (stroke (width 0) (type default)) 504 | (uuid f47374c3-cb2a-4769-880f-830c9b19222e) 505 | ) 506 | (wire (pts (xy 87.63 36.83) (xy 118.11 36.83)) 507 | (stroke (width 0) (type default)) 508 | (uuid f74eb612-4697-4cb4-afe4-9f94828b954d) 509 | ) 510 | (wire (pts (xy 71.12 25.4) (xy 71.12 27.94)) 511 | (stroke (width 0) (type default)) 512 | (uuid fb191df4-267d-4797-80dd-be346b8eeb99) 513 | ) 514 | (wire (pts (xy 262.89 35.56) (xy 262.89 33.02)) 515 | (stroke (width 0) (type default)) 516 | (uuid fbb5e77c-4b41-4796-ad13-1b9e2bbc3c81) 517 | ) 518 | (wire (pts (xy 185.42 27.94) (xy 185.42 25.4)) 519 | (stroke (width 0) (type default)) 520 | (uuid fd4dd248-3e78-4985-a4fc-58bc05b74cbf) 521 | ) 522 | (wire (pts (xy 209.55 33.02) (xy 209.55 35.56)) 523 | (stroke (width 0) (type default)) 524 | (uuid fdc57161-f7f8-4584-b0ec-8c1aa24339c6) 525 | ) 526 | (bus (pts (xy 124.46 24.13) (xy 124.46 40.64)) 527 | (stroke (width 0) (type default)) 528 | (uuid fe4869dc-e96e-4bb4-a38d-2ca990635f2d) 529 | ) 530 | 531 | (text "S7" (at 38.1 27.94 0) 532 | (effects (font (size 1.016 1.016)) (justify left bottom)) 533 | (uuid 2cd3975a-2259-4fa9-8133-e1586b9b9618) 534 | ) 535 | (text "PHI0" (at 20.32 31.75 0) 536 | (effects (font (size 1.27 1.27)) (justify right bottom)) 537 | (uuid 2d4d8c24-5b38-445b-8733-2a81ba21d33e) 538 | ) 539 | (text "S7" (at 160.02 27.94 0) 540 | (effects (font (size 1.016 1.016)) (justify left bottom)) 541 | (uuid 2d617fad-47fe-4db9-836a-4bceb9c31c3b) 542 | ) 543 | (text "S2" (at 190.5 27.94 0) 544 | (effects (font (size 1.016 1.016)) (justify left bottom)) 545 | (uuid 37728c8e-efcc-462c-a749-47b6bfcbaf37) 546 | ) 547 | (text "Q3" (at 20.32 39.37 0) 548 | (effects (font (size 1.27 1.27)) (justify right bottom)) 549 | (uuid 40b38567-9d6a-4691-bccf-1b4dbe39957b) 550 | ) 551 | (text "S3" (at 205.74 27.94 0) 552 | (effects (font (size 1.016 1.016)) (justify left bottom)) 553 | (uuid 42bd0f96-a831-406e-abb7-03ed1bbd785f) 554 | ) 555 | (text "S2" (at 68.58 27.94 0) 556 | (effects (font (size 1.016 1.016)) (justify left bottom)) 557 | (uuid 4c8704fa-310a-4c01-8dc1-2b7e2727fea0) 558 | ) 559 | (text "S4" (at 220.98 27.94 0) 560 | (effects (font (size 1.016 1.016)) (justify left bottom)) 561 | (uuid 57543893-39bf-4d83-b4e0-8d020b4a6d48) 562 | ) 563 | (text "S6" (at 251.46 27.94 0) 564 | (effects (font (size 1.016 1.016)) (justify left bottom)) 565 | (uuid 629fdb7a-7978-43d0-987e-b84465775826) 566 | ) 567 | (text "6502 CPU Access (long)" (at 97.79 21.59 0) 568 | (effects (font (size 2.54 2.54)) (justify left bottom)) 569 | (uuid 64256223-cf3b-4a78-97d3-f1dca769968f) 570 | ) 571 | (text "S1" (at 53.34 27.94 0) 572 | (effects (font (size 1.016 1.016)) (justify left bottom)) 573 | (uuid 6742a066-6a5f-4185-90ae-b7fe8c6eda52) 574 | ) 575 | (text "Video Access" (at 167.64 21.59 0) 576 | (effects (font (size 2.6416 2.6416)) (justify left bottom)) 577 | (uuid 70abf340-8b3e-403e-a5e2-d8f35caa2f87) 578 | ) 579 | (text "6502 CPU Access" (at 218.44 21.59 0) 580 | (effects (font (size 2.54 2.54)) (justify left bottom)) 581 | (uuid 7de6564c-7ad6-4d57-a54c-8d2835ff5cdc) 582 | ) 583 | (text "S5" (at 236.22 27.94 0) 584 | (effects (font (size 1.016 1.016)) (justify left bottom)) 585 | (uuid 9c5933cf-1535-4465-90dd-da9b75afcdcf) 586 | ) 587 | (text "S6" (at 22.86 27.94 0) 588 | (effects (font (size 1.016 1.016)) (justify left bottom)) 589 | (uuid a6dc1180-19c4-432b-af49-fc9179bb4519) 590 | ) 591 | (text "S5" (at 114.3 27.94 0) 592 | (effects (font (size 1.016 1.016)) (justify left bottom)) 593 | (uuid b4675fcd-90dd-499b-8feb-46b51a88378c) 594 | ) 595 | (text "Video Access" (at 45.72 21.59 0) 596 | (effects (font (size 2.6416 2.6416)) (justify left bottom)) 597 | (uuid c8072c34-0f81-4552-9fbe-4bfe60c53e21) 598 | ) 599 | (text "S1" (at 175.26 27.94 0) 600 | (effects (font (size 1.016 1.016)) (justify left bottom)) 601 | (uuid d4e4ffa8-e3e2-4590-b9df-630d1880f3e4) 602 | ) 603 | (text "PHI1" (at 20.32 35.56 0) 604 | (effects (font (size 1.27 1.27)) (justify right bottom)) 605 | (uuid df93f76b-86da-45ae-87e2-4b691af12b00) 606 | ) 607 | (text "S6" (at 129.54 27.94 0) 608 | (effects (font (size 1.016 1.016)) (justify left bottom)) 609 | (uuid ef3dded2-639c-45d4-8076-84cfb5189592) 610 | ) 611 | (text "S7" (at 144.78 27.94 0) 612 | (effects (font (size 1.016 1.016)) (justify left bottom)) 613 | (uuid f6dcb5b4-0971-448a-b9ab-6db37a750704) 614 | ) 615 | (text "C7M" (at 20.32 27.94 0) 616 | (effects (font (size 1.27 1.27)) (justify right bottom)) 617 | (uuid fab1abc4-c49d-4b88-8c7f-939d7feb7b6c) 618 | ) 619 | (text "S3" (at 83.82 27.94 0) 620 | (effects (font (size 1.016 1.016)) (justify left bottom)) 621 | (uuid fec6f717-d723-4676-89ef-8ea691e209c2) 622 | ) 623 | (text "S4" (at 99.06 27.94 0) 624 | (effects (font (size 1.016 1.016)) (justify left bottom)) 625 | (uuid ff2f00dc-dff2-4a19-af27-f5c793a8d261) 626 | ) 627 | ) 628 | -------------------------------------------------------------------------------- /Documentation/GW4206AManual.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/garrettsworkshop/TimeDisk/a0ab05460df5037b873761b13c9bc0224982cf84/Documentation/GW4206AManual.pdf -------------------------------------------------------------------------------- /Documentation/GW4206BManual.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/garrettsworkshop/TimeDisk/a0ab05460df5037b873761b13c9bc0224982cf84/Documentation/GW4206BManual.pdf -------------------------------------------------------------------------------- /Documentation/ROM Layout.txt: -------------------------------------------------------------------------------- 1 | |-------------------------------------| $20000 / $40000 / $80000 ( 128 kB / 256 kB / 512 kB) 2 | | | $1FFFF / $3FFFF / $7FFFF 3 | | recovery program | 4 | | | 5 | |-------------------------------------| 6 | | | 7 | | | 8 | | | 9 | | | 10 | | | 11 | | | 12 | | | 13 | | | 14 | | | 15 | | recovery data | 16 | | ... | 17 | | | 18 | | | 19 | | | 20 | | | 21 | | | 22 | | | 23 | | | 24 | | | 25 | | | 26 | | | 27 | |-------------------------------------| $02000 (8192 bytes) 28 | | | 29 | | IOSTRB Mode 0 Bank 1 | 30 | | | 31 | |-------------------------------------| $01800 (6144 bytes) 32 | | | 33 | | IOSEL Mode 1 | 34 | | | 35 | |-------------------------------------| $01000 (4096 bytes) 36 | | | 37 | | IOSTRB Mode 0 Bank 0 | 38 | | | 39 | |-------------------------------------| $00800 (2048 bytes) 40 | | | 41 | | IOSEL Mode 0 | 42 | | | 43 | |-------------------------------------| $00000 -------------------------------------------------------------------------------- /Documentation/TimeDisk.4206B-Placement.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/garrettsworkshop/TimeDisk/a0ab05460df5037b873761b13c9bc0224982cf84/Documentation/TimeDisk.4206B-Placement.pdf -------------------------------------------------------------------------------- /Documentation/TimeDisk.4206B-Schematic.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/garrettsworkshop/TimeDisk/a0ab05460df5037b873761b13c9bc0224982cf84/Documentation/TimeDisk.4206B-Schematic.pdf -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- 1 | Copyright (c) 2019, Garrett's Workshop 2 | All rights reserved. 3 | 4 | Redistribution and use in source, binary, and manufactued forms, with or without 5 | modification, are permitted provided that the following conditions are met: 6 | 1. Redistributions of source code and design files must retain the above copyright 7 | notice, this list of conditions and the following disclaimer. 8 | 2. Redistributions in binary or manufactured form must reproduce the above copyright 9 | notice, this list of conditions and the following disclaimer in the 10 | documentation and/or other materials provided with the distribution. 11 | 3. All advertising materials mentioning features or use of this software 12 | or hardware must display the following acknowledgement: 13 | This product includes software and hardware developed by Garrett's Workshop. 14 | 4. Neither the name of Garrett's Workshop nor the 15 | names of its contributors may be used to endorse or promote products 16 | derived from this software or hardware without specific prior written permission. 17 | 18 | THIS SOFTWARE AND HARDWARE IS PROVIDED BY GARRETT'S WORKSHOP ''AS IS'' AND ANY 19 | EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED 20 | WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE 21 | DISCLAIMED. IN NO EVENT SHALL GARRETT'S WORKSHOP BE LIABLE FOR ANY 22 | DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES 23 | (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; 24 | LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND 25 | ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT 26 | (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS 27 | SOFTWARE AND HARDWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE -------------------------------------------------------------------------------- /Makefile: -------------------------------------------------------------------------------- 1 | KICAD = /Applications/KiCad/KiCad.app/Contents/MacOS/kicad-cli 2 | LAYERS = F.Cu,In1.Cu,In2.Cu,B.Cu,F.Paste,F.SilkS,B.SilkS,F.Mask,B.Mask,Edge.Cuts 3 | 4 | F_PCB = $@/../TimeDisk.kicad_pcb 5 | F_SCH = $@/../TimeDisk.kicad_sch 6 | F_POS = $@/TimeDisk-top-pos.csv 7 | F_ZIP = $@/TimeDisk.4206B-gerber.zip 8 | F_SCHPDF = $@/TimeDisk.4206B-Schematic.pdf 9 | F_PCBPDF = $@/TimeDisk.4206B-Placement.pdf 10 | 11 | 12 | OPT_GERBER = -l $(LAYERS) --subtract-soldermask --no-netlist --no-x2 13 | CMD_GERBER = pcb export gerbers $(OPT_GERBER) -o $@/ $(F_PCB) 14 | 15 | CMD_DRILL = pcb export drill -o $@/ $(F_PCB) 16 | 17 | OPT_POS = --smd-only --units mm --side front --format csv 18 | CMD_POS = pcb export pos $(OPT_POS) -o $(F_POS) $(F_PCB) 19 | 20 | CMD_SCHPDF = sch export pdf --black-and-white --no-background-color -o $(F_SCHPDF) $(F_SCH) 21 | CMD_PCBPDF = pcb export pdf --black-and-white -l F.Fab,Edge.Cuts -o $(F_PCBPDF) $(F_PCB) 22 | 23 | 24 | .PHONY: all clean gerber Documentation 25 | all: gerber Documentation 26 | clean: 27 | rm -fr gerber/ 28 | rm -f Documentation/TimeDisk.4206B-Schematic.pdf 29 | rm -f Documentation/TimeDisk.4206B-Placement.pdf 30 | 31 | gerber: 32 | mkdir -p $@ 33 | $(KICAD) $(CMD_GERBER) 34 | $(KICAD) $(CMD_DRILL) 35 | $(KICAD) $(CMD_POS) 36 | sed -i '' 's/PosX/MidX/g' $(F_POS) 37 | sed -i '' 's/PosY/MidY/g' $(F_POS) 38 | sed -i '' 's/Rot/Rotation/g' $(F_POS) 39 | zip -r $(F_ZIP) $@/ 40 | Documentation: 41 | mkdir -p $@ 42 | $(KICAD) $(CMD_SCHPDF) 43 | $(KICAD) $(CMD_PCBPDF) 44 | -------------------------------------------------------------------------------- /TimeDisk.kicad_pro: -------------------------------------------------------------------------------- 1 | { 2 | "board": { 3 | "3dviewports": [], 4 | "design_settings": { 5 | "defaults": { 6 | "board_outline_line_width": 0.15, 7 | "copper_line_width": 0.15239999999999998, 8 | "copper_text_italic": false, 9 | "copper_text_size_h": 1.5, 10 | "copper_text_size_v": 1.5, 11 | "copper_text_thickness": 0.3, 12 | "copper_text_upright": false, 13 | "courtyard_line_width": 0.049999999999999996, 14 | "dimension_precision": 4, 15 | "dimension_units": 3, 16 | "dimensions": { 17 | "arrow_length": 1270000, 18 | "extension_offset": 500000, 19 | "keep_text_aligned": true, 20 | "suppress_zeroes": false, 21 | "text_position": 0, 22 | "units_format": 1 23 | }, 24 | "fab_line_width": 0.09999999999999999, 25 | "fab_text_italic": false, 26 | "fab_text_size_h": 1.0, 27 | "fab_text_size_v": 1.0, 28 | "fab_text_thickness": 0.15, 29 | "fab_text_upright": false, 30 | "other_line_width": 0.09999999999999999, 31 | "other_text_italic": false, 32 | "other_text_size_h": 1.0, 33 | "other_text_size_v": 1.0, 34 | "other_text_thickness": 0.15, 35 | "other_text_upright": false, 36 | "pads": { 37 | "drill": 0.0, 38 | "height": 1.0, 39 | "width": 2.51 40 | }, 41 | "silk_line_width": 0.15, 42 | "silk_text_italic": false, 43 | "silk_text_size_h": 1.0, 44 | "silk_text_size_v": 1.0, 45 | "silk_text_thickness": 0.15, 46 | "silk_text_upright": false, 47 | "zones": { 48 | "45_degree_only": false, 49 | "min_clearance": 0.15239999999999998 50 | } 51 | }, 52 | "diff_pair_dimensions": [ 53 | { 54 | "gap": 0.0, 55 | "via_gap": 0.0, 56 | "width": 0.0 57 | } 58 | ], 59 | "drc_exclusions": [], 60 | "meta": { 61 | "filename": "board_design_settings.json", 62 | "version": 2 63 | }, 64 | "rule_severities": { 65 | "annular_width": "error", 66 | "clearance": "error", 67 | "connection_width": "warning", 68 | "copper_edge_clearance": "error", 69 | "copper_sliver": "error", 70 | "courtyards_overlap": "warning", 71 | "diff_pair_gap_out_of_range": "error", 72 | "diff_pair_uncoupled_length_too_long": "error", 73 | "drill_out_of_range": "error", 74 | "duplicate_footprints": "error", 75 | "extra_footprint": "error", 76 | "footprint": "error", 77 | "footprint_type_mismatch": "error", 78 | "hole_clearance": "error", 79 | "hole_near_hole": "error", 80 | "invalid_outline": "error", 81 | "isolated_copper": "warning", 82 | "item_on_disabled_layer": "error", 83 | "items_not_allowed": "error", 84 | "length_out_of_range": "error", 85 | "lib_footprint_issues": "ignore", 86 | "lib_footprint_mismatch": "warning", 87 | "malformed_courtyard": "error", 88 | "microvia_drill_out_of_range": "error", 89 | "missing_courtyard": "ignore", 90 | "missing_footprint": "error", 91 | "net_conflict": "error", 92 | "npth_inside_courtyard": "ignore", 93 | "padstack": "error", 94 | "pth_inside_courtyard": "ignore", 95 | "shorting_items": "error", 96 | "silk_edge_clearance": "warning", 97 | "silk_over_copper": "warning", 98 | "silk_overlap": "warning", 99 | "skew_out_of_range": "error", 100 | "solder_mask_bridge": "ignore", 101 | "starved_thermal": "error", 102 | "text_height": "warning", 103 | "text_thickness": "warning", 104 | "through_hole_pad_without_hole": "error", 105 | "too_many_vias": "error", 106 | "track_dangling": "warning", 107 | "track_width": "error", 108 | "tracks_crossing": "error", 109 | "unconnected_items": "error", 110 | "unresolved_variable": "error", 111 | "via_dangling": "warning", 112 | "zones_intersect": "error" 113 | }, 114 | "rule_severitieslegacy_courtyards_overlap": true, 115 | "rule_severitieslegacy_no_courtyard_defined": false, 116 | "rules": { 117 | "allow_blind_buried_vias": false, 118 | "allow_microvias": false, 119 | "max_error": 0.005, 120 | "min_clearance": 0.15, 121 | "min_connection": 0.12, 122 | "min_copper_edge_clearance": 0.4064, 123 | "min_hole_clearance": 0.25, 124 | "min_hole_to_hole": 0.5, 125 | "min_microvia_diameter": 0.19999999999999998, 126 | "min_microvia_drill": 0.09999999999999999, 127 | "min_resolved_spokes": 2, 128 | "min_silk_clearance": 0.0, 129 | "min_text_height": 0.7999999999999999, 130 | "min_text_thickness": 0.08, 131 | "min_through_hole_diameter": 0.3, 132 | "min_track_width": 0.15, 133 | "min_via_annular_width": 0.09999999999999999, 134 | "min_via_diameter": 0.5, 135 | "solder_mask_to_copper_clearance": 0.0, 136 | "use_height_for_length_calcs": true 137 | }, 138 | "teardrop_options": [ 139 | { 140 | "td_allow_use_two_tracks": true, 141 | "td_curve_segcount": 5, 142 | "td_on_pad_in_zone": false, 143 | "td_onpadsmd": true, 144 | "td_onroundshapesonly": false, 145 | "td_ontrackend": false, 146 | "td_onviapad": true 147 | } 148 | ], 149 | "teardrop_parameters": [ 150 | { 151 | "td_curve_segcount": 0, 152 | "td_height_ratio": 1.0, 153 | "td_length_ratio": 0.5, 154 | "td_maxheight": 2.0, 155 | "td_maxlen": 1.0, 156 | "td_target_name": "td_round_shape", 157 | "td_width_to_size_filter_ratio": 0.9 158 | }, 159 | { 160 | "td_curve_segcount": 0, 161 | "td_height_ratio": 1.0, 162 | "td_length_ratio": 0.5, 163 | "td_maxheight": 2.0, 164 | "td_maxlen": 1.0, 165 | "td_target_name": "td_rect_shape", 166 | "td_width_to_size_filter_ratio": 0.9 167 | }, 168 | { 169 | "td_curve_segcount": 0, 170 | "td_height_ratio": 1.0, 171 | "td_length_ratio": 0.5, 172 | "td_maxheight": 2.0, 173 | "td_maxlen": 1.0, 174 | "td_target_name": "td_track_end", 175 | "td_width_to_size_filter_ratio": 0.9 176 | } 177 | ], 178 | "track_widths": [ 179 | 0.0, 180 | 0.15, 181 | 0.2, 182 | 0.25, 183 | 0.3, 184 | 0.35, 185 | 0.4, 186 | 0.45, 187 | 0.5, 188 | 0.6, 189 | 0.8, 190 | 1.0, 191 | 1.27, 192 | 1.524 193 | ], 194 | "via_dimensions": [ 195 | { 196 | "diameter": 0.0, 197 | "drill": 0.0 198 | }, 199 | { 200 | "diameter": 0.5, 201 | "drill": 0.3 202 | }, 203 | { 204 | "diameter": 0.6, 205 | "drill": 0.3 206 | }, 207 | { 208 | "diameter": 0.8, 209 | "drill": 0.4 210 | }, 211 | { 212 | "diameter": 1.0, 213 | "drill": 0.5 214 | }, 215 | { 216 | "diameter": 1.524, 217 | "drill": 0.762 218 | } 219 | ], 220 | "zones_allow_external_fillets": false, 221 | "zones_use_no_outline": true 222 | }, 223 | "layer_presets": [], 224 | "viewports": [] 225 | }, 226 | "boards": [], 227 | "cvpcb": { 228 | "equivalence_files": [] 229 | }, 230 | "erc": { 231 | "erc_exclusions": [], 232 | "meta": { 233 | "version": 0 234 | }, 235 | "pin_map": [ 236 | [ 237 | 0, 238 | 0, 239 | 0, 240 | 0, 241 | 0, 242 | 0, 243 | 1, 244 | 0, 245 | 0, 246 | 0, 247 | 0, 248 | 2 249 | ], 250 | [ 251 | 0, 252 | 2, 253 | 0, 254 | 1, 255 | 0, 256 | 0, 257 | 1, 258 | 0, 259 | 2, 260 | 2, 261 | 2, 262 | 2 263 | ], 264 | [ 265 | 0, 266 | 0, 267 | 0, 268 | 0, 269 | 0, 270 | 0, 271 | 1, 272 | 0, 273 | 1, 274 | 0, 275 | 1, 276 | 2 277 | ], 278 | [ 279 | 0, 280 | 1, 281 | 0, 282 | 0, 283 | 0, 284 | 0, 285 | 1, 286 | 1, 287 | 2, 288 | 1, 289 | 1, 290 | 2 291 | ], 292 | [ 293 | 0, 294 | 0, 295 | 0, 296 | 0, 297 | 0, 298 | 0, 299 | 1, 300 | 0, 301 | 0, 302 | 0, 303 | 0, 304 | 2 305 | ], 306 | [ 307 | 0, 308 | 0, 309 | 0, 310 | 0, 311 | 0, 312 | 0, 313 | 0, 314 | 0, 315 | 0, 316 | 0, 317 | 0, 318 | 2 319 | ], 320 | [ 321 | 1, 322 | 1, 323 | 1, 324 | 1, 325 | 1, 326 | 0, 327 | 1, 328 | 1, 329 | 1, 330 | 1, 331 | 1, 332 | 2 333 | ], 334 | [ 335 | 0, 336 | 0, 337 | 0, 338 | 1, 339 | 0, 340 | 0, 341 | 1, 342 | 0, 343 | 0, 344 | 0, 345 | 0, 346 | 2 347 | ], 348 | [ 349 | 0, 350 | 2, 351 | 1, 352 | 2, 353 | 0, 354 | 0, 355 | 1, 356 | 0, 357 | 2, 358 | 2, 359 | 2, 360 | 2 361 | ], 362 | [ 363 | 0, 364 | 2, 365 | 0, 366 | 1, 367 | 0, 368 | 0, 369 | 1, 370 | 0, 371 | 2, 372 | 0, 373 | 0, 374 | 2 375 | ], 376 | [ 377 | 0, 378 | 2, 379 | 1, 380 | 1, 381 | 0, 382 | 0, 383 | 1, 384 | 0, 385 | 2, 386 | 0, 387 | 0, 388 | 2 389 | ], 390 | [ 391 | 2, 392 | 2, 393 | 2, 394 | 2, 395 | 2, 396 | 2, 397 | 2, 398 | 2, 399 | 2, 400 | 2, 401 | 2, 402 | 2 403 | ] 404 | ], 405 | "rule_severities": { 406 | "bus_definition_conflict": "error", 407 | "bus_entry_needed": "error", 408 | "bus_to_bus_conflict": "error", 409 | "bus_to_net_conflict": "error", 410 | "conflicting_netclasses": "error", 411 | "different_unit_footprint": "error", 412 | "different_unit_net": "error", 413 | "duplicate_reference": "error", 414 | "duplicate_sheet_names": "error", 415 | "endpoint_off_grid": "warning", 416 | "extra_units": "error", 417 | "global_label_dangling": "warning", 418 | "hier_label_mismatch": "error", 419 | "label_dangling": "error", 420 | "lib_symbol_issues": "warning", 421 | "missing_bidi_pin": "warning", 422 | "missing_input_pin": "warning", 423 | "missing_power_pin": "error", 424 | "missing_unit": "warning", 425 | "multiple_net_names": "warning", 426 | "net_not_bus_member": "warning", 427 | "no_connect_connected": "warning", 428 | "no_connect_dangling": "warning", 429 | "pin_not_connected": "error", 430 | "pin_not_driven": "error", 431 | "pin_to_pin": "warning", 432 | "power_pin_not_driven": "error", 433 | "similar_labels": "warning", 434 | "simulation_model_issue": "error", 435 | "unannotated": "error", 436 | "unit_value_mismatch": "error", 437 | "unresolved_variable": "error", 438 | "wire_dangling": "error" 439 | } 440 | }, 441 | "libraries": { 442 | "pinned_footprint_libs": [], 443 | "pinned_symbol_libs": [] 444 | }, 445 | "meta": { 446 | "filename": "TimeDisk.kicad_pro", 447 | "version": 1 448 | }, 449 | "net_settings": { 450 | "classes": [ 451 | { 452 | "bus_width": 12, 453 | "clearance": 0.15, 454 | "diff_pair_gap": 0.25, 455 | "diff_pair_via_gap": 0.25, 456 | "diff_pair_width": 0.2, 457 | "line_style": 0, 458 | "microvia_diameter": 0.3, 459 | "microvia_drill": 0.1, 460 | "name": "Default", 461 | "pcb_color": "rgba(0, 0, 0, 0.000)", 462 | "schematic_color": "rgba(0, 0, 0, 0.000)", 463 | "track_width": 0.15, 464 | "via_diameter": 0.5, 465 | "via_drill": 0.3, 466 | "wire_width": 6 467 | } 468 | ], 469 | "meta": { 470 | "version": 3 471 | }, 472 | "net_colors": null, 473 | "netclass_assignments": null, 474 | "netclass_patterns": [] 475 | }, 476 | "pcbnew": { 477 | "last_paths": { 478 | "gencad": "", 479 | "idf": "", 480 | "netlist": "TimeDisk.net", 481 | "specctra_dsn": "", 482 | "step": "", 483 | "vrml": "" 484 | }, 485 | "page_layout_descr_file": "" 486 | }, 487 | "schematic": { 488 | "annotate_start_num": 0, 489 | "drawing": { 490 | "dashed_lines_dash_length_ratio": 12.0, 491 | "dashed_lines_gap_length_ratio": 3.0, 492 | "default_line_thickness": 6.0, 493 | "default_text_size": 50.0, 494 | "field_names": [], 495 | "intersheets_ref_own_page": false, 496 | "intersheets_ref_prefix": "", 497 | "intersheets_ref_short": false, 498 | "intersheets_ref_show": false, 499 | "intersheets_ref_suffix": "", 500 | "junction_size_choice": 3, 501 | "label_size_ratio": 0.25, 502 | "pin_symbol_size": 0.0, 503 | "text_offset_ratio": 0.08 504 | }, 505 | "legacy_lib_dir": "", 506 | "legacy_lib_list": [], 507 | "meta": { 508 | "version": 1 509 | }, 510 | "net_format_name": "Pcbnew", 511 | "ngspice": { 512 | "fix_include_paths": true, 513 | "fix_passive_vals": false, 514 | "meta": { 515 | "version": 0 516 | }, 517 | "model_mode": 0, 518 | "workbook_filename": "" 519 | }, 520 | "page_layout_descr_file": "", 521 | "plot_directory": "", 522 | "spice_adjust_passive_values": false, 523 | "spice_current_sheet_as_root": false, 524 | "spice_external_command": "spice \"%I\"", 525 | "spice_model_current_sheet_as_root": true, 526 | "spice_save_all_currents": false, 527 | "spice_save_all_voltages": false, 528 | "subpart_first_id": 65, 529 | "subpart_id_separator": 0 530 | }, 531 | "sheets": [ 532 | [ 533 | "4fa10683-33cd-4dcd-8acc-2415cd63c62a", 534 | "" 535 | ], 536 | [ 537 | "00000000-0000-0000-0000-00005d3b15f6", 538 | "Docs" 539 | ] 540 | ], 541 | "text_variables": {} 542 | } 543 | -------------------------------------------------------------------------------- /cpld/TimeDisk.qpf: -------------------------------------------------------------------------------- 1 | # -------------------------------------------------------------------------- # 2 | # 3 | # Copyright (C) 1991-2013 Altera Corporation 4 | # Your use of Altera Corporation's design tools, logic functions 5 | # and other software and tools, and its AMPP partner logic 6 | # functions, and any output files from any of the foregoing 7 | # (including device programming or simulation files), and any 8 | # associated documentation or information are expressly subject 9 | # to the terms and conditions of the Altera Program License 10 | # Subscription Agreement, Altera MegaCore Function License 11 | # Agreement, or other applicable license agreement, including, 12 | # without limitation, that your use is for the sole purpose of 13 | # programming logic devices manufactured by Altera and sold by 14 | # Altera or its authorized distributors. Please refer to the 15 | # applicable agreement for further details. 16 | # 17 | # -------------------------------------------------------------------------- # 18 | # 19 | # Quartus II 64-Bit 20 | # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 21 | # Date created = 23:54:33 February 19, 2023 22 | # 23 | # -------------------------------------------------------------------------- # 24 | 25 | QUARTUS_VERSION = "13.0" 26 | DATE = "23:54:33 February 19, 2023" 27 | 28 | # Revisions 29 | 30 | PROJECT_REVISION = "TimeDisk" 31 | -------------------------------------------------------------------------------- /cpld/TimeDisk.qsf: -------------------------------------------------------------------------------- 1 | # -------------------------------------------------------------------------- # 2 | # 3 | # Copyright (C) 1991-2013 Altera Corporation 4 | # Your use of Altera Corporation's design tools, logic functions 5 | # and other software and tools, and its AMPP partner logic 6 | # functions, and any output files from any of the foregoing 7 | # (including device programming or simulation files), and any 8 | # associated documentation or information are expressly subject 9 | # to the terms and conditions of the Altera Program License 10 | # Subscription Agreement, Altera MegaCore Function License 11 | # Agreement, or other applicable license agreement, including, 12 | # without limitation, that your use is for the sole purpose of 13 | # programming logic devices manufactured by Altera and sold by 14 | # Altera or its authorized distributors. Please refer to the 15 | # applicable agreement for further details. 16 | # 17 | # -------------------------------------------------------------------------- # 18 | # 19 | # Quartus II 64-Bit 20 | # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 21 | # Date created = 23:54:33 February 19, 2023 22 | # 23 | # -------------------------------------------------------------------------- # 24 | # 25 | # Notes: 26 | # 27 | # 1) The default values for assignments are stored in the file: 28 | # TimeDisk_assignment_defaults.qdf 29 | # If this file doesn't exist, see file: 30 | # assignment_defaults.qdf 31 | # 32 | # 2) Altera recommends that you do not modify this file. This 33 | # file is updated automatically by the Quartus II software 34 | # and any changes you make may be lost or overwritten. 35 | # 36 | # -------------------------------------------------------------------------- # 37 | 38 | 39 | set_global_assignment -name FAMILY MAX7000S 40 | set_global_assignment -name DEVICE "EPM7128SLC84-15" 41 | set_global_assignment -name TOP_LEVEL_ENTITY TimeDisk 42 | set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" 43 | set_global_assignment -name PROJECT_CREATION_TIME_DATE "23:54:33 FEBRUARY 19, 2023" 44 | set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" 45 | set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files 46 | set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" 47 | set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 48 | set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 49 | set_global_assignment -name VERILOG_FILE TimeDisk.v 50 | set_location_assignment PIN_1 -to nRES 51 | set_location_assignment PIN_52 -to nIRQ 52 | set_location_assignment PIN_6 -to A[13] 53 | set_location_assignment PIN_4 -to D[6] 54 | set_location_assignment PIN_5 -to D[7] 55 | set_location_assignment PIN_84 -to A[12] 56 | set_location_assignment PIN_8 -to A[14] 57 | set_location_assignment PIN_9 -to A[15] 58 | set_location_assignment PIN_10 -to nWE 59 | set_location_assignment PIN_11 -to nDEVSEL 60 | set_location_assignment PIN_18 -to nIOSEL 61 | set_location_assignment PIN_16 -to nIOSTRB 62 | set_location_assignment PIN_17 -to nROMCS 63 | set_location_assignment PIN_20 -to nRAMROMCS 64 | set_location_assignment PIN_21 -to A[10] 65 | set_location_assignment PIN_22 -to A[0] 66 | set_location_assignment PIN_24 -to A[1] 67 | set_location_assignment PIN_25 -to A[2] 68 | set_location_assignment PIN_27 -to A[3] 69 | set_location_assignment PIN_28 -to A[4] 70 | set_location_assignment PIN_29 -to A[5] 71 | set_location_assignment PIN_30 -to A[6] 72 | set_location_assignment PIN_31 -to A[7] 73 | set_location_assignment PIN_33 -to RAMROMCSgb 74 | set_location_assignment PIN_34 -to RD[6] 75 | set_location_assignment PIN_35 -to RD[5] 76 | set_location_assignment PIN_36 -to RD[4] 77 | set_location_assignment PIN_37 -to RD[3] 78 | set_location_assignment PIN_39 -to RD[2] 79 | set_location_assignment PIN_40 -to RD[1] 80 | set_location_assignment PIN_44 -to RD[7] 81 | set_location_assignment PIN_45 -to RAMCS 82 | set_location_assignment PIN_46 -to RAH[15] 83 | set_location_assignment PIN_51 -to RA11 84 | set_location_assignment PIN_41 -to RD[0] 85 | set_location_assignment PIN_61 -to RAH[16] 86 | set_location_assignment PIN_60 -to RAH[12] 87 | set_location_assignment PIN_67 -to RAH[14] 88 | set_location_assignment PIN_68 -to RAH[17] 89 | set_location_assignment PIN_69 -to RAH[13] 90 | set_location_assignment PIN_70 -to A[8] 91 | set_location_assignment PIN_73 -to A[9] 92 | set_location_assignment PIN_74 -to A[11] 93 | set_location_assignment PIN_75 -to D[0] 94 | set_location_assignment PIN_76 -to D[1] 95 | set_location_assignment PIN_77 -to D[2] 96 | set_location_assignment PIN_79 -to D[3] 97 | set_location_assignment PIN_80 -to D[4] 98 | set_location_assignment PIN_81 -to D[5] 99 | set_location_assignment PIN_83 -to C7M 100 | set_location_assignment PIN_15 -to nINH 101 | set_location_assignment PIN_12 -to RAH[18] 102 | set_location_assignment PIN_48 -to RAL[5] 103 | set_location_assignment PIN_49 -to RAL[7] 104 | set_location_assignment PIN_50 -to RAL[9] 105 | set_location_assignment PIN_54 -to RAL[1] 106 | set_location_assignment PIN_55 -to RAL[2] 107 | set_location_assignment PIN_56 -to RAL[10] 108 | set_location_assignment PIN_57 -to RAL[8] 109 | set_location_assignment PIN_58 -to RAL[6] 110 | set_location_assignment PIN_63 -to RAL[3] 111 | set_location_assignment PIN_64 -to RAL[4] 112 | set_location_assignment PIN_65 -to RAH[19] 113 | set_location_assignment PIN_2 -to PHI1 114 | set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to CSDBEN 115 | set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF 116 | set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS OFF 117 | set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF 118 | set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF 119 | set_global_assignment -name FITTER_EFFORT "STANDARD FIT" 120 | set_global_assignment -name SLOW_SLEW_RATE ON 121 | set_global_assignment -name AUTO_TURBO_BIT OFF 122 | set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to nROMCS_MC 123 | set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to nRAMROMCS_MC 124 | set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to RAMCS_MC 125 | set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to DOE 126 | set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to RAMSEL_MC 127 | set_global_assignment -name MAX7000_DEVICE_IO_STANDARD TTL -------------------------------------------------------------------------------- /cpld/TimeDisk.v: -------------------------------------------------------------------------------- 1 | module TimeDisk(C7M, PHI1, nRES, nIRQ, 2 | A, RAH, RA11, RAL, nWE, D, RD, nINH, 3 | nDEVSEL, nIOSEL, nIOSTRB, 4 | nRAMROMCS, RAMROMCSgb, RAMCS, nROMCS); 5 | /* Select Signals */ 6 | `define BankSELA (A[3:0]==4'hF) 7 | `define IRQSELA (A[3:0]==4'hE) 8 | `define SigSEL3A (A[3:0]==4'h7) 9 | `define SigSEL2A (A[3:0]==4'h6) 10 | `define SigSEL1A (A[3:0]==4'h5) 11 | `define SigSEL0A (A[3:0]==4'h4) 12 | `define SigSELA (A[3:2]==2'b01) 13 | `define RAMSELA (A[3:0]==4'h3) 14 | `define AddrHSELA (A[3:0]==4'h2) 15 | `define AddrMSELA (A[3:0]==4'h1) 16 | `define AddrLSELA (A[3:0]==4'h0) 17 | 18 | /* Clock, Reset */ 19 | input C7M, PHI1; // Clock inputs 20 | input nRES; // Reset 21 | input nINH; // Apple II bus "inhibit" pin 22 | 23 | /* Main state counter S[2:0] */ 24 | reg [1:0] PHI0rf; 25 | reg [2:0] S = 0; 26 | always @(negedge C7M) PHI0rf[1:0] <= { PHI0rf[0], !PHI1 }; 27 | always @(posedge C7M) begin 28 | S[2:0] <= (PHI0rf[1] && !PHI0rf[0] && PHI1) ? 3'h1 : 29 | S==0 ? 3'h0 : 30 | S==7 ? 3'h7 : S+3'h1; 31 | end 32 | 33 | /* Reset synchronization */ 34 | reg nRESr0 = 0, nRESr = 0; 35 | always @(negedge C7M) nRESr0 <= nRES; 36 | always @(negedge C7M) if (S==1) nRESr <= nRESr0; 37 | 38 | /* Mode jumper loading */ 39 | reg ModeLoaded = 0, Mode = 0; 40 | always @(posedge C7M) begin 41 | if (S==2) begin 42 | if (nRESr) ModeLoaded <= 1; 43 | if (!ModeLoaded) Mode <= RA11; 44 | end 45 | end 46 | 47 | /* Long cycle detect */ 48 | reg LongCycle; always @(negedge C7M) LongCycle <= S==7 && !PHI1; 49 | 50 | /* Timer command sequence */ 51 | /*reg [2:0] CS; 52 | always @(posedge C7M) begin 53 | if (!nRESr) CS <= 0; 54 | else if (S==5 && !nDEVSEL && `SigSELA) case (CS) 55 | 0: CS <= D[7:0]==8'hFF ? 1 : 0; 56 | 1: CS <= D[7:0]==8'h00 ? 2 : 0; 57 | 2: CS <= D[7:0]==8'h55 ? 3 : 0; 58 | 3: CS <= D[7:0]==8'hAA ? 4 : 0; 59 | 4: CS <= D[7:0]==8'hC1 ? 5 : 0; 60 | 5: CS <= D[7:0]==8'hAD ? 6 : 0; 61 | 6: CS <= 7; 62 | 7: CS <= 0; 63 | endcase 64 | end*/ 65 | 66 | /* Timer enable command */ 67 | /*reg TimerRegENCmd; 68 | always @(posedge C7M) begin 69 | if (!nRESr) TimerRegENCmd <= 0; 70 | else if (S==5 && SigSEL) begin 71 | TimerRegENCmd <= CS==6 && D[7:0]==8'h01; 72 | end 73 | end 74 | reg TimerRegEN; 75 | always @(posedge C7M) begin 76 | if (!nRESr) TimerRegEN <= 0; 77 | else if (S==5 && SigSEL && TimerRegENCmd) begin 78 | TimerRegEN <= D[0]; 79 | end 80 | end*/ 81 | 82 | /* Timer control register */ 83 | /*reg NTSCnPAL, IRQEN; 84 | always @(posedge C7M) begin 85 | if (!nRESr) begin 86 | NTSCnPAL <= 0; 87 | IRQEN <= 0; 88 | end else if (S==5 && TimerRegEN && IRQWR) begin 89 | NTSCnPAL <= D[2]; 90 | IRQEN <= D[1]; 91 | end 92 | end*/ 93 | 94 | /* Timer reset */ 95 | /*reg TimerReset; 96 | always @(posedge C7M) begin 97 | if (S==5) begin 98 | TimerReset <= TimerRegEN && IRQWR && D[0]; 99 | end 100 | end*/ 101 | 102 | /* Timer */ 103 | /*reg [8:0] Timer; 104 | wire Timer0; LCELL Timer0_MC (.in(Timer==0), .out(Timer0)); 105 | always @(posedge PHI1) begin 106 | if (TimerReset) Timer <= 0; 107 | else if (LongCycle) begin 108 | if (NTSCnPAL ? Timer==261 : Timer==311) Timer <= 0; 109 | else Timer[8:0] <= Timer[8:0]+9'h1; 110 | end 111 | end*/ 112 | 113 | /* IRQ generation */ 114 | output nIRQ = 1'bZ; 115 | /*reg IRQ = 0; 116 | output nIRQ = IRQ ? 1'b0 : 1'bZ; 117 | always @(posedge C7M) begin 118 | if (!IRQEN) IRQ <= 0; 119 | else if (S==5 && IRQRD) IRQ <= 0; 120 | else if (S==7 && Timer0) IRQ <= 1; 121 | end*/ 122 | 123 | /* RA[2:0] multiplexing */ 124 | reg RA2_RA0, RA1_CLK; 125 | always @(posedge C7M) begin 126 | case (S) 127 | 1: begin 128 | RA1_CLK <= 0; 129 | RA2_RA0 <= Addr[0]; 130 | end 2: begin 131 | RA1_CLK <= 1; 132 | RA2_RA0 <= Addr[0]; 133 | end 3: begin 134 | RA1_CLK <= Addr[1]; 135 | RA2_RA0 <= Addr[2]; 136 | end 137 | endcase 138 | end 139 | 140 | /* Address Bus, etc. */ 141 | input nDEVSEL, nIOSEL, nIOSTRB; // Card select signals 142 | input [15:0] A; // 6502 address bus 143 | input nWE; // 6502 R/W 144 | // ROM and RAM dual-function address pins 145 | output [19:12] RAH; 146 | assign RAH[19] = Addr[19]; 147 | assign RAH[18:12] = 148 | (!Mode && !nIOSEL) ? { 6'b000000, 1'b0 } : 149 | (!Mode && !nIOSTRB) ? { 6'b000000, Bank[0] } : 150 | ( Mode && !nIOSEL) ? { 6'b000000, 1'b1 } : 151 | ( Mode && !nIOSTRB) ? { Bank[7:2], Bank[1] } : Addr[18:12]; 152 | inout RA11 = !ModeLoaded ? 1'bZ : 153 | (!Mode && !nIOSEL) ? 1'b0: 154 | (!Mode && !nIOSTRB) ? 1'b1 : 155 | ( Mode && !nIOSEL) ? 1'b0 : 156 | ( Mode && !nIOSTRB) ? Bank[0] : Addr[11]; 157 | output [10:1] RAL; 158 | assign RAL[10:3] = Addr[10:3]; // RA[10:3] only used for RAM 159 | assign RAL[2:1] = {RA2_RA0, RA1_CLK}; //RA[2:1] uesd to set RA0 160 | 161 | /* More select Signals */ 162 | wire BankWR = (`BankSELA && !nWE && !nDEVSEL && REGEN); 163 | wire IRQRD; LCELL IRQRD_MC (.in(!nDEVSEL && `IRQSELA && nWE), .out(IRQRD)); 164 | wire IRQWR; LCELL IRQWR_MC (.in(!nDEVSEL && `IRQSELA && !nWE), .out(IRQWR)); 165 | wire SigSEL = !nDEVSEL && `SigSELA; 166 | `define RAMSEL (`RAMSELA && !nDEVSEL && REGEN) 167 | wire RAMSEL_BUF; LCELL RAMSEL_MC (.in(`RAMSEL), .out(RAMSEL_BUF)); 168 | wire AddrHWR; LCELL AddrHWR_MC (.in(`AddrHSELA && !nWE && !nDEVSEL && REGEN), .out(AddrHWR)); 169 | wire AddrMWR; LCELL AddrMWR_MC (.in(`AddrMSELA && !nWE && !nDEVSEL && REGEN), .out(AddrMWR)); 170 | wire AddrLWR; LCELL AddrLWR_MC (.in(`AddrLSELA && !nWE && !nDEVSEL && REGEN), .out(AddrLWR)); 171 | 172 | /* Data Bus Routing */ 173 | // SRAM/ROM data Bus 174 | wire RDOE = CSDBEN && !nWE; 175 | inout [7:0] RD = RDOE ? D[7:0] : 8'bZ; 176 | // Apple II data bus 177 | wire DOE = CSDBEN && nWE && 178 | ((!nDEVSEL && (!RAMSEL_BUF || (RAMSEL_BUF && RAMROMCSgb))) || 179 | (!nIOSEL && RAMROMCSgb) || (!nIOSTRB && IOROMEN)); 180 | wire [7:0] Dout = 181 | nDEVSEL ? RD[7:0] : 182 | `SigSEL3A ? 8'h10 : // Hex 10 (meaning firmware 1.0) 183 | `SigSEL2A ? 8'h42 : // ASCII "B" (meaning rev. B) 184 | `SigSEL1A ? 8'h06 : // Hex 06 (meaning "4206") 185 | `SigSEL0A ? 8'h47 : // ASCII "G" (meaning "GW") 186 | `RAMSELA ? RD[7:0] : 187 | `AddrHSELA ? { 4'hF, Addr[19:16] } : 188 | `AddrMSELA ? Addr[15:8] : 189 | `AddrLSELA ? Addr[7:0] : 8'h00; 190 | inout [7:0] D = DOE ? Dout : 8'bZ; 191 | 192 | /* State-based data bus and ROM CS gating */ 193 | reg CSDBEN = 0; // ROM CS and data bus driver gating 194 | always @(posedge C7M) begin 195 | // Only select ROM and drive Apple II data bus after S4 to avoid bus fight. 196 | // Thus we wait 1.5 7M cycles (210 ns) into PHI0 before driving. 197 | // Same for driving the ROM/SRAM data bus (RD). 198 | CSDBEN <= (S==4 || S==5 || S==6 || S==7); 199 | end 200 | 201 | /* SRAM and ROM Control Signals */ 202 | input RAMROMCSgb; // nRAMROMCS as gated by DS1215, then inverted 203 | output nRAMROMCS; LCELL nRAMROMCS_MC (.in(!(`RAMSEL || !nIOSEL)), .out(nRAMROMCS)); 204 | output RAMCS; LCELL RAMCS_MC (.in(`RAMSEL && CSDBEN), .out(RAMCS)); 205 | output nROMCS; LCELL nROMCS_MC (.in(!(CSDBEN && ((!nIOSEL && RAMROMCSgb) || (!nIOSTRB && IOROMEN)))), .out(nROMCS)); 206 | 207 | /* 6502-accessible Registers */ 208 | reg [7:0] Bank = 0; // Bank register for ROM access 209 | reg [19:0] Addr = 0; // Address register bits 19:0 210 | 211 | /* IOSTRB ROM enable */ 212 | reg IOROMEN = 0; // IOSTRB ROM enable 213 | wire RESIO; LCELL RESIO_MC (.in((!nIOSTRB && A[10:0]==11'h7FF) || !nRESr), .out(RESIO)); 214 | always @(posedge C7M, posedge RESIO) begin 215 | if (RESIO) IOROMEN <= 0; 216 | else if (S==5 && !nIOSEL) IOROMEN <= 1; 217 | end 218 | 219 | /* DEVSEL register enable */ 220 | reg REGEN = 0; // Register enable 221 | always @(posedge C7M, negedge nRESr) begin 222 | if (!nRESr) REGEN <= 0; 223 | else if (S==5 && !nIOSEL) REGEN <= 1; 224 | end 225 | 226 | /* Increment Control */ 227 | reg IncAddrL, IncAddrM, IncAddrH; 228 | always @(posedge C7M, negedge nRESr) begin 229 | if (!nRESr) begin 230 | Addr <= 0; 231 | Bank <= 0; 232 | IncAddrL <= 0; 233 | IncAddrM <= 0; 234 | IncAddrH <= 0; 235 | end else begin 236 | // Increment address register 237 | if (S==1 && IncAddrL) begin 238 | IncAddrL <= 0; 239 | Addr[7:0] <= Addr[7:0]+8'h1; 240 | IncAddrM <= Addr[7:0] == 8'hFF; 241 | end else if (S==2 && IncAddrM) begin 242 | IncAddrM <= 0; 243 | Addr[15:8] <= Addr[15:8]+8'h1; 244 | IncAddrH <= Addr[15:8] == 8'hFF; 245 | end else if (S==3 && IncAddrH) begin 246 | IncAddrH <= 0; 247 | Addr[19:16] <= Addr[19:16]+4'h1; 248 | end else if (S==5) begin // Set register at end of S5 if accessed. 249 | if (BankWR) Bank[7:0] <= D[7:0]; 250 | 251 | IncAddrL <= RAMSEL_BUF; 252 | IncAddrM <= AddrLWR && Addr[7] && ~D[7]; 253 | IncAddrH <= AddrMWR && Addr[15] && ~D[7]; 254 | 255 | if (AddrHWR) Addr[19:16] <= D[3:0]; // Addr hi 256 | if (AddrMWR) Addr[15:8] <= D[7:0]; // Addr mid 257 | if (AddrLWR) Addr[7:0] <= D[7:0]; // Addr lo 258 | end 259 | end 260 | end 261 | endmodule 262 | -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.asm.rpt: -------------------------------------------------------------------------------- 1 | Assembler report for TimeDisk 2 | Tue May 14 02:45:17 2024 3 | Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 4 | 5 | 6 | --------------------- 7 | ; Table of Contents ; 8 | --------------------- 9 | 1. Legal Notice 10 | 2. Assembler Summary 11 | 3. Assembler Settings 12 | 4. Assembler Generated Files 13 | 5. Assembler Device Options: /Repos/TimeDisk/cpld/output_files/TimeDisk.pof 14 | 6. Assembler Messages 15 | 16 | 17 | 18 | ---------------- 19 | ; Legal Notice ; 20 | ---------------- 21 | Copyright (C) 1991-2013 Altera Corporation 22 | Your use of Altera Corporation's design tools, logic functions 23 | and other software and tools, and its AMPP partner logic 24 | functions, and any output files from any of the foregoing 25 | (including device programming or simulation files), and any 26 | associated documentation or information are expressly subject 27 | to the terms and conditions of the Altera Program License 28 | Subscription Agreement, Altera MegaCore Function License 29 | Agreement, or other applicable license agreement, including, 30 | without limitation, that your use is for the sole purpose of 31 | programming logic devices manufactured by Altera and sold by 32 | Altera or its authorized distributors. Please refer to the 33 | applicable agreement for further details. 34 | 35 | 36 | 37 | +---------------------------------------------------------------+ 38 | ; Assembler Summary ; 39 | +-----------------------+---------------------------------------+ 40 | ; Assembler Status ; Successful - Tue May 14 02:45:17 2024 ; 41 | ; Revision Name ; TimeDisk ; 42 | ; Top-level Entity Name ; TimeDisk ; 43 | ; Family ; MAX7000S ; 44 | ; Device ; EPM7128SLC84-15 ; 45 | +-----------------------+---------------------------------------+ 46 | 47 | 48 | +--------------------------------------------------------------------------------------------------------+ 49 | ; Assembler Settings ; 50 | +-----------------------------------------------------------------------------+----------+---------------+ 51 | ; Option ; Setting ; Default Value ; 52 | +-----------------------------------------------------------------------------+----------+---------------+ 53 | ; Use smart compilation ; Off ; Off ; 54 | ; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; 55 | ; Enable compact report table ; Off ; Off ; 56 | ; Compression mode ; Off ; Off ; 57 | ; Clock source for configuration device ; Internal ; Internal ; 58 | ; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; 59 | ; Divide clock frequency by ; 1 ; 1 ; 60 | ; Auto user code ; Off ; Off ; 61 | ; Security bit ; Off ; Off ; 62 | ; Use configuration device ; On ; On ; 63 | ; Configuration device auto user code ; Off ; Off ; 64 | ; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; 65 | ; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; 66 | ; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; 67 | ; Hexadecimal Output File start address ; 0 ; 0 ; 68 | ; Hexadecimal Output File count direction ; Up ; Up ; 69 | ; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; 70 | ; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; 71 | ; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; 72 | ; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; 73 | +-----------------------------------------------------------------------------+----------+---------------+ 74 | 75 | 76 | +------------------------------------------------+ 77 | ; Assembler Generated Files ; 78 | +------------------------------------------------+ 79 | ; File Name ; 80 | +------------------------------------------------+ 81 | ; /Repos/TimeDisk/cpld/output_files/TimeDisk.pof ; 82 | +------------------------------------------------+ 83 | 84 | 85 | +--------------------------------------------------------------------------+ 86 | ; Assembler Device Options: /Repos/TimeDisk/cpld/output_files/TimeDisk.pof ; 87 | +----------------+---------------------------------------------------------+ 88 | ; Option ; Setting ; 89 | +----------------+---------------------------------------------------------+ 90 | ; Device ; EPM7128SLC84-15 ; 91 | ; JTAG usercode ; 0x00000000 ; 92 | ; Checksum ; 0x001A0EB3 ; 93 | +----------------+---------------------------------------------------------+ 94 | 95 | 96 | +--------------------+ 97 | ; Assembler Messages ; 98 | +--------------------+ 99 | Info: ******************************************************************* 100 | Info: Running Quartus II 64-Bit Assembler 101 | Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 102 | Info: Processing started: Tue May 14 02:45:16 2024 103 | Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off TimeDisk -c TimeDisk 104 | Info (115030): Assembler is generating device programming files 105 | Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings 106 | Info: Peak virtual memory: 12881 megabytes 107 | Info: Processing ended: Tue May 14 02:45:17 2024 108 | Info: Elapsed time: 00:00:01 109 | Info: Total CPU time (on all processors): 00:00:01 110 | 111 | 112 | -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.done: -------------------------------------------------------------------------------- 1 | Tue May 14 02:45:21 2024 2 | -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.fit.summary: -------------------------------------------------------------------------------- 1 | Fitter Status : Successful - Tue May 14 02:45:15 2024 2 | Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition 3 | Revision Name : TimeDisk 4 | Top-level Entity Name : TimeDisk 5 | Family : MAX7000S 6 | Device : EPM7128SLC84-15 7 | Timing Models : Final 8 | Total macrocells : 88 / 128 ( 69 % ) 9 | Total pins : 68 / 68 ( 100 % ) 10 | -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.flow.rpt: -------------------------------------------------------------------------------- 1 | Flow report for TimeDisk 2 | Tue May 14 02:45:20 2024 3 | Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 4 | 5 | 6 | --------------------- 7 | ; Table of Contents ; 8 | --------------------- 9 | 1. Legal Notice 10 | 2. Flow Summary 11 | 3. Flow Settings 12 | 4. Flow Non-Default Global Settings 13 | 5. Flow Elapsed Time 14 | 6. Flow OS Summary 15 | 7. Flow Log 16 | 8. Flow Messages 17 | 9. Flow Suppressed Messages 18 | 19 | 20 | 21 | ---------------- 22 | ; Legal Notice ; 23 | ---------------- 24 | Copyright (C) 1991-2013 Altera Corporation 25 | Your use of Altera Corporation's design tools, logic functions 26 | and other software and tools, and its AMPP partner logic 27 | functions, and any output files from any of the foregoing 28 | (including device programming or simulation files), and any 29 | associated documentation or information are expressly subject 30 | to the terms and conditions of the Altera Program License 31 | Subscription Agreement, Altera MegaCore Function License 32 | Agreement, or other applicable license agreement, including, 33 | without limitation, that your use is for the sole purpose of 34 | programming logic devices manufactured by Altera and sold by 35 | Altera or its authorized distributors. Please refer to the 36 | applicable agreement for further details. 37 | 38 | 39 | 40 | +-----------------------------------------------------------------------------+ 41 | ; Flow Summary ; 42 | +---------------------------+-------------------------------------------------+ 43 | ; Flow Status ; Successful - Tue May 14 02:45:17 2024 ; 44 | ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; 45 | ; Revision Name ; TimeDisk ; 46 | ; Top-level Entity Name ; TimeDisk ; 47 | ; Family ; MAX7000S ; 48 | ; Device ; EPM7128SLC84-15 ; 49 | ; Timing Models ; Final ; 50 | ; Total macrocells ; 88 / 128 ( 69 % ) ; 51 | ; Total pins ; 68 / 68 ( 100 % ) ; 52 | +---------------------------+-------------------------------------------------+ 53 | 54 | 55 | +-----------------------------------------+ 56 | ; Flow Settings ; 57 | +-------------------+---------------------+ 58 | ; Option ; Setting ; 59 | +-------------------+---------------------+ 60 | ; Start date & time ; 05/14/2024 02:45:11 ; 61 | ; Main task ; Compilation ; 62 | ; Revision Name ; TimeDisk ; 63 | +-------------------+---------------------+ 64 | 65 | 66 | +---------------------------------------------------------------------------------------------------------+ 67 | ; Flow Non-Default Global Settings ; 68 | +-------------------------------+------------------------------+---------------+-------------+------------+ 69 | ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; 70 | +-------------------------------+------------------------------+---------------+-------------+------------+ 71 | ; AUTO_TURBO_BIT ; Off ; On ; -- ; -- ; 72 | ; COMPILER_SIGNATURE_ID ; 121380219419.171566911109352 ; -- ; -- ; -- ; 73 | ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; 74 | ; MAX7000_IGNORE_LCELL_BUFFERS ; Off ; Auto ; -- ; -- ; 75 | ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; 76 | ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; 77 | ; OPTIMIZE_HOLD_TIMING ; Off ; -- ; -- ; -- ; 78 | ; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; 79 | ; SLOW_SLEW_RATE ; On ; Off ; -- ; -- ; 80 | ; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; 81 | +-------------------------------+------------------------------+---------------+-------------+------------+ 82 | 83 | 84 | +-------------------------------------------------------------------------------------------------------------------------------+ 85 | ; Flow Elapsed Time ; 86 | +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ 87 | ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; 88 | +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ 89 | ; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 12958 MB ; 00:00:02 ; 90 | ; Fitter ; 00:00:01 ; 1.0 ; 13053 MB ; 00:00:01 ; 91 | ; Assembler ; 00:00:01 ; 1.0 ; 12881 MB ; 00:00:01 ; 92 | ; TimeQuest Timing Analyzer ; 00:00:02 ; 1.0 ; 12882 MB ; 00:00:01 ; 93 | ; Total ; 00:00:06 ; -- ; -- ; 00:00:05 ; 94 | +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ 95 | 96 | 97 | +----------------------------------------------------------------------------------------+ 98 | ; Flow OS Summary ; 99 | +---------------------------+------------------+-----------+------------+----------------+ 100 | ; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; 101 | +---------------------------+------------------+-----------+------------+----------------+ 102 | ; Analysis & Synthesis ; ZaneMacWin11 ; Windows 7 ; 6.2 ; x86_64 ; 103 | ; Fitter ; ZaneMacWin11 ; Windows 7 ; 6.2 ; x86_64 ; 104 | ; Assembler ; ZaneMacWin11 ; Windows 7 ; 6.2 ; x86_64 ; 105 | ; TimeQuest Timing Analyzer ; ZaneMacWin11 ; Windows 7 ; 6.2 ; x86_64 ; 106 | +---------------------------+------------------+-----------+------------+----------------+ 107 | 108 | 109 | ------------ 110 | ; Flow Log ; 111 | ------------ 112 | quartus_map --read_settings_files=on --write_settings_files=off TimeDisk -c TimeDisk 113 | quartus_fit --read_settings_files=off --write_settings_files=off TimeDisk -c TimeDisk 114 | quartus_asm --read_settings_files=off --write_settings_files=off TimeDisk -c TimeDisk 115 | quartus_sta TimeDisk -c TimeDisk 116 | 117 | 118 | 119 | -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.jdi: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.map.rpt: -------------------------------------------------------------------------------- 1 | Analysis & Synthesis report for TimeDisk 2 | Tue May 14 02:45:13 2024 3 | Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 4 | 5 | 6 | --------------------- 7 | ; Table of Contents ; 8 | --------------------- 9 | 1. Legal Notice 10 | 2. Analysis & Synthesis Summary 11 | 3. Analysis & Synthesis Settings 12 | 4. Parallel Compilation 13 | 5. Analysis & Synthesis Source Files Read 14 | 6. Analysis & Synthesis Resource Usage Summary 15 | 7. Analysis & Synthesis Resource Utilization by Entity 16 | 8. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add2 17 | 9. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add1 18 | 10. Analysis & Synthesis Messages 19 | 11. Analysis & Synthesis Suppressed Messages 20 | 21 | 22 | 23 | ---------------- 24 | ; Legal Notice ; 25 | ---------------- 26 | Copyright (C) 1991-2013 Altera Corporation 27 | Your use of Altera Corporation's design tools, logic functions 28 | and other software and tools, and its AMPP partner logic 29 | functions, and any output files from any of the foregoing 30 | (including device programming or simulation files), and any 31 | associated documentation or information are expressly subject 32 | to the terms and conditions of the Altera Program License 33 | Subscription Agreement, Altera MegaCore Function License 34 | Agreement, or other applicable license agreement, including, 35 | without limitation, that your use is for the sole purpose of 36 | programming logic devices manufactured by Altera and sold by 37 | Altera or its authorized distributors. Please refer to the 38 | applicable agreement for further details. 39 | 40 | 41 | 42 | +-------------------------------------------------------------------------------+ 43 | ; Analysis & Synthesis Summary ; 44 | +-----------------------------+-------------------------------------------------+ 45 | ; Analysis & Synthesis Status ; Successful - Tue May 14 02:45:13 2024 ; 46 | ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; 47 | ; Revision Name ; TimeDisk ; 48 | ; Top-level Entity Name ; TimeDisk ; 49 | ; Family ; MAX7000S ; 50 | ; Total macrocells ; 87 ; 51 | ; Total pins ; 64 ; 52 | +-----------------------------+-------------------------------------------------+ 53 | 54 | 55 | +--------------------------------------------------------------------------------------------------------------+ 56 | ; Analysis & Synthesis Settings ; 57 | +----------------------------------------------------------------------------+-----------------+---------------+ 58 | ; Option ; Setting ; Default Value ; 59 | +----------------------------------------------------------------------------+-----------------+---------------+ 60 | ; Device ; EPM7128SLC84-15 ; ; 61 | ; Top-level entity name ; TimeDisk ; TimeDisk ; 62 | ; Family name ; MAX7000S ; Cyclone IV GX ; 63 | ; Ignore LCELL Buffers ; Off ; Auto ; 64 | ; Use smart compilation ; Off ; Off ; 65 | ; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; 66 | ; Enable compact report table ; Off ; Off ; 67 | ; Create Debugging Nodes for IP Cores ; Off ; Off ; 68 | ; Preserve fewer node names ; On ; On ; 69 | ; Disable OpenCore Plus hardware evaluation ; Off ; Off ; 70 | ; Verilog Version ; Verilog_2001 ; Verilog_2001 ; 71 | ; VHDL Version ; VHDL_1993 ; VHDL_1993 ; 72 | ; State Machine Processing ; Auto ; Auto ; 73 | ; Safe State Machine ; Off ; Off ; 74 | ; Extract Verilog State Machines ; On ; On ; 75 | ; Extract VHDL State Machines ; On ; On ; 76 | ; Ignore Verilog initial constructs ; Off ; Off ; 77 | ; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; 78 | ; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; 79 | ; Add Pass-Through Logic to Inferred RAMs ; On ; On ; 80 | ; Infer RAMs from Raw Logic ; On ; On ; 81 | ; Parallel Synthesis ; On ; On ; 82 | ; NOT Gate Push-Back ; On ; On ; 83 | ; Power-Up Don't Care ; On ; On ; 84 | ; Remove Duplicate Registers ; On ; On ; 85 | ; Ignore CARRY Buffers ; Off ; Off ; 86 | ; Ignore CASCADE Buffers ; Off ; Off ; 87 | ; Ignore GLOBAL Buffers ; Off ; Off ; 88 | ; Ignore ROW GLOBAL Buffers ; Off ; Off ; 89 | ; Ignore SOFT Buffers ; Off ; Off ; 90 | ; Limit AHDL Integers to 32 Bits ; Off ; Off ; 91 | ; Optimization Technique ; Speed ; Speed ; 92 | ; Allow XOR Gate Usage ; On ; On ; 93 | ; Auto Logic Cell Insertion ; On ; On ; 94 | ; Parallel Expander Chain Length ; 4 ; 4 ; 95 | ; Auto Parallel Expanders ; On ; On ; 96 | ; Auto Open-Drain Pins ; On ; On ; 97 | ; Auto Resource Sharing ; Off ; Off ; 98 | ; Maximum Fan-in Per Macrocell ; 100 ; 100 ; 99 | ; Use LogicLock Constraints during Resource Balancing ; On ; On ; 100 | ; Ignore translate_off and synthesis_off directives ; Off ; Off ; 101 | ; Report Parameter Settings ; On ; On ; 102 | ; Report Source Assignments ; On ; On ; 103 | ; Report Connectivity Checks ; On ; On ; 104 | ; HDL message level ; Level2 ; Level2 ; 105 | ; Suppress Register Optimization Related Messages ; Off ; Off ; 106 | ; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; 107 | ; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; 108 | ; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; 109 | ; Block Design Naming ; Auto ; Auto ; 110 | ; Synthesis Effort ; Auto ; Auto ; 111 | ; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; 112 | ; Pre-Mapping Resynthesis Optimization ; Off ; Off ; 113 | ; Analysis & Synthesis Message Level ; Medium ; Medium ; 114 | ; Disable Register Merging Across Hierarchies ; Auto ; Auto ; 115 | ; Synthesis Seed ; 1 ; 1 ; 116 | +----------------------------------------------------------------------------+-----------------+---------------+ 117 | 118 | 119 | Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. 120 | +-------------------------------------+ 121 | ; Parallel Compilation ; 122 | +----------------------------+--------+ 123 | ; Processors ; Number ; 124 | +----------------------------+--------+ 125 | ; Number detected on machine ; 4 ; 126 | ; Maximum allowed ; 1 ; 127 | +----------------------------+--------+ 128 | 129 | 130 | +-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ 131 | ; Analysis & Synthesis Source Files Read ; 132 | +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ 133 | ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; 134 | +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ 135 | ; TimeDisk.v ; yes ; User Verilog HDL File ; //Mac/iCloud/Repos/TimeDisk/cpld/TimeDisk.v ; ; 136 | ; lpm_add_sub.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf ; ; 137 | ; addcore.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.inc ; ; 138 | ; look_add.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/look_add.inc ; ; 139 | ; bypassff.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/bypassff.inc ; ; 140 | ; altshift.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/altshift.inc ; ; 141 | ; alt_stratix_add_sub.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/alt_stratix_add_sub.inc ; ; 142 | ; aglobal130.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/aglobal130.inc ; ; 143 | ; addcore.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf ; ; 144 | ; a_csnbuffer.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/a_csnbuffer.inc ; ; 145 | ; a_csnbuffer.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/a_csnbuffer.tdf ; ; 146 | ; look_add.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/look_add.tdf ; ; 147 | ; altshift.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/altshift.tdf ; ; 148 | +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ 149 | 150 | 151 | +---------------------------------------------+ 152 | ; Analysis & Synthesis Resource Usage Summary ; 153 | +----------------------+----------------------+ 154 | ; Resource ; Usage ; 155 | +----------------------+----------------------+ 156 | ; Logic cells ; 87 ; 157 | ; Total registers ; 45 ; 158 | ; I/O pins ; 64 ; 159 | ; Shareable expanders ; 1 ; 160 | ; Parallel expanders ; 7 ; 161 | ; Maximum fan-out node ; S[2] ; 162 | ; Maximum fan-out ; 45 ; 163 | ; Total fan-out ; 737 ; 164 | ; Average fan-out ; 4.85 ; 165 | +----------------------+----------------------+ 166 | 167 | 168 | +-------------------------------------------------------------------------------------+ 169 | ; Analysis & Synthesis Resource Utilization by Entity ; 170 | +----------------------------+------------+------+---------------------+--------------+ 171 | ; Compilation Hierarchy Node ; Macrocells ; Pins ; Full Hierarchy Name ; Library Name ; 172 | +----------------------------+------------+------+---------------------+--------------+ 173 | ; |TimeDisk ; 87 ; 64 ; |TimeDisk ; work ; 174 | +----------------------------+------------+------+---------------------+--------------+ 175 | 176 | 177 | +-------------------------------------------------------------------+ 178 | ; Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add2 ; 179 | +------------------------+-------------+----------------------------+ 180 | ; Parameter Name ; Value ; Type ; 181 | +------------------------+-------------+----------------------------+ 182 | ; LPM_WIDTH ; 8 ; Untyped ; 183 | ; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; 184 | ; LPM_DIRECTION ; ADD ; Untyped ; 185 | ; ONE_INPUT_IS_CONSTANT ; YES ; Untyped ; 186 | ; LPM_PIPELINE ; 0 ; Untyped ; 187 | ; MAXIMIZE_SPEED ; 5 ; Untyped ; 188 | ; REGISTERED_AT_END ; 0 ; Untyped ; 189 | ; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; 190 | ; USE_CS_BUFFERS ; 1 ; Untyped ; 191 | ; CARRY_CHAIN ; MANUAL ; Untyped ; 192 | ; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; 193 | ; DEVICE_FAMILY ; MAX7000S ; Untyped ; 194 | ; USE_WYS ; OFF ; Untyped ; 195 | ; STYLE ; FAST ; Untyped ; 196 | ; CBXI_PARAMETER ; add_sub_rnh ; Untyped ; 197 | ; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; 198 | ; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; 199 | ; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; 200 | ; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; 201 | +------------------------+-------------+----------------------------+ 202 | Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". 203 | 204 | 205 | +-------------------------------------------------------------------+ 206 | ; Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add1 ; 207 | +------------------------+-------------+----------------------------+ 208 | ; Parameter Name ; Value ; Type ; 209 | +------------------------+-------------+----------------------------+ 210 | ; LPM_WIDTH ; 8 ; Untyped ; 211 | ; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; 212 | ; LPM_DIRECTION ; ADD ; Untyped ; 213 | ; ONE_INPUT_IS_CONSTANT ; YES ; Untyped ; 214 | ; LPM_PIPELINE ; 0 ; Untyped ; 215 | ; MAXIMIZE_SPEED ; 5 ; Untyped ; 216 | ; REGISTERED_AT_END ; 0 ; Untyped ; 217 | ; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; 218 | ; USE_CS_BUFFERS ; 1 ; Untyped ; 219 | ; CARRY_CHAIN ; MANUAL ; Untyped ; 220 | ; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; 221 | ; DEVICE_FAMILY ; MAX7000S ; Untyped ; 222 | ; USE_WYS ; OFF ; Untyped ; 223 | ; STYLE ; FAST ; Untyped ; 224 | ; CBXI_PARAMETER ; add_sub_rnh ; Untyped ; 225 | ; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; 226 | ; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; 227 | ; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; 228 | ; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; 229 | +------------------------+-------------+----------------------------+ 230 | Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". 231 | 232 | 233 | +-------------------------------+ 234 | ; Analysis & Synthesis Messages ; 235 | +-------------------------------+ 236 | Info: ******************************************************************* 237 | Info: Running Quartus II 64-Bit Analysis & Synthesis 238 | Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 239 | Info: Processing started: Tue May 14 02:45:11 2024 240 | Info: Command: quartus_map --read_settings_files=on --write_settings_files=off TimeDisk -c TimeDisk 241 | Warning (20028): Parallel compilation is not licensed and has been disabled 242 | Info (12021): Found 1 design units, including 1 entities, in source file timedisk.v 243 | Info (12023): Found entity 1: TimeDisk 244 | Info (12127): Elaborating entity "TimeDisk" for the top level hierarchy 245 | Warning (10036): Verilog HDL or VHDL warning at TimeDisk.v(48): object "LongCycle" assigned a value but never read 246 | Warning (10036): Verilog HDL or VHDL warning at TimeDisk.v(165): object "SigSEL" assigned a value but never read 247 | Info (278001): Inferred 2 megafunctions from design logic 248 | Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add2" 249 | Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add1" 250 | Info (12130): Elaborated megafunction instantiation "lpm_add_sub:Add2" 251 | Info (12133): Instantiated megafunction "lpm_add_sub:Add2" with the following parameter: 252 | Info (12134): Parameter "LPM_WIDTH" = "8" 253 | Info (12134): Parameter "LPM_DIRECTION" = "ADD" 254 | Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED" 255 | Info (12134): Parameter "ONE_INPUT_IS_CONSTANT" = "YES" 256 | Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add2|addcore:adder[0]", which is child of megafunction instantiation "lpm_add_sub:Add2" 257 | Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add2|addcore:adder[0]|a_csnbuffer:oflow_node", which is child of megafunction instantiation "lpm_add_sub:Add2" 258 | Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add2|addcore:adder[0]|a_csnbuffer:result_node", which is child of megafunction instantiation "lpm_add_sub:Add2" 259 | Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add2|look_add:look_ahead_unit", which is child of megafunction instantiation "lpm_add_sub:Add2" 260 | Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add2|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add2" 261 | Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add2|altshift:carry_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add2" 262 | Info (13014): Ignored 16 buffer(s) 263 | Info (13019): Ignored 16 SOFT buffer(s) 264 | Info (280013): Promoted pin-driven signal(s) to global signal 265 | Info (280014): Promoted clock signal driven by pin "C7M" to global clock signal 266 | Warning (21074): Design contains 6 input pin(s) that do not drive logic 267 | Warning (15610): No output dependent on input pin "A[11]" 268 | Warning (15610): No output dependent on input pin "A[12]" 269 | Warning (15610): No output dependent on input pin "A[13]" 270 | Warning (15610): No output dependent on input pin "A[14]" 271 | Warning (15610): No output dependent on input pin "A[15]" 272 | Warning (15610): No output dependent on input pin "nINH" 273 | Info (21057): Implemented 152 device resources after synthesis - the final resource count might be different 274 | Info (21058): Implemented 25 input pins 275 | Info (21059): Implemented 22 output pins 276 | Info (21060): Implemented 17 bidirectional pins 277 | Info (21063): Implemented 87 macrocells 278 | Info (21073): Implemented 1 shareable expanders 279 | Info (144001): Generated suppressed messages file /Repos/TimeDisk/cpld/output_files/TimeDisk.map.smsg 280 | Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 10 warnings 281 | Info: Peak virtual memory: 12958 megabytes 282 | Info: Processing ended: Tue May 14 02:45:13 2024 283 | Info: Elapsed time: 00:00:02 284 | Info: Total CPU time (on all processors): 00:00:02 285 | 286 | 287 | +------------------------------------------+ 288 | ; Analysis & Synthesis Suppressed Messages ; 289 | +------------------------------------------+ 290 | The suppressed messages can be found in /Repos/TimeDisk/cpld/output_files/TimeDisk.map.smsg. 291 | 292 | 293 | -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.map.smsg: -------------------------------------------------------------------------------- 1 | Warning (10273): Verilog HDL warning at TimeDisk.v(175): extended using "x" or "z" 2 | Warning (10273): Verilog HDL warning at TimeDisk.v(190): extended using "x" or "z" 3 | -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.map.summary: -------------------------------------------------------------------------------- 1 | Analysis & Synthesis Status : Successful - Tue May 14 02:45:13 2024 2 | Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition 3 | Revision Name : TimeDisk 4 | Top-level Entity Name : TimeDisk 5 | Family : MAX7000S 6 | Total macrocells : 87 7 | Total pins : 64 8 | -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.pin: -------------------------------------------------------------------------------- 1 | -- Copyright (C) 1991-2013 Altera Corporation 2 | -- Your use of Altera Corporation's design tools, logic functions 3 | -- and other software and tools, and its AMPP partner logic 4 | -- functions, and any output files from any of the foregoing 5 | -- (including device programming or simulation files), and any 6 | -- associated documentation or information are expressly subject 7 | -- to the terms and conditions of the Altera Program License 8 | -- Subscription Agreement, Altera MegaCore Function License 9 | -- Agreement, or other applicable license agreement, including, 10 | -- without limitation, that your use is for the sole purpose of 11 | -- programming logic devices manufactured by Altera and sold by 12 | -- Altera or its authorized distributors. Please refer to the 13 | -- applicable agreement for further details. 14 | -- 15 | -- This is a Quartus II output file. It is for reporting purposes only, and is 16 | -- not intended for use as a Quartus II input file. This file cannot be used 17 | -- to make Quartus II pin assignments - for instructions on how to make pin 18 | -- assignments, please see Quartus II help. 19 | --------------------------------------------------------------------------------- 20 | 21 | 22 | 23 | --------------------------------------------------------------------------------- 24 | -- NC : No Connect. This pin has no internal connection to the device. 25 | -- DNU : Do Not Use. This pin MUST NOT be connected. 26 | -- VCC : Dedicated power pin, which MUST be connected to VCC. 27 | -- VCCIO : Dedicated power pin, which MUST be connected to VCC 28 | -- of its bank. 29 | -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. 30 | -- It can also be used to report unused dedicated pins. The connection 31 | -- on the board for unused dedicated pins depends on whether this will 32 | -- be used in a future design. One example is device migration. When 33 | -- using device migration, refer to the device pin-tables. If it is a 34 | -- GND pin in the pin table or if it will not be used in a future design 35 | -- for another purpose the it MUST be connected to GND. If it is an unused 36 | -- dedicated pin, then it can be connected to a valid signal on the board 37 | -- (low, high, or toggling) if that signal is required for a different 38 | -- revision of the design. 39 | -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. 40 | -- This pin should be connected to GND. It may also be connected to a 41 | -- valid signal on the board (low, high, or toggling) if that signal 42 | -- is required for a different revision of the design. 43 | -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND 44 | -- or leave it unconnected. 45 | -- RESERVED : Unused I/O pin, which MUST be left unconnected. 46 | -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. 47 | -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. 48 | -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. 49 | -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. 50 | -- NON_MIGRATABLE: This pin cannot be migrated. 51 | --------------------------------------------------------------------------------- 52 | 53 | 54 | 55 | --------------------------------------------------------------------------------- 56 | -- Pin directions (input, output or bidir) are based on device operating in user mode. 57 | --------------------------------------------------------------------------------- 58 | 59 | Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 60 | CHIP "TimeDisk" ASSIGNED TO AN: EPM7128SLC84-15 61 | 62 | Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment 63 | ------------------------------------------------------------------------------------------------------------- 64 | nRES : 1 : input : TTL : : : Y 65 | PHI1 : 2 : input : TTL : : : Y 66 | VCCINT : 3 : power : : 5.0V : : 67 | D[6] : 4 : bidir : TTL : : : Y 68 | D[7] : 5 : bidir : TTL : : : Y 69 | A[13] : 6 : input : TTL : : : Y 70 | GND : 7 : gnd : : : : 71 | A[14] : 8 : input : TTL : : : Y 72 | A[15] : 9 : input : TTL : : : Y 73 | nWE : 10 : input : TTL : : : Y 74 | nDEVSEL : 11 : input : TTL : : : Y 75 | RAH[18] : 12 : output : TTL : : : Y 76 | VCCIO : 13 : power : : 5.0V : : 77 | TDI : 14 : input : TTL : : : N 78 | nINH : 15 : input : TTL : : : Y 79 | nIOSTRB : 16 : input : TTL : : : Y 80 | nROMCS : 17 : output : TTL : : : Y 81 | nIOSEL : 18 : input : TTL : : : Y 82 | GND : 19 : gnd : : : : 83 | nRAMROMCS : 20 : output : TTL : : : Y 84 | A[10] : 21 : input : TTL : : : Y 85 | A[0] : 22 : input : TTL : : : Y 86 | TMS : 23 : input : TTL : : : N 87 | A[1] : 24 : input : TTL : : : Y 88 | A[2] : 25 : input : TTL : : : Y 89 | VCCIO : 26 : power : : 5.0V : : 90 | A[3] : 27 : input : TTL : : : Y 91 | A[4] : 28 : input : TTL : : : Y 92 | A[5] : 29 : input : TTL : : : Y 93 | A[6] : 30 : input : TTL : : : Y 94 | A[7] : 31 : input : TTL : : : Y 95 | GND : 32 : gnd : : : : 96 | RAMROMCSgb : 33 : input : TTL : : : Y 97 | RD[6] : 34 : bidir : TTL : : : Y 98 | RD[5] : 35 : bidir : TTL : : : Y 99 | RD[4] : 36 : bidir : TTL : : : Y 100 | RD[3] : 37 : bidir : TTL : : : Y 101 | VCCIO : 38 : power : : 5.0V : : 102 | RD[2] : 39 : bidir : TTL : : : Y 103 | RD[1] : 40 : bidir : TTL : : : Y 104 | RD[0] : 41 : bidir : TTL : : : Y 105 | GND : 42 : gnd : : : : 106 | VCCINT : 43 : power : : 5.0V : : 107 | RD[7] : 44 : bidir : TTL : : : Y 108 | RAMCS : 45 : output : TTL : : : Y 109 | RAH[15] : 46 : output : TTL : : : Y 110 | GND : 47 : gnd : : : : 111 | RAL[5] : 48 : output : TTL : : : Y 112 | RAL[7] : 49 : output : TTL : : : Y 113 | RAL[9] : 50 : output : TTL : : : Y 114 | RA11 : 51 : bidir : TTL : : : Y 115 | nIRQ : 52 : output : TTL : : : Y 116 | VCCIO : 53 : power : : 5.0V : : 117 | RAL[1] : 54 : output : TTL : : : Y 118 | RAL[2] : 55 : output : TTL : : : Y 119 | RAL[10] : 56 : output : TTL : : : Y 120 | RAL[8] : 57 : output : TTL : : : Y 121 | RAL[6] : 58 : output : TTL : : : Y 122 | GND : 59 : gnd : : : : 123 | RAH[12] : 60 : output : TTL : : : Y 124 | RAH[16] : 61 : output : TTL : : : Y 125 | TCK : 62 : input : TTL : : : N 126 | RAL[3] : 63 : output : TTL : : : Y 127 | RAL[4] : 64 : output : TTL : : : Y 128 | RAH[19] : 65 : output : TTL : : : Y 129 | VCCIO : 66 : power : : 5.0V : : 130 | RAH[14] : 67 : output : TTL : : : Y 131 | RAH[17] : 68 : output : TTL : : : Y 132 | RAH[13] : 69 : output : TTL : : : Y 133 | A[8] : 70 : input : TTL : : : Y 134 | TDO : 71 : output : TTL : : : N 135 | GND : 72 : gnd : : : : 136 | A[9] : 73 : input : TTL : : : Y 137 | A[11] : 74 : input : TTL : : : Y 138 | D[0] : 75 : bidir : TTL : : : Y 139 | D[1] : 76 : bidir : TTL : : : Y 140 | D[2] : 77 : bidir : TTL : : : Y 141 | VCCIO : 78 : power : : 5.0V : : 142 | D[3] : 79 : bidir : TTL : : : Y 143 | D[4] : 80 : bidir : TTL : : : Y 144 | D[5] : 81 : bidir : TTL : : : Y 145 | GND : 82 : gnd : : : : 146 | C7M : 83 : input : TTL : : : Y 147 | A[12] : 84 : input : TTL : : : Y 148 | -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/garrettsworkshop/TimeDisk/a0ab05460df5037b873761b13c9bc0224982cf84/cpld/output_files/TimeDisk.pof -------------------------------------------------------------------------------- /cpld/output_files/TimeDisk.sta.summary: -------------------------------------------------------------------------------- 1 | ------------------------------------------------------------ 2 | TimeQuest Timing Analyzer Summary 3 | ------------------------------------------------------------ 4 | 5 | Type : Setup 'C7M' 6 | Slack : -47.000 7 | TNS : -1557.500 8 | 9 | Type : Hold 'C7M' 10 | Slack : 5.000 11 | TNS : 0.000 12 | 13 | Type : Recovery 'C7M' 14 | Slack : -51.500 15 | TNS : -995.500 16 | 17 | Type : Removal 'C7M' 18 | Slack : 22.500 19 | TNS : 0.000 20 | 21 | Type : Minimum Pulse Width 'C7M' 22 | Slack : -4.500 23 | TNS : -405.000 24 | 25 | ------------------------------------------------------------ 26 | -------------------------------------------------------------------------------- /fp-lib-table: -------------------------------------------------------------------------------- 1 | (fp_lib_table 2 | (version 7) 3 | (lib (name "stdpads")(type "KiCad")(uri "${KIPRJMOD}/../stdpads.pretty")(options "")(descr "")) 4 | ) 5 | -------------------------------------------------------------------------------- /gerber/TimeDisk-B_Mask.gbs: -------------------------------------------------------------------------------- 1 | G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* 2 | G04 #@! TF.CreationDate,2024-04-24T04:09:30-04:00* 3 | G04 #@! TF.ProjectId,TimeDisk,54696d65-4469-4736-9b2e-6b696361645f,1.0* 4 | G04 #@! TF.SameCoordinates,Original* 5 | G04 #@! TF.FileFunction,Soldermask,Bot* 6 | G04 #@! TF.FilePolarity,Negative* 7 | %FSLAX46Y46*% 8 | G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* 9 | G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:30* 10 | %MOMM*% 11 | %LPD*% 12 | G01* 13 | G04 APERTURE LIST* 14 | G04 APERTURE END LIST* 15 | G36* 16 | X178265999Y-131543771D02* 17 | G01* 18 | X178277712Y-131547869D01* 19 | X178288592Y-131549176D01* 20 | X178344857Y-131571364D01* 21 | X178394635Y-131588782D01* 22 | X178401071Y-131593532D01* 23 | X178404788Y-131594998D01* 24 | X178467758Y-131642749D01* 25 | X178504289Y-131669711D01* 26 | X178531259Y-131706253D01* 27 | X178579001Y-131769211D01* 28 | X178580466Y-131772926D01* 29 | X178585218Y-131779365D01* 30 | X178602642Y-131829162D01* 31 | X178624822Y-131885406D01* 32 | X178626127Y-131896280D01* 33 | X178630229Y-131908001D01* 34 | X178638200Y-131993000D01* 35 | X178638200Y-132042804D01* 36 | X178675396Y-132080000D01* 37 | X179464603Y-132080000D01* 38 | X179501800Y-132042803D01* 39 | X179501800Y-131996798D01* 40 | X179501800Y-131993000D01* 41 | X179509771Y-131908001D01* 42 | X179513869Y-131896288D01* 43 | X179515176Y-131885407D01* 44 | X179537367Y-131829133D01* 45 | X179554782Y-131779365D01* 46 | X179559531Y-131772929D01* 47 | X179560998Y-131769211D01* 48 | X179608777Y-131706204D01* 49 | X179635711Y-131669711D01* 50 | X179672204Y-131642777D01* 51 | X179735211Y-131594998D01* 52 | X179738929Y-131593531D01* 53 | X179745365Y-131588782D01* 54 | X179795140Y-131571365D01* 55 | X179851406Y-131549177D01* 56 | X179862284Y-131547870D01* 57 | X179874001Y-131543771D01* 58 | X179959000Y-131535800D01* 59 | X180721000Y-131535800D01* 60 | X180805999Y-131543771D01* 61 | X180817712Y-131547869D01* 62 | X180828592Y-131549176D01* 63 | X180884857Y-131571364D01* 64 | X180934635Y-131588782D01* 65 | X180941071Y-131593532D01* 66 | X180944788Y-131594998D01* 67 | X181007758Y-131642749D01* 68 | X181044289Y-131669711D01* 69 | X181071259Y-131706253D01* 70 | X181119001Y-131769211D01* 71 | X181120466Y-131772926D01* 72 | X181125218Y-131779365D01* 73 | X181142642Y-131829162D01* 74 | X181164822Y-131885406D01* 75 | X181166127Y-131896280D01* 76 | X181170229Y-131908001D01* 77 | X181178200Y-131993000D01* 78 | X181178200Y-132042804D01* 79 | X181215396Y-132080000D01* 80 | X182004603Y-132080000D01* 81 | X182041800Y-132042803D01* 82 | X182041800Y-131996798D01* 83 | X182041800Y-131993000D01* 84 | X182049771Y-131908001D01* 85 | X182053869Y-131896288D01* 86 | X182055176Y-131885407D01* 87 | X182077367Y-131829133D01* 88 | X182094782Y-131779365D01* 89 | X182099531Y-131772929D01* 90 | X182100998Y-131769211D01* 91 | X182148777Y-131706204D01* 92 | X182175711Y-131669711D01* 93 | X182212204Y-131642777D01* 94 | X182275211Y-131594998D01* 95 | X182278929Y-131593531D01* 96 | X182285365Y-131588782D01* 97 | X182335140Y-131571365D01* 98 | X182391406Y-131549177D01* 99 | X182402284Y-131547870D01* 100 | X182414001Y-131543771D01* 101 | X182499000Y-131535800D01* 102 | X183261000Y-131535800D01* 103 | X183345999Y-131543771D01* 104 | X183357712Y-131547869D01* 105 | X183368592Y-131549176D01* 106 | X183424857Y-131571364D01* 107 | X183474635Y-131588782D01* 108 | X183481071Y-131593532D01* 109 | X183484788Y-131594998D01* 110 | X183547758Y-131642749D01* 111 | X183584289Y-131669711D01* 112 | X183611259Y-131706253D01* 113 | X183659001Y-131769211D01* 114 | X183660466Y-131772926D01* 115 | X183665218Y-131779365D01* 116 | X183682642Y-131829162D01* 117 | X183704822Y-131885406D01* 118 | X183706127Y-131896280D01* 119 | X183710229Y-131908001D01* 120 | X183718200Y-131993000D01* 121 | X183718200Y-132042804D01* 122 | X183755396Y-132080000D01* 123 | X184544603Y-132080000D01* 124 | X184581800Y-132042803D01* 125 | X184581800Y-131996798D01* 126 | X184581800Y-131993000D01* 127 | X184589771Y-131908001D01* 128 | X184593869Y-131896288D01* 129 | X184595176Y-131885407D01* 130 | X184617367Y-131829133D01* 131 | X184634782Y-131779365D01* 132 | X184639531Y-131772929D01* 133 | X184640998Y-131769211D01* 134 | X184688777Y-131706204D01* 135 | X184715711Y-131669711D01* 136 | X184752204Y-131642777D01* 137 | X184815211Y-131594998D01* 138 | X184818929Y-131593531D01* 139 | X184825365Y-131588782D01* 140 | X184875140Y-131571365D01* 141 | X184931406Y-131549177D01* 142 | X184942284Y-131547870D01* 143 | X184954001Y-131543771D01* 144 | X185039000Y-131535800D01* 145 | X185801000Y-131535800D01* 146 | X185885999Y-131543771D01* 147 | X185897712Y-131547869D01* 148 | X185908592Y-131549176D01* 149 | X185964857Y-131571364D01* 150 | X186014635Y-131588782D01* 151 | X186021071Y-131593532D01* 152 | X186024788Y-131594998D01* 153 | X186087758Y-131642749D01* 154 | X186124289Y-131669711D01* 155 | X186151259Y-131706253D01* 156 | X186199001Y-131769211D01* 157 | X186200466Y-131772926D01* 158 | X186205218Y-131779365D01* 159 | X186222642Y-131829162D01* 160 | X186244822Y-131885406D01* 161 | X186246127Y-131896280D01* 162 | X186250229Y-131908001D01* 163 | X186258200Y-131993000D01* 164 | X186258200Y-132042804D01* 165 | X186295396Y-132080000D01* 166 | X187084603Y-132080000D01* 167 | X187121800Y-132042803D01* 168 | X187121800Y-131996798D01* 169 | X187121800Y-131993000D01* 170 | X187129771Y-131908001D01* 171 | X187133869Y-131896288D01* 172 | X187135176Y-131885407D01* 173 | X187157367Y-131829133D01* 174 | X187174782Y-131779365D01* 175 | X187179531Y-131772929D01* 176 | X187180998Y-131769211D01* 177 | X187228777Y-131706204D01* 178 | X187255711Y-131669711D01* 179 | X187292204Y-131642777D01* 180 | X187355211Y-131594998D01* 181 | X187358929Y-131593531D01* 182 | X187365365Y-131588782D01* 183 | X187415140Y-131571365D01* 184 | X187471406Y-131549177D01* 185 | X187482284Y-131547870D01* 186 | X187494001Y-131543771D01* 187 | X187579000Y-131535800D01* 188 | X188341000Y-131535800D01* 189 | X188425999Y-131543771D01* 190 | X188437712Y-131547869D01* 191 | X188448592Y-131549176D01* 192 | X188504857Y-131571364D01* 193 | X188554635Y-131588782D01* 194 | X188561071Y-131593532D01* 195 | X188564788Y-131594998D01* 196 | X188627758Y-131642749D01* 197 | X188664289Y-131669711D01* 198 | X188691259Y-131706253D01* 199 | X188739001Y-131769211D01* 200 | X188740466Y-131772926D01* 201 | X188745218Y-131779365D01* 202 | X188762642Y-131829162D01* 203 | X188784822Y-131885406D01* 204 | X188786127Y-131896280D01* 205 | X188790229Y-131908001D01* 206 | X188798200Y-131993000D01* 207 | X188798200Y-132042804D01* 208 | X188835396Y-132080000D01* 209 | X189624603Y-132080000D01* 210 | X189661800Y-132042803D01* 211 | X189661800Y-131996798D01* 212 | X189661800Y-131993000D01* 213 | X189669771Y-131908001D01* 214 | X189673869Y-131896288D01* 215 | X189675176Y-131885407D01* 216 | X189697367Y-131829133D01* 217 | X189714782Y-131779365D01* 218 | X189719531Y-131772929D01* 219 | X189720998Y-131769211D01* 220 | X189768777Y-131706204D01* 221 | X189795711Y-131669711D01* 222 | X189832204Y-131642777D01* 223 | X189895211Y-131594998D01* 224 | X189898929Y-131593531D01* 225 | X189905365Y-131588782D01* 226 | X189955140Y-131571365D01* 227 | X190011406Y-131549177D01* 228 | X190022284Y-131547870D01* 229 | X190034001Y-131543771D01* 230 | X190119000Y-131535800D01* 231 | X190881000Y-131535800D01* 232 | X190965999Y-131543771D01* 233 | X190977712Y-131547869D01* 234 | X190988592Y-131549176D01* 235 | X191044857Y-131571364D01* 236 | X191094635Y-131588782D01* 237 | X191101071Y-131593532D01* 238 | X191104788Y-131594998D01* 239 | X191167758Y-131642749D01* 240 | X191204289Y-131669711D01* 241 | X191231259Y-131706253D01* 242 | X191279001Y-131769211D01* 243 | X191280466Y-131772926D01* 244 | X191285218Y-131779365D01* 245 | X191302642Y-131829162D01* 246 | X191324822Y-131885406D01* 247 | X191326127Y-131896280D01* 248 | X191330229Y-131908001D01* 249 | X191338200Y-131993000D01* 250 | X191338200Y-132042804D01* 251 | X191375396Y-132080000D01* 252 | X192164603Y-132080000D01* 253 | X192201800Y-132042803D01* 254 | X192201800Y-131996798D01* 255 | X192201800Y-131993000D01* 256 | X192209771Y-131908001D01* 257 | X192213869Y-131896288D01* 258 | X192215176Y-131885407D01* 259 | X192237367Y-131829133D01* 260 | X192254782Y-131779365D01* 261 | X192259531Y-131772929D01* 262 | X192260998Y-131769211D01* 263 | X192308777Y-131706204D01* 264 | X192335711Y-131669711D01* 265 | X192372204Y-131642777D01* 266 | X192435211Y-131594998D01* 267 | X192438929Y-131593531D01* 268 | X192445365Y-131588782D01* 269 | X192495140Y-131571365D01* 270 | X192551406Y-131549177D01* 271 | X192562284Y-131547870D01* 272 | X192574001Y-131543771D01* 273 | X192659000Y-131535800D01* 274 | X193421000Y-131535800D01* 275 | X193505999Y-131543771D01* 276 | X193517712Y-131547869D01* 277 | X193528592Y-131549176D01* 278 | X193584857Y-131571364D01* 279 | X193634635Y-131588782D01* 280 | X193641071Y-131593532D01* 281 | X193644788Y-131594998D01* 282 | X193707758Y-131642749D01* 283 | X193744289Y-131669711D01* 284 | X193771259Y-131706253D01* 285 | X193819001Y-131769211D01* 286 | X193820466Y-131772926D01* 287 | X193825218Y-131779365D01* 288 | X193842642Y-131829162D01* 289 | X193864822Y-131885406D01* 290 | X193866127Y-131896280D01* 291 | X193870229Y-131908001D01* 292 | X193878200Y-131993000D01* 293 | X193878200Y-132042804D01* 294 | X193915396Y-132080000D01* 295 | X194704603Y-132080000D01* 296 | X194741800Y-132042803D01* 297 | X194741800Y-131996798D01* 298 | X194741800Y-131993000D01* 299 | X194749771Y-131908001D01* 300 | X194753869Y-131896288D01* 301 | X194755176Y-131885407D01* 302 | X194777367Y-131829133D01* 303 | X194794782Y-131779365D01* 304 | X194799531Y-131772929D01* 305 | X194800998Y-131769211D01* 306 | X194848777Y-131706204D01* 307 | X194875711Y-131669711D01* 308 | X194912204Y-131642777D01* 309 | X194975211Y-131594998D01* 310 | X194978929Y-131593531D01* 311 | X194985365Y-131588782D01* 312 | X195035140Y-131571365D01* 313 | X195091406Y-131549177D01* 314 | X195102284Y-131547870D01* 315 | X195114001Y-131543771D01* 316 | X195199000Y-131535800D01* 317 | X195961000Y-131535800D01* 318 | X196045999Y-131543771D01* 319 | X196057712Y-131547869D01* 320 | X196068592Y-131549176D01* 321 | X196124857Y-131571364D01* 322 | X196174635Y-131588782D01* 323 | X196181071Y-131593532D01* 324 | X196184788Y-131594998D01* 325 | X196247758Y-131642749D01* 326 | X196284289Y-131669711D01* 327 | X196311259Y-131706253D01* 328 | X196359001Y-131769211D01* 329 | X196360466Y-131772926D01* 330 | X196365218Y-131779365D01* 331 | X196382642Y-131829162D01* 332 | X196404822Y-131885406D01* 333 | X196406127Y-131896280D01* 334 | X196410229Y-131908001D01* 335 | X196418200Y-131993000D01* 336 | X196418200Y-132042804D01* 337 | X196455396Y-132080000D01* 338 | X197244603Y-132080000D01* 339 | X197281800Y-132042803D01* 340 | X197281800Y-131996798D01* 341 | X197281800Y-131993000D01* 342 | X197289771Y-131908001D01* 343 | X197293869Y-131896288D01* 344 | X197295176Y-131885407D01* 345 | X197317367Y-131829133D01* 346 | X197334782Y-131779365D01* 347 | X197339531Y-131772929D01* 348 | X197340998Y-131769211D01* 349 | X197388777Y-131706204D01* 350 | X197415711Y-131669711D01* 351 | X197452204Y-131642777D01* 352 | X197515211Y-131594998D01* 353 | X197518929Y-131593531D01* 354 | X197525365Y-131588782D01* 355 | X197575140Y-131571365D01* 356 | X197631406Y-131549177D01* 357 | X197642284Y-131547870D01* 358 | X197654001Y-131543771D01* 359 | X197739000Y-131535800D01* 360 | X198501000Y-131535800D01* 361 | X198585999Y-131543771D01* 362 | X198597712Y-131547869D01* 363 | X198608592Y-131549176D01* 364 | X198664857Y-131571364D01* 365 | X198714635Y-131588782D01* 366 | X198721071Y-131593532D01* 367 | X198724788Y-131594998D01* 368 | X198787758Y-131642749D01* 369 | X198824289Y-131669711D01* 370 | X198851259Y-131706253D01* 371 | X198899001Y-131769211D01* 372 | X198900466Y-131772926D01* 373 | X198905218Y-131779365D01* 374 | X198922642Y-131829162D01* 375 | X198944822Y-131885406D01* 376 | X198946127Y-131896280D01* 377 | X198950229Y-131908001D01* 378 | X198958200Y-131993000D01* 379 | X198958200Y-132042804D01* 380 | X198995396Y-132080000D01* 381 | X199784603Y-132080000D01* 382 | X199821800Y-132042803D01* 383 | X199821800Y-131996798D01* 384 | X199821800Y-131993000D01* 385 | X199829771Y-131908001D01* 386 | X199833869Y-131896288D01* 387 | X199835176Y-131885407D01* 388 | X199857367Y-131829133D01* 389 | X199874782Y-131779365D01* 390 | X199879531Y-131772929D01* 391 | X199880998Y-131769211D01* 392 | X199928777Y-131706204D01* 393 | X199955711Y-131669711D01* 394 | X199992204Y-131642777D01* 395 | X200055211Y-131594998D01* 396 | X200058929Y-131593531D01* 397 | X200065365Y-131588782D01* 398 | X200115140Y-131571365D01* 399 | X200171406Y-131549177D01* 400 | X200182284Y-131547870D01* 401 | X200194001Y-131543771D01* 402 | X200279000Y-131535800D01* 403 | X201041000Y-131535800D01* 404 | X201125999Y-131543771D01* 405 | X201137712Y-131547869D01* 406 | X201148592Y-131549176D01* 407 | X201204857Y-131571364D01* 408 | X201254635Y-131588782D01* 409 | X201261071Y-131593532D01* 410 | X201264788Y-131594998D01* 411 | X201327758Y-131642749D01* 412 | X201364289Y-131669711D01* 413 | X201391259Y-131706253D01* 414 | X201439001Y-131769211D01* 415 | X201440466Y-131772926D01* 416 | X201445218Y-131779365D01* 417 | X201462642Y-131829162D01* 418 | X201484822Y-131885406D01* 419 | X201486127Y-131896280D01* 420 | X201490229Y-131908001D01* 421 | X201498200Y-131993000D01* 422 | X201498200Y-132042804D01* 423 | X201535396Y-132080000D01* 424 | X202324603Y-132080000D01* 425 | X202361800Y-132042803D01* 426 | X202361800Y-131996798D01* 427 | X202361800Y-131993000D01* 428 | X202369771Y-131908001D01* 429 | X202373869Y-131896288D01* 430 | X202375176Y-131885407D01* 431 | X202397367Y-131829133D01* 432 | X202414782Y-131779365D01* 433 | X202419531Y-131772929D01* 434 | X202420998Y-131769211D01* 435 | X202468777Y-131706204D01* 436 | X202495711Y-131669711D01* 437 | X202532204Y-131642777D01* 438 | X202595211Y-131594998D01* 439 | X202598929Y-131593531D01* 440 | X202605365Y-131588782D01* 441 | X202655140Y-131571365D01* 442 | X202711406Y-131549177D01* 443 | X202722284Y-131547870D01* 444 | X202734001Y-131543771D01* 445 | X202819000Y-131535800D01* 446 | X203581000Y-131535800D01* 447 | X203665999Y-131543771D01* 448 | X203677712Y-131547869D01* 449 | X203688592Y-131549176D01* 450 | X203744857Y-131571364D01* 451 | X203794635Y-131588782D01* 452 | X203801071Y-131593532D01* 453 | X203804788Y-131594998D01* 454 | X203867758Y-131642749D01* 455 | X203904289Y-131669711D01* 456 | X203931259Y-131706253D01* 457 | X203979001Y-131769211D01* 458 | X203980466Y-131772926D01* 459 | X203985218Y-131779365D01* 460 | X204002642Y-131829162D01* 461 | X204024822Y-131885406D01* 462 | X204026127Y-131896280D01* 463 | X204030229Y-131908001D01* 464 | X204038200Y-131993000D01* 465 | X204038200Y-132042804D01* 466 | X204075396Y-132080000D01* 467 | X204864603Y-132080000D01* 468 | X204901800Y-132042803D01* 469 | X204901800Y-131996798D01* 470 | X204901800Y-131993000D01* 471 | X204909771Y-131908001D01* 472 | X204913869Y-131896288D01* 473 | X204915176Y-131885407D01* 474 | X204937367Y-131829133D01* 475 | X204954782Y-131779365D01* 476 | X204959531Y-131772929D01* 477 | X204960998Y-131769211D01* 478 | X205008777Y-131706204D01* 479 | X205035711Y-131669711D01* 480 | X205072204Y-131642777D01* 481 | X205135211Y-131594998D01* 482 | X205138929Y-131593531D01* 483 | X205145365Y-131588782D01* 484 | X205195140Y-131571365D01* 485 | X205251406Y-131549177D01* 486 | X205262284Y-131547870D01* 487 | X205274001Y-131543771D01* 488 | X205359000Y-131535800D01* 489 | X206121000Y-131535800D01* 490 | X206205999Y-131543771D01* 491 | X206217712Y-131547869D01* 492 | X206228592Y-131549176D01* 493 | X206284857Y-131571364D01* 494 | X206334635Y-131588782D01* 495 | X206341071Y-131593532D01* 496 | X206344788Y-131594998D01* 497 | X206407758Y-131642749D01* 498 | X206444289Y-131669711D01* 499 | X206471259Y-131706253D01* 500 | X206519001Y-131769211D01* 501 | X206520466Y-131772926D01* 502 | X206525218Y-131779365D01* 503 | X206542642Y-131829162D01* 504 | X206564822Y-131885406D01* 505 | X206566127Y-131896280D01* 506 | X206570229Y-131908001D01* 507 | X206578200Y-131993000D01* 508 | X206578200Y-132042804D01* 509 | X206615396Y-132080000D01* 510 | X207404603Y-132080000D01* 511 | X207441800Y-132042803D01* 512 | X207441800Y-131996798D01* 513 | X207441800Y-131993000D01* 514 | X207449771Y-131908001D01* 515 | X207453869Y-131896288D01* 516 | X207455176Y-131885407D01* 517 | X207477367Y-131829133D01* 518 | X207494782Y-131779365D01* 519 | X207499531Y-131772929D01* 520 | X207500998Y-131769211D01* 521 | X207548777Y-131706204D01* 522 | X207575711Y-131669711D01* 523 | X207612204Y-131642777D01* 524 | X207675211Y-131594998D01* 525 | X207678929Y-131593531D01* 526 | X207685365Y-131588782D01* 527 | X207735140Y-131571365D01* 528 | X207791406Y-131549177D01* 529 | X207802284Y-131547870D01* 530 | X207814001Y-131543771D01* 531 | X207899000Y-131535800D01* 532 | X208661000Y-131535800D01* 533 | X208745999Y-131543771D01* 534 | X208757712Y-131547869D01* 535 | X208768592Y-131549176D01* 536 | X208824857Y-131571364D01* 537 | X208874635Y-131588782D01* 538 | X208881071Y-131593532D01* 539 | X208884788Y-131594998D01* 540 | X208947758Y-131642749D01* 541 | X208984289Y-131669711D01* 542 | X209011259Y-131706253D01* 543 | X209059001Y-131769211D01* 544 | X209060466Y-131772926D01* 545 | X209065218Y-131779365D01* 546 | X209082642Y-131829162D01* 547 | X209104822Y-131885406D01* 548 | X209106127Y-131896280D01* 549 | X209110229Y-131908001D01* 550 | X209118200Y-131993000D01* 551 | X209118200Y-132042804D01* 552 | X209155396Y-132080000D01* 553 | X209944603Y-132080000D01* 554 | X209981800Y-132042803D01* 555 | X209981800Y-131996798D01* 556 | X209981800Y-131993000D01* 557 | X209989771Y-131908001D01* 558 | X209993869Y-131896288D01* 559 | X209995176Y-131885407D01* 560 | X210017367Y-131829133D01* 561 | X210034782Y-131779365D01* 562 | X210039531Y-131772929D01* 563 | X210040998Y-131769211D01* 564 | X210088777Y-131706204D01* 565 | X210115711Y-131669711D01* 566 | X210152204Y-131642777D01* 567 | X210215211Y-131594998D01* 568 | X210218929Y-131593531D01* 569 | X210225365Y-131588782D01* 570 | X210275140Y-131571365D01* 571 | X210331406Y-131549177D01* 572 | X210342284Y-131547870D01* 573 | X210354001Y-131543771D01* 574 | X210439000Y-131535800D01* 575 | X211201000Y-131535800D01* 576 | X211285999Y-131543771D01* 577 | X211297712Y-131547869D01* 578 | X211308592Y-131549176D01* 579 | X211364857Y-131571364D01* 580 | X211414635Y-131588782D01* 581 | X211421071Y-131593532D01* 582 | X211424788Y-131594998D01* 583 | X211487758Y-131642749D01* 584 | X211524289Y-131669711D01* 585 | X211551259Y-131706253D01* 586 | X211599001Y-131769211D01* 587 | X211600466Y-131772926D01* 588 | X211605218Y-131779365D01* 589 | X211622642Y-131829162D01* 590 | X211644822Y-131885406D01* 591 | X211646127Y-131896280D01* 592 | X211650229Y-131908001D01* 593 | X211658200Y-131993000D01* 594 | X211658200Y-132042804D01* 595 | X211695396Y-132080000D01* 596 | X212484603Y-132080000D01* 597 | X212521800Y-132042803D01* 598 | X212521800Y-131996798D01* 599 | X212521800Y-131993000D01* 600 | X212529771Y-131908001D01* 601 | X212533869Y-131896288D01* 602 | X212535176Y-131885407D01* 603 | X212557367Y-131829133D01* 604 | X212574782Y-131779365D01* 605 | X212579531Y-131772929D01* 606 | X212580998Y-131769211D01* 607 | X212628777Y-131706204D01* 608 | X212655711Y-131669711D01* 609 | X212692204Y-131642777D01* 610 | X212755211Y-131594998D01* 611 | X212758929Y-131593531D01* 612 | X212765365Y-131588782D01* 613 | X212815140Y-131571365D01* 614 | X212871406Y-131549177D01* 615 | X212882284Y-131547870D01* 616 | X212894001Y-131543771D01* 617 | X212979000Y-131535800D01* 618 | X213741000Y-131535800D01* 619 | X213825999Y-131543771D01* 620 | X213837712Y-131547869D01* 621 | X213848592Y-131549176D01* 622 | X213904857Y-131571364D01* 623 | X213954635Y-131588782D01* 624 | X213961071Y-131593532D01* 625 | X213964788Y-131594998D01* 626 | X214027758Y-131642749D01* 627 | X214064289Y-131669711D01* 628 | X214091259Y-131706253D01* 629 | X214139001Y-131769211D01* 630 | X214140466Y-131772926D01* 631 | X214145218Y-131779365D01* 632 | X214162642Y-131829162D01* 633 | X214184822Y-131885406D01* 634 | X214186127Y-131896280D01* 635 | X214190229Y-131908001D01* 636 | X214198200Y-131993000D01* 637 | X214198200Y-132042804D01* 638 | X214235396Y-132080000D01* 639 | X215024603Y-132080000D01* 640 | X215061800Y-132042803D01* 641 | X215061800Y-131996798D01* 642 | X215061800Y-131993000D01* 643 | X215069771Y-131908001D01* 644 | X215073869Y-131896288D01* 645 | X215075176Y-131885407D01* 646 | X215097367Y-131829133D01* 647 | X215114782Y-131779365D01* 648 | X215119531Y-131772929D01* 649 | X215120998Y-131769211D01* 650 | X215168777Y-131706204D01* 651 | X215195711Y-131669711D01* 652 | X215232204Y-131642777D01* 653 | X215295211Y-131594998D01* 654 | X215298929Y-131593531D01* 655 | X215305365Y-131588782D01* 656 | X215355140Y-131571365D01* 657 | X215411406Y-131549177D01* 658 | X215422284Y-131547870D01* 659 | X215434001Y-131543771D01* 660 | X215519000Y-131535800D01* 661 | X216281000Y-131535800D01* 662 | X216365999Y-131543771D01* 663 | X216377712Y-131547869D01* 664 | X216388592Y-131549176D01* 665 | X216444857Y-131571364D01* 666 | X216494635Y-131588782D01* 667 | X216501071Y-131593532D01* 668 | X216504788Y-131594998D01* 669 | X216567758Y-131642749D01* 670 | X216604289Y-131669711D01* 671 | X216631259Y-131706253D01* 672 | X216679001Y-131769211D01* 673 | X216680466Y-131772926D01* 674 | X216685218Y-131779365D01* 675 | X216702642Y-131829162D01* 676 | X216724822Y-131885406D01* 677 | X216726127Y-131896280D01* 678 | X216730229Y-131908001D01* 679 | X216738200Y-131993000D01* 680 | X216738200Y-132042804D01* 681 | X216775396Y-132080000D01* 682 | X217564603Y-132080000D01* 683 | X217601800Y-132042803D01* 684 | X217601800Y-131996798D01* 685 | X217601800Y-131993000D01* 686 | X217609771Y-131908001D01* 687 | X217613869Y-131896288D01* 688 | X217615176Y-131885407D01* 689 | X217637367Y-131829133D01* 690 | X217654782Y-131779365D01* 691 | X217659531Y-131772929D01* 692 | X217660998Y-131769211D01* 693 | X217708777Y-131706204D01* 694 | X217735711Y-131669711D01* 695 | X217772204Y-131642777D01* 696 | X217835211Y-131594998D01* 697 | X217838929Y-131593531D01* 698 | X217845365Y-131588782D01* 699 | X217895140Y-131571365D01* 700 | X217951406Y-131549177D01* 701 | X217962284Y-131547870D01* 702 | X217974001Y-131543771D01* 703 | X218059000Y-131535800D01* 704 | X218821000Y-131535800D01* 705 | X218905999Y-131543771D01* 706 | X218917712Y-131547869D01* 707 | X218928592Y-131549176D01* 708 | X218984857Y-131571364D01* 709 | X219034635Y-131588782D01* 710 | X219041071Y-131593532D01* 711 | X219044788Y-131594998D01* 712 | X219107758Y-131642749D01* 713 | X219144289Y-131669711D01* 714 | X219171259Y-131706253D01* 715 | X219219001Y-131769211D01* 716 | X219220466Y-131772926D01* 717 | X219225218Y-131779365D01* 718 | X219242642Y-131829162D01* 719 | X219264822Y-131885406D01* 720 | X219266127Y-131896280D01* 721 | X219270229Y-131908001D01* 722 | X219278200Y-131993000D01* 723 | X219278200Y-132042804D01* 724 | X219315396Y-132080000D01* 725 | X220104603Y-132080000D01* 726 | X220141800Y-132042803D01* 727 | X220141800Y-131996798D01* 728 | X220141800Y-131993000D01* 729 | X220149771Y-131908001D01* 730 | X220153869Y-131896288D01* 731 | X220155176Y-131885407D01* 732 | X220177367Y-131829133D01* 733 | X220194782Y-131779365D01* 734 | X220199531Y-131772929D01* 735 | X220200998Y-131769211D01* 736 | X220248777Y-131706204D01* 737 | X220275711Y-131669711D01* 738 | X220312204Y-131642777D01* 739 | X220375211Y-131594998D01* 740 | X220378929Y-131593531D01* 741 | X220385365Y-131588782D01* 742 | X220435140Y-131571365D01* 743 | X220491406Y-131549177D01* 744 | X220502284Y-131547870D01* 745 | X220514001Y-131543771D01* 746 | X220599000Y-131535800D01* 747 | X221361000Y-131535800D01* 748 | X221445999Y-131543771D01* 749 | X221457712Y-131547869D01* 750 | X221468592Y-131549176D01* 751 | X221524857Y-131571364D01* 752 | X221574635Y-131588782D01* 753 | X221581071Y-131593532D01* 754 | X221584788Y-131594998D01* 755 | X221647758Y-131642749D01* 756 | X221684289Y-131669711D01* 757 | X221711259Y-131706253D01* 758 | X221759001Y-131769211D01* 759 | X221760466Y-131772926D01* 760 | X221765218Y-131779365D01* 761 | X221782642Y-131829162D01* 762 | X221804822Y-131885406D01* 763 | X221806127Y-131896280D01* 764 | X221810229Y-131908001D01* 765 | X221818200Y-131993000D01* 766 | X221818200Y-132042804D01* 767 | X221855396Y-132080000D01* 768 | X222644603Y-132080000D01* 769 | X222681800Y-132042803D01* 770 | X222681800Y-131996798D01* 771 | X222681800Y-131993000D01* 772 | X222689771Y-131908001D01* 773 | X222693869Y-131896288D01* 774 | X222695176Y-131885407D01* 775 | X222717367Y-131829133D01* 776 | X222734782Y-131779365D01* 777 | X222739531Y-131772929D01* 778 | X222740998Y-131769211D01* 779 | X222788777Y-131706204D01* 780 | X222815711Y-131669711D01* 781 | X222852204Y-131642777D01* 782 | X222915211Y-131594998D01* 783 | X222918929Y-131593531D01* 784 | X222925365Y-131588782D01* 785 | X222975140Y-131571365D01* 786 | X223031406Y-131549177D01* 787 | X223042284Y-131547870D01* 788 | X223054001Y-131543771D01* 789 | X223139000Y-131535800D01* 790 | X223901000Y-131535800D01* 791 | X223985999Y-131543771D01* 792 | X223997712Y-131547869D01* 793 | X224008592Y-131549176D01* 794 | X224064857Y-131571364D01* 795 | X224114635Y-131588782D01* 796 | X224121071Y-131593532D01* 797 | X224124788Y-131594998D01* 798 | X224187758Y-131642749D01* 799 | X224224289Y-131669711D01* 800 | X224251259Y-131706253D01* 801 | X224299001Y-131769211D01* 802 | X224300466Y-131772926D01* 803 | X224305218Y-131779365D01* 804 | X224322642Y-131829162D01* 805 | X224344822Y-131885406D01* 806 | X224346127Y-131896280D01* 807 | X224350229Y-131908001D01* 808 | X224358200Y-131993000D01* 809 | X224358200Y-132042804D01* 810 | X224395396Y-132080000D01* 811 | X225184603Y-132080000D01* 812 | X225221800Y-132042803D01* 813 | X225221800Y-131996798D01* 814 | X225221800Y-131993000D01* 815 | X225229771Y-131908001D01* 816 | X225233869Y-131896288D01* 817 | X225235176Y-131885407D01* 818 | X225257367Y-131829133D01* 819 | X225274782Y-131779365D01* 820 | X225279531Y-131772929D01* 821 | X225280998Y-131769211D01* 822 | X225328777Y-131706204D01* 823 | X225355711Y-131669711D01* 824 | X225392204Y-131642777D01* 825 | X225455211Y-131594998D01* 826 | X225458929Y-131593531D01* 827 | X225465365Y-131588782D01* 828 | X225515140Y-131571365D01* 829 | X225571406Y-131549177D01* 830 | X225582284Y-131547870D01* 831 | X225594001Y-131543771D01* 832 | X225679000Y-131535800D01* 833 | X226441000Y-131535800D01* 834 | X226525999Y-131543771D01* 835 | X226537712Y-131547869D01* 836 | X226548592Y-131549176D01* 837 | X226604857Y-131571364D01* 838 | X226654635Y-131588782D01* 839 | X226661071Y-131593532D01* 840 | X226664788Y-131594998D01* 841 | X226727758Y-131642749D01* 842 | X226764289Y-131669711D01* 843 | X226791259Y-131706253D01* 844 | X226839001Y-131769211D01* 845 | X226840466Y-131772926D01* 846 | X226845218Y-131779365D01* 847 | X226862642Y-131829162D01* 848 | X226884822Y-131885406D01* 849 | X226886127Y-131896280D01* 850 | X226890229Y-131908001D01* 851 | X226898200Y-131993000D01* 852 | X226898200Y-132042804D01* 853 | X226935396Y-132080000D01* 854 | X227724603Y-132080000D01* 855 | X227761800Y-132042803D01* 856 | X227761800Y-131996798D01* 857 | X227761800Y-131993000D01* 858 | X227769771Y-131908001D01* 859 | X227773869Y-131896288D01* 860 | X227775176Y-131885407D01* 861 | X227797367Y-131829133D01* 862 | X227814782Y-131779365D01* 863 | X227819531Y-131772929D01* 864 | X227820998Y-131769211D01* 865 | X227868777Y-131706204D01* 866 | X227895711Y-131669711D01* 867 | X227932204Y-131642777D01* 868 | X227995211Y-131594998D01* 869 | X227998929Y-131593531D01* 870 | X228005365Y-131588782D01* 871 | X228055140Y-131571365D01* 872 | X228111406Y-131549177D01* 873 | X228122284Y-131547870D01* 874 | X228134001Y-131543771D01* 875 | X228219000Y-131535800D01* 876 | X228981000Y-131535800D01* 877 | X229065999Y-131543771D01* 878 | X229077712Y-131547869D01* 879 | X229088592Y-131549176D01* 880 | X229144857Y-131571364D01* 881 | X229194635Y-131588782D01* 882 | X229201071Y-131593532D01* 883 | X229204788Y-131594998D01* 884 | X229267758Y-131642749D01* 885 | X229304289Y-131669711D01* 886 | X229331259Y-131706253D01* 887 | X229379001Y-131769211D01* 888 | X229380466Y-131772926D01* 889 | X229385218Y-131779365D01* 890 | X229402642Y-131829162D01* 891 | X229424822Y-131885406D01* 892 | X229426127Y-131896280D01* 893 | X229430229Y-131908001D01* 894 | X229438200Y-131993000D01* 895 | X229438200Y-132042804D01* 896 | X229475396Y-132080000D01* 897 | X230264603Y-132080000D01* 898 | X230301800Y-132042803D01* 899 | X230301800Y-131996798D01* 900 | X230301800Y-131993000D01* 901 | X230309771Y-131908001D01* 902 | X230313869Y-131896288D01* 903 | X230315176Y-131885407D01* 904 | X230337367Y-131829133D01* 905 | X230354782Y-131779365D01* 906 | X230359531Y-131772929D01* 907 | X230360998Y-131769211D01* 908 | X230408777Y-131706204D01* 909 | X230435711Y-131669711D01* 910 | X230472204Y-131642777D01* 911 | X230535211Y-131594998D01* 912 | X230538929Y-131593531D01* 913 | X230545365Y-131588782D01* 914 | X230595140Y-131571365D01* 915 | X230651406Y-131549177D01* 916 | X230662284Y-131547870D01* 917 | X230674001Y-131543771D01* 918 | X230759000Y-131535800D01* 919 | X231521000Y-131535800D01* 920 | X231605999Y-131543771D01* 921 | X231617712Y-131547869D01* 922 | X231628592Y-131549176D01* 923 | X231684857Y-131571364D01* 924 | X231734635Y-131588782D01* 925 | X231741071Y-131593532D01* 926 | X231744788Y-131594998D01* 927 | X231807758Y-131642749D01* 928 | X231844289Y-131669711D01* 929 | X231871259Y-131706253D01* 930 | X231919001Y-131769211D01* 931 | X231920466Y-131772926D01* 932 | X231925218Y-131779365D01* 933 | X231942642Y-131829162D01* 934 | X231964822Y-131885406D01* 935 | X231966127Y-131896280D01* 936 | X231970229Y-131908001D01* 937 | X231978200Y-131993000D01* 938 | X231978200Y-132042804D01* 939 | X232015396Y-132080000D01* 940 | X232804603Y-132080000D01* 941 | X232841800Y-132042803D01* 942 | X232841800Y-131996798D01* 943 | X232841800Y-131993000D01* 944 | X232849771Y-131908001D01* 945 | X232853869Y-131896288D01* 946 | X232855176Y-131885407D01* 947 | X232877367Y-131829133D01* 948 | X232894782Y-131779365D01* 949 | X232899531Y-131772929D01* 950 | X232900998Y-131769211D01* 951 | X232948777Y-131706204D01* 952 | X232975711Y-131669711D01* 953 | X233012204Y-131642777D01* 954 | X233075211Y-131594998D01* 955 | X233078929Y-131593531D01* 956 | X233085365Y-131588782D01* 957 | X233135140Y-131571365D01* 958 | X233191406Y-131549177D01* 959 | X233202284Y-131547870D01* 960 | X233214001Y-131543771D01* 961 | X233299000Y-131535800D01* 962 | X234061000Y-131535800D01* 963 | X234145999Y-131543771D01* 964 | X234157712Y-131547869D01* 965 | X234168592Y-131549176D01* 966 | X234224857Y-131571364D01* 967 | X234274635Y-131588782D01* 968 | X234281071Y-131593532D01* 969 | X234284788Y-131594998D01* 970 | X234347758Y-131642749D01* 971 | X234384289Y-131669711D01* 972 | X234411259Y-131706253D01* 973 | X234459001Y-131769211D01* 974 | X234460466Y-131772926D01* 975 | X234465218Y-131779365D01* 976 | X234482642Y-131829162D01* 977 | X234504822Y-131885406D01* 978 | X234506127Y-131896280D01* 979 | X234510229Y-131908001D01* 980 | X234518200Y-131993000D01* 981 | X234518200Y-132042804D01* 982 | X234555396Y-132080000D01* 983 | X235344603Y-132080000D01* 984 | X235381800Y-132042803D01* 985 | X235381800Y-131996798D01* 986 | X235381800Y-131993000D01* 987 | X235389771Y-131908001D01* 988 | X235393869Y-131896288D01* 989 | X235395176Y-131885407D01* 990 | X235417367Y-131829133D01* 991 | X235434782Y-131779365D01* 992 | X235439531Y-131772929D01* 993 | X235440998Y-131769211D01* 994 | X235488777Y-131706204D01* 995 | X235515711Y-131669711D01* 996 | X235552204Y-131642777D01* 997 | X235615211Y-131594998D01* 998 | X235618929Y-131593531D01* 999 | X235625365Y-131588782D01* 1000 | X235675140Y-131571365D01* 1001 | X235731406Y-131549177D01* 1002 | X235742284Y-131547870D01* 1003 | X235754001Y-131543771D01* 1004 | X235839000Y-131535800D01* 1005 | X236601000Y-131535800D01* 1006 | X236685999Y-131543771D01* 1007 | X236697712Y-131547869D01* 1008 | X236708592Y-131549176D01* 1009 | X236764857Y-131571364D01* 1010 | X236814635Y-131588782D01* 1011 | X236821071Y-131593532D01* 1012 | X236824788Y-131594998D01* 1013 | X236887758Y-131642749D01* 1014 | X236924289Y-131669711D01* 1015 | X236951259Y-131706253D01* 1016 | X236999001Y-131769211D01* 1017 | X237000466Y-131772926D01* 1018 | X237005218Y-131779365D01* 1019 | X237022642Y-131829162D01* 1020 | X237044822Y-131885406D01* 1021 | X237046127Y-131896280D01* 1022 | X237050229Y-131908001D01* 1023 | X237058200Y-131993000D01* 1024 | X237058200Y-132042804D01* 1025 | X237095396Y-132080000D01* 1026 | X237884603Y-132080000D01* 1027 | X237921800Y-132042803D01* 1028 | X237921800Y-131996798D01* 1029 | X237921800Y-131993000D01* 1030 | X237929771Y-131908001D01* 1031 | X237933869Y-131896288D01* 1032 | X237935176Y-131885407D01* 1033 | X237957367Y-131829133D01* 1034 | X237974782Y-131779365D01* 1035 | X237979531Y-131772929D01* 1036 | X237980998Y-131769211D01* 1037 | X238028777Y-131706204D01* 1038 | X238055711Y-131669711D01* 1039 | X238092204Y-131642777D01* 1040 | X238155211Y-131594998D01* 1041 | X238158929Y-131593531D01* 1042 | X238165365Y-131588782D01* 1043 | X238215140Y-131571365D01* 1044 | X238271406Y-131549177D01* 1045 | X238282284Y-131547870D01* 1046 | X238294001Y-131543771D01* 1047 | X238379000Y-131535800D01* 1048 | X239141000Y-131535800D01* 1049 | X239225999Y-131543771D01* 1050 | X239237712Y-131547869D01* 1051 | X239248592Y-131549176D01* 1052 | X239304857Y-131571364D01* 1053 | X239354635Y-131588782D01* 1054 | X239361071Y-131593532D01* 1055 | X239364788Y-131594998D01* 1056 | X239427758Y-131642749D01* 1057 | X239464289Y-131669711D01* 1058 | X239491259Y-131706253D01* 1059 | X239539001Y-131769211D01* 1060 | X239540466Y-131772926D01* 1061 | X239545218Y-131779365D01* 1062 | X239562642Y-131829162D01* 1063 | X239584822Y-131885406D01* 1064 | X239586127Y-131896280D01* 1065 | X239590229Y-131908001D01* 1066 | X239598200Y-131993000D01* 1067 | X239598200Y-132042804D01* 1068 | X239635396Y-132080000D01* 1069 | X241300000Y-132080000D01* 1070 | X241300000Y-139446000D01* 1071 | X240792000Y-139954000D01* 1072 | X240779372Y-139954000D01* 1073 | X175780631Y-139954000D01* 1074 | X175768000Y-139954000D01* 1075 | X175260000Y-139446000D01* 1076 | X175260000Y-132080000D01* 1077 | X176924603Y-132080000D01* 1078 | X176961800Y-132042803D01* 1079 | X176961800Y-131996798D01* 1080 | X176961800Y-131993000D01* 1081 | X176969771Y-131908001D01* 1082 | X176973869Y-131896288D01* 1083 | X176975176Y-131885407D01* 1084 | X176997367Y-131829133D01* 1085 | X177014782Y-131779365D01* 1086 | X177019531Y-131772929D01* 1087 | X177020998Y-131769211D01* 1088 | X177068777Y-131706204D01* 1089 | X177095711Y-131669711D01* 1090 | X177132204Y-131642777D01* 1091 | X177195211Y-131594998D01* 1092 | X177198929Y-131593531D01* 1093 | X177205365Y-131588782D01* 1094 | X177255140Y-131571365D01* 1095 | X177311406Y-131549177D01* 1096 | X177322284Y-131547870D01* 1097 | X177334001Y-131543771D01* 1098 | X177419000Y-131535800D01* 1099 | X178181000Y-131535800D01* 1100 | X178265999Y-131543771D01* 1101 | G37* 1102 | G36* 1103 | X242356516Y-128504883D02* 1104 | G01* 1105 | X242541704Y-128576625D01* 1106 | X242710555Y-128681174D01* 1107 | X242857321Y-128814969D01* 1108 | X242977004Y-128973454D01* 1109 | X243065527Y-129151232D01* 1110 | X243119876Y-129342249D01* 1111 | X243138200Y-129540000D01* 1112 | X243119876Y-129737751D01* 1113 | X243065527Y-129928768D01* 1114 | X242977004Y-130106546D01* 1115 | X242857321Y-130265031D01* 1116 | X242710555Y-130398826D01* 1117 | X242541704Y-130503375D01* 1118 | X242356516Y-130575117D01* 1119 | X242161299Y-130611609D01* 1120 | X241962701Y-130611609D01* 1121 | X241767484Y-130575117D01* 1122 | X241582296Y-130503375D01* 1123 | X241413445Y-130398826D01* 1124 | X241266679Y-130265031D01* 1125 | X241146996Y-130106546D01* 1126 | X241058473Y-129928768D01* 1127 | X241004124Y-129737751D01* 1128 | X240985800Y-129540000D01* 1129 | X241004124Y-129342249D01* 1130 | X241058473Y-129151232D01* 1131 | X241146996Y-128973454D01* 1132 | X241266679Y-128814969D01* 1133 | X241413445Y-128681174D01* 1134 | X241582296Y-128576625D01* 1135 | X241767484Y-128504883D01* 1136 | X241962701Y-128468391D01* 1137 | X242161299Y-128468391D01* 1138 | X242356516Y-128504883D01* 1139 | G37* 1140 | G36* 1141 | X144814105Y-128834152D02* 1142 | G01* 1143 | X144967132Y-128887699D01* 1144 | X145104407Y-128973954D01* 1145 | X145219046Y-129088593D01* 1146 | X145305301Y-129225868D01* 1147 | X145358848Y-129378895D01* 1148 | X145377000Y-129540000D01* 1149 | X145358848Y-129701105D01* 1150 | X145305301Y-129854132D01* 1151 | X145219046Y-129991407D01* 1152 | X145104407Y-130106046D01* 1153 | X144967132Y-130192301D01* 1154 | X144814105Y-130245848D01* 1155 | X144653000Y-130264000D01* 1156 | X144491895Y-130245848D01* 1157 | X144338868Y-130192301D01* 1158 | X144201593Y-130106046D01* 1159 | X144086954Y-129991407D01* 1160 | X144000699Y-129854132D01* 1161 | X143947152Y-129701105D01* 1162 | X143929000Y-129540000D01* 1163 | X143947152Y-129378895D01* 1164 | X144000699Y-129225868D01* 1165 | X144086954Y-129088593D01* 1166 | X144201593Y-128973954D01* 1167 | X144338868Y-128887699D01* 1168 | X144491895Y-128834152D01* 1169 | X144653000Y-128816000D01* 1170 | X144814105Y-128834152D01* 1171 | G37* 1172 | G36* 1173 | X244763105Y-126294152D02* 1174 | G01* 1175 | X244916132Y-126347699D01* 1176 | X245053407Y-126433954D01* 1177 | X245168046Y-126548593D01* 1178 | X245254301Y-126685868D01* 1179 | X245307848Y-126838895D01* 1180 | X245326000Y-127000000D01* 1181 | X245307848Y-127161105D01* 1182 | X245254301Y-127314132D01* 1183 | X245168046Y-127451407D01* 1184 | X245053407Y-127566046D01* 1185 | X244916132Y-127652301D01* 1186 | X244763105Y-127705848D01* 1187 | X244602000Y-127724000D01* 1188 | X244440895Y-127705848D01* 1189 | X244287868Y-127652301D01* 1190 | X244150593Y-127566046D01* 1191 | X244035954Y-127451407D01* 1192 | X243949699Y-127314132D01* 1193 | X243896152Y-127161105D01* 1194 | X243878000Y-127000000D01* 1195 | X243896152Y-126838895D01* 1196 | X243949699Y-126685868D01* 1197 | X244035954Y-126548593D01* 1198 | X244150593Y-126433954D01* 1199 | X244287868Y-126347699D01* 1200 | X244440895Y-126294152D01* 1201 | X244602000Y-126276000D01* 1202 | X244763105Y-126294152D01* 1203 | G37* 1204 | G36* 1205 | X145323426Y-114998464D02* 1206 | G01* 1207 | X145357396Y-114998464D01* 1208 | X145396347Y-115008064D01* 1209 | X145437132Y-115013434D01* 1210 | X145465049Y-115024997D01* 1211 | X145492157Y-115031679D01* 1212 | X145533255Y-115053249D01* 1213 | X145576100Y-115070996D01* 1214 | X145595648Y-115085996D01* 1215 | X145615054Y-115096181D01* 1216 | X145654733Y-115131333D01* 1217 | X145695435Y-115162565D01* 1218 | X145707001Y-115177638D01* 1219 | X145718942Y-115188217D01* 1220 | X145753178Y-115237818D01* 1221 | X145787004Y-115281900D01* 1222 | X145792103Y-115294210D01* 1223 | X145797787Y-115302445D01* 1224 | X145822455Y-115367487D01* 1225 | X145844566Y-115420868D01* 1226 | X145845557Y-115428402D01* 1227 | X145847004Y-115432215D01* 1228 | X145858196Y-115524400D01* 1229 | X145864200Y-115570000D01* 1230 | X145858196Y-115615603D01* 1231 | X145847004Y-115707784D01* 1232 | X145845558Y-115711596D01* 1233 | X145844566Y-115719132D01* 1234 | X145822450Y-115772523D01* 1235 | X145797787Y-115837554D01* 1236 | X145792104Y-115845787D01* 1237 | X145787004Y-115858100D01* 1238 | X145753172Y-115902190D01* 1239 | X145718942Y-115951782D01* 1240 | X145707003Y-115962358D01* 1241 | X145695435Y-115977435D01* 1242 | X145654724Y-116008673D01* 1243 | X145615054Y-116043818D01* 1244 | X145595652Y-116054000D01* 1245 | X145576100Y-116069004D01* 1246 | X145533246Y-116086754D01* 1247 | X145492157Y-116108320D01* 1248 | X145465054Y-116115000D01* 1249 | X145437132Y-116126566D01* 1250 | X145396344Y-116131935D01* 1251 | X145357396Y-116141536D01* 1252 | X145323426Y-116141536D01* 1253 | X145288000Y-116146200D01* 1254 | X145252574Y-116141536D01* 1255 | X145218604Y-116141536D01* 1256 | X145179654Y-116131935D01* 1257 | X145138868Y-116126566D01* 1258 | X145110946Y-116115000D01* 1259 | X145083842Y-116108320D01* 1260 | X145042749Y-116086752D01* 1261 | X144999900Y-116069004D01* 1262 | X144980349Y-116054002D01* 1263 | X144960945Y-116043818D01* 1264 | X144921267Y-116008667D01* 1265 | X144880565Y-115977435D01* 1266 | X144868998Y-115962360D01* 1267 | X144857057Y-115951782D01* 1268 | X144822817Y-115902177D01* 1269 | X144788996Y-115858100D01* 1270 | X144783897Y-115845790D01* 1271 | X144778212Y-115837554D01* 1272 | X144753537Y-115772494D01* 1273 | X144731434Y-115719132D01* 1274 | X144730442Y-115711600D01* 1275 | X144728995Y-115707784D01* 1276 | X144717791Y-115615505D01* 1277 | X144711800Y-115570000D01* 1278 | X144717790Y-115524498D01* 1279 | X144728995Y-115432215D01* 1280 | X144730442Y-115428398D01* 1281 | X144731434Y-115420868D01* 1282 | X144753532Y-115367515D01* 1283 | X144778212Y-115302445D01* 1284 | X144783898Y-115294206D01* 1285 | X144788996Y-115281900D01* 1286 | X144822811Y-115237831D01* 1287 | X144857057Y-115188217D01* 1288 | X144869000Y-115177635D01* 1289 | X144880565Y-115162565D01* 1290 | X144921259Y-115131338D01* 1291 | X144960945Y-115096181D01* 1292 | X144980353Y-115085994D01* 1293 | X144999900Y-115070996D01* 1294 | X145042739Y-115053251D01* 1295 | X145083842Y-115031679D01* 1296 | X145110951Y-115024997D01* 1297 | X145138868Y-115013434D01* 1298 | X145179651Y-115008064D01* 1299 | X145218604Y-114998464D01* 1300 | X145252574Y-114998464D01* 1301 | X145288000Y-114993800D01* 1302 | X145323426Y-114998464D01* 1303 | G37* 1304 | G36* 1305 | X147223426Y-114998464D02* 1306 | G01* 1307 | X147257396Y-114998464D01* 1308 | X147296347Y-115008064D01* 1309 | X147337132Y-115013434D01* 1310 | X147365049Y-115024997D01* 1311 | X147392157Y-115031679D01* 1312 | X147433255Y-115053249D01* 1313 | X147476100Y-115070996D01* 1314 | X147495648Y-115085996D01* 1315 | X147515054Y-115096181D01* 1316 | X147554733Y-115131333D01* 1317 | X147595435Y-115162565D01* 1318 | X147607001Y-115177638D01* 1319 | X147618942Y-115188217D01* 1320 | X147653178Y-115237818D01* 1321 | X147687004Y-115281900D01* 1322 | X147692103Y-115294210D01* 1323 | X147697787Y-115302445D01* 1324 | X147722455Y-115367487D01* 1325 | X147744566Y-115420868D01* 1326 | X147745557Y-115428402D01* 1327 | X147747004Y-115432215D01* 1328 | X147758196Y-115524400D01* 1329 | X147764200Y-115570000D01* 1330 | X147758196Y-115615603D01* 1331 | X147747004Y-115707784D01* 1332 | X147745558Y-115711596D01* 1333 | X147744566Y-115719132D01* 1334 | X147722450Y-115772523D01* 1335 | X147697787Y-115837554D01* 1336 | X147692104Y-115845787D01* 1337 | X147687004Y-115858100D01* 1338 | X147653172Y-115902190D01* 1339 | X147618942Y-115951782D01* 1340 | X147607003Y-115962358D01* 1341 | X147595435Y-115977435D01* 1342 | X147554724Y-116008673D01* 1343 | X147515054Y-116043818D01* 1344 | X147495652Y-116054000D01* 1345 | X147476100Y-116069004D01* 1346 | X147433246Y-116086754D01* 1347 | X147392157Y-116108320D01* 1348 | X147365054Y-116115000D01* 1349 | X147337132Y-116126566D01* 1350 | X147296344Y-116131935D01* 1351 | X147257396Y-116141536D01* 1352 | X147223426Y-116141536D01* 1353 | X147188000Y-116146200D01* 1354 | X147152574Y-116141536D01* 1355 | X147118604Y-116141536D01* 1356 | X147079654Y-116131935D01* 1357 | X147038868Y-116126566D01* 1358 | X147010946Y-116115000D01* 1359 | X146983842Y-116108320D01* 1360 | X146942749Y-116086752D01* 1361 | X146899900Y-116069004D01* 1362 | X146880349Y-116054002D01* 1363 | X146860945Y-116043818D01* 1364 | X146821267Y-116008667D01* 1365 | X146780565Y-115977435D01* 1366 | X146768998Y-115962360D01* 1367 | X146757057Y-115951782D01* 1368 | X146722817Y-115902177D01* 1369 | X146688996Y-115858100D01* 1370 | X146683897Y-115845790D01* 1371 | X146678212Y-115837554D01* 1372 | X146653537Y-115772494D01* 1373 | X146631434Y-115719132D01* 1374 | X146630442Y-115711600D01* 1375 | X146628995Y-115707784D01* 1376 | X146617791Y-115615505D01* 1377 | X146611800Y-115570000D01* 1378 | X146617790Y-115524498D01* 1379 | X146628995Y-115432215D01* 1380 | X146630442Y-115428398D01* 1381 | X146631434Y-115420868D01* 1382 | X146653532Y-115367515D01* 1383 | X146678212Y-115302445D01* 1384 | X146683898Y-115294206D01* 1385 | X146688996Y-115281900D01* 1386 | X146722811Y-115237831D01* 1387 | X146757057Y-115188217D01* 1388 | X146769000Y-115177635D01* 1389 | X146780565Y-115162565D01* 1390 | X146821259Y-115131338D01* 1391 | X146860945Y-115096181D01* 1392 | X146880353Y-115085994D01* 1393 | X146899900Y-115070996D01* 1394 | X146942739Y-115053251D01* 1395 | X146983842Y-115031679D01* 1396 | X147010951Y-115024997D01* 1397 | X147038868Y-115013434D01* 1398 | X147079651Y-115008064D01* 1399 | X147118604Y-114998464D01* 1400 | X147152574Y-114998464D01* 1401 | X147188000Y-114993800D01* 1402 | X147223426Y-114998464D01* 1403 | G37* 1404 | G36* 1405 | X238886139Y-101933022D02* 1406 | G01* 1407 | X238933624Y-101938531D01* 1408 | X238949849Y-101945695D01* 1409 | X238972844Y-101950269D01* 1410 | X238997461Y-101966717D01* 1411 | X239016009Y-101974907D01* 1412 | X239028676Y-101987574D01* 1413 | X239050706Y-102002294D01* 1414 | X239065425Y-102024323D01* 1415 | X239078092Y-102036990D01* 1416 | X239086281Y-102055536D01* 1417 | X239102731Y-102080156D01* 1418 | X239107305Y-102103151D01* 1419 | X239114468Y-102119374D01* 1420 | X239119975Y-102166850D01* 1421 | X239121000Y-102172000D01* 1422 | X239121000Y-102552000D01* 1423 | X239119975Y-102557151D01* 1424 | X239114468Y-102604624D01* 1425 | X239107305Y-102620845D01* 1426 | X239102731Y-102643844D01* 1427 | X239086279Y-102668465D01* 1428 | X239078092Y-102687009D01* 1429 | X239065427Y-102699673D01* 1430 | X239050706Y-102721706D01* 1431 | X239028673Y-102736427D01* 1432 | X239016009Y-102749092D01* 1433 | X238997465Y-102757279D01* 1434 | X238972844Y-102773731D01* 1435 | X238949846Y-102778305D01* 1436 | X238933625Y-102785468D01* 1437 | X238886150Y-102790975D01* 1438 | X238881000Y-102792000D01* 1439 | X236861000Y-102792000D01* 1440 | X236855849Y-102790975D01* 1441 | X236808375Y-102785468D01* 1442 | X236792153Y-102778305D01* 1443 | X236769156Y-102773731D01* 1444 | X236744536Y-102757280D01* 1445 | X236725990Y-102749092D01* 1446 | X236713323Y-102736425D01* 1447 | X236691294Y-102721706D01* 1448 | X236676574Y-102699676D01* 1449 | X236663907Y-102687009D01* 1450 | X236655717Y-102668460D01* 1451 | X236639269Y-102643844D01* 1452 | X236634695Y-102620850D01* 1453 | X236627531Y-102604625D01* 1454 | X236622022Y-102557138D01* 1455 | X236621000Y-102552000D01* 1456 | X236621000Y-102172000D01* 1457 | X236622022Y-102166861D01* 1458 | X236627531Y-102119375D01* 1459 | X236634695Y-102103148D01* 1460 | X236639269Y-102080156D01* 1461 | X236655716Y-102055540D01* 1462 | X236663907Y-102036990D01* 1463 | X236676576Y-102024320D01* 1464 | X236691294Y-102002294D01* 1465 | X236713320Y-101987576D01* 1466 | X236725990Y-101974907D01* 1467 | X236744541Y-101966715D01* 1468 | X236769156Y-101950269D01* 1469 | X236792147Y-101945695D01* 1470 | X236808374Y-101938531D01* 1471 | X236855863Y-101933021D01* 1472 | X236861000Y-101932000D01* 1473 | X238881000Y-101932000D01* 1474 | X238886139Y-101933022D01* 1475 | G37* 1476 | G36* 1477 | X242786139Y-101933022D02* 1478 | G01* 1479 | X242833624Y-101938531D01* 1480 | X242849849Y-101945695D01* 1481 | X242872844Y-101950269D01* 1482 | X242897461Y-101966717D01* 1483 | X242916009Y-101974907D01* 1484 | X242928676Y-101987574D01* 1485 | X242950706Y-102002294D01* 1486 | X242965425Y-102024323D01* 1487 | X242978092Y-102036990D01* 1488 | X242986281Y-102055536D01* 1489 | X243002731Y-102080156D01* 1490 | X243007305Y-102103151D01* 1491 | X243014468Y-102119374D01* 1492 | X243019975Y-102166850D01* 1493 | X243021000Y-102172000D01* 1494 | X243021000Y-102552000D01* 1495 | X243019975Y-102557151D01* 1496 | X243014468Y-102604624D01* 1497 | X243007305Y-102620845D01* 1498 | X243002731Y-102643844D01* 1499 | X242986279Y-102668465D01* 1500 | X242978092Y-102687009D01* 1501 | X242965427Y-102699673D01* 1502 | X242950706Y-102721706D01* 1503 | X242928673Y-102736427D01* 1504 | X242916009Y-102749092D01* 1505 | X242897465Y-102757279D01* 1506 | X242872844Y-102773731D01* 1507 | X242849846Y-102778305D01* 1508 | X242833625Y-102785468D01* 1509 | X242786150Y-102790975D01* 1510 | X242781000Y-102792000D01* 1511 | X240761000Y-102792000D01* 1512 | X240755849Y-102790975D01* 1513 | X240708375Y-102785468D01* 1514 | X240692153Y-102778305D01* 1515 | X240669156Y-102773731D01* 1516 | X240644536Y-102757280D01* 1517 | X240625990Y-102749092D01* 1518 | X240613323Y-102736425D01* 1519 | X240591294Y-102721706D01* 1520 | X240576574Y-102699676D01* 1521 | X240563907Y-102687009D01* 1522 | X240555717Y-102668460D01* 1523 | X240539269Y-102643844D01* 1524 | X240534695Y-102620850D01* 1525 | X240527531Y-102604625D01* 1526 | X240522022Y-102557138D01* 1527 | X240521000Y-102552000D01* 1528 | X240521000Y-102172000D01* 1529 | X240522022Y-102166861D01* 1530 | X240527531Y-102119375D01* 1531 | X240534695Y-102103148D01* 1532 | X240539269Y-102080156D01* 1533 | X240555716Y-102055540D01* 1534 | X240563907Y-102036990D01* 1535 | X240576576Y-102024320D01* 1536 | X240591294Y-102002294D01* 1537 | X240613320Y-101987576D01* 1538 | X240625990Y-101974907D01* 1539 | X240644541Y-101966715D01* 1540 | X240669156Y-101950269D01* 1541 | X240692147Y-101945695D01* 1542 | X240708374Y-101938531D01* 1543 | X240755863Y-101933021D01* 1544 | X240761000Y-101932000D01* 1545 | X242781000Y-101932000D01* 1546 | X242786139Y-101933022D01* 1547 | G37* 1548 | G36* 1549 | X238886139Y-100663022D02* 1550 | G01* 1551 | X238933624Y-100668531D01* 1552 | X238949849Y-100675695D01* 1553 | X238972844Y-100680269D01* 1554 | X238997461Y-100696717D01* 1555 | X239016009Y-100704907D01* 1556 | X239028676Y-100717574D01* 1557 | X239050706Y-100732294D01* 1558 | X239065425Y-100754323D01* 1559 | X239078092Y-100766990D01* 1560 | X239086281Y-100785536D01* 1561 | X239102731Y-100810156D01* 1562 | X239107305Y-100833151D01* 1563 | X239114468Y-100849374D01* 1564 | X239119975Y-100896850D01* 1565 | X239121000Y-100902000D01* 1566 | X239121000Y-101282000D01* 1567 | X239119975Y-101287151D01* 1568 | X239114468Y-101334624D01* 1569 | X239107305Y-101350845D01* 1570 | X239102731Y-101373844D01* 1571 | X239086279Y-101398465D01* 1572 | X239078092Y-101417009D01* 1573 | X239065427Y-101429673D01* 1574 | X239050706Y-101451706D01* 1575 | X239028673Y-101466427D01* 1576 | X239016009Y-101479092D01* 1577 | X238997465Y-101487279D01* 1578 | X238972844Y-101503731D01* 1579 | X238949846Y-101508305D01* 1580 | X238933625Y-101515468D01* 1581 | X238886150Y-101520975D01* 1582 | X238881000Y-101522000D01* 1583 | X236861000Y-101522000D01* 1584 | X236855849Y-101520975D01* 1585 | X236808375Y-101515468D01* 1586 | X236792153Y-101508305D01* 1587 | X236769156Y-101503731D01* 1588 | X236744536Y-101487280D01* 1589 | X236725990Y-101479092D01* 1590 | X236713323Y-101466425D01* 1591 | X236691294Y-101451706D01* 1592 | X236676574Y-101429676D01* 1593 | X236663907Y-101417009D01* 1594 | X236655717Y-101398460D01* 1595 | X236639269Y-101373844D01* 1596 | X236634695Y-101350850D01* 1597 | X236627531Y-101334625D01* 1598 | X236622022Y-101287138D01* 1599 | X236621000Y-101282000D01* 1600 | X236621000Y-100902000D01* 1601 | X236622022Y-100896861D01* 1602 | X236627531Y-100849375D01* 1603 | X236634695Y-100833148D01* 1604 | X236639269Y-100810156D01* 1605 | X236655716Y-100785540D01* 1606 | X236663907Y-100766990D01* 1607 | X236676576Y-100754320D01* 1608 | X236691294Y-100732294D01* 1609 | X236713320Y-100717576D01* 1610 | X236725990Y-100704907D01* 1611 | X236744541Y-100696715D01* 1612 | X236769156Y-100680269D01* 1613 | X236792147Y-100675695D01* 1614 | X236808374Y-100668531D01* 1615 | X236855863Y-100663021D01* 1616 | X236861000Y-100662000D01* 1617 | X238881000Y-100662000D01* 1618 | X238886139Y-100663022D01* 1619 | G37* 1620 | G36* 1621 | X242786139Y-100663022D02* 1622 | G01* 1623 | X242833624Y-100668531D01* 1624 | X242849849Y-100675695D01* 1625 | X242872844Y-100680269D01* 1626 | X242897461Y-100696717D01* 1627 | X242916009Y-100704907D01* 1628 | X242928676Y-100717574D01* 1629 | X242950706Y-100732294D01* 1630 | X242965425Y-100754323D01* 1631 | X242978092Y-100766990D01* 1632 | X242986281Y-100785536D01* 1633 | X243002731Y-100810156D01* 1634 | X243007305Y-100833151D01* 1635 | X243014468Y-100849374D01* 1636 | X243019975Y-100896850D01* 1637 | X243021000Y-100902000D01* 1638 | X243021000Y-101282000D01* 1639 | X243019975Y-101287151D01* 1640 | X243014468Y-101334624D01* 1641 | X243007305Y-101350845D01* 1642 | X243002731Y-101373844D01* 1643 | X242986279Y-101398465D01* 1644 | X242978092Y-101417009D01* 1645 | X242965427Y-101429673D01* 1646 | X242950706Y-101451706D01* 1647 | X242928673Y-101466427D01* 1648 | X242916009Y-101479092D01* 1649 | X242897465Y-101487279D01* 1650 | X242872844Y-101503731D01* 1651 | X242849846Y-101508305D01* 1652 | X242833625Y-101515468D01* 1653 | X242786150Y-101520975D01* 1654 | X242781000Y-101522000D01* 1655 | X240761000Y-101522000D01* 1656 | X240755849Y-101520975D01* 1657 | X240708375Y-101515468D01* 1658 | X240692153Y-101508305D01* 1659 | X240669156Y-101503731D01* 1660 | X240644536Y-101487280D01* 1661 | X240625990Y-101479092D01* 1662 | X240613323Y-101466425D01* 1663 | X240591294Y-101451706D01* 1664 | X240576574Y-101429676D01* 1665 | X240563907Y-101417009D01* 1666 | X240555717Y-101398460D01* 1667 | X240539269Y-101373844D01* 1668 | X240534695Y-101350850D01* 1669 | X240527531Y-101334625D01* 1670 | X240522022Y-101287138D01* 1671 | X240521000Y-101282000D01* 1672 | X240521000Y-100902000D01* 1673 | X240522022Y-100896861D01* 1674 | X240527531Y-100849375D01* 1675 | X240534695Y-100833148D01* 1676 | X240539269Y-100810156D01* 1677 | X240555716Y-100785540D01* 1678 | X240563907Y-100766990D01* 1679 | X240576576Y-100754320D01* 1680 | X240591294Y-100732294D01* 1681 | X240613320Y-100717576D01* 1682 | X240625990Y-100704907D01* 1683 | X240644541Y-100696715D01* 1684 | X240669156Y-100680269D01* 1685 | X240692147Y-100675695D01* 1686 | X240708374Y-100668531D01* 1687 | X240755863Y-100663021D01* 1688 | X240761000Y-100662000D01* 1689 | X242781000Y-100662000D01* 1690 | X242786139Y-100663022D01* 1691 | G37* 1692 | G36* 1693 | X238886139Y-99393022D02* 1694 | G01* 1695 | X238933624Y-99398531D01* 1696 | X238949849Y-99405695D01* 1697 | X238972844Y-99410269D01* 1698 | X238997461Y-99426717D01* 1699 | X239016009Y-99434907D01* 1700 | X239028676Y-99447574D01* 1701 | X239050706Y-99462294D01* 1702 | X239065425Y-99484323D01* 1703 | X239078092Y-99496990D01* 1704 | X239086281Y-99515536D01* 1705 | X239102731Y-99540156D01* 1706 | X239107305Y-99563151D01* 1707 | X239114468Y-99579374D01* 1708 | X239119975Y-99626850D01* 1709 | X239121000Y-99632000D01* 1710 | X239121000Y-100012000D01* 1711 | X239119975Y-100017151D01* 1712 | X239114468Y-100064624D01* 1713 | X239107305Y-100080845D01* 1714 | X239102731Y-100103844D01* 1715 | X239086279Y-100128465D01* 1716 | X239078092Y-100147009D01* 1717 | X239065427Y-100159673D01* 1718 | X239050706Y-100181706D01* 1719 | X239028673Y-100196427D01* 1720 | X239016009Y-100209092D01* 1721 | X238997465Y-100217279D01* 1722 | X238972844Y-100233731D01* 1723 | X238949846Y-100238305D01* 1724 | X238933625Y-100245468D01* 1725 | X238886150Y-100250975D01* 1726 | X238881000Y-100252000D01* 1727 | X236861000Y-100252000D01* 1728 | X236855849Y-100250975D01* 1729 | X236808375Y-100245468D01* 1730 | X236792153Y-100238305D01* 1731 | X236769156Y-100233731D01* 1732 | X236744536Y-100217280D01* 1733 | X236725990Y-100209092D01* 1734 | X236713323Y-100196425D01* 1735 | X236691294Y-100181706D01* 1736 | X236676574Y-100159676D01* 1737 | X236663907Y-100147009D01* 1738 | X236655717Y-100128460D01* 1739 | X236639269Y-100103844D01* 1740 | X236634695Y-100080850D01* 1741 | X236627531Y-100064625D01* 1742 | X236622022Y-100017138D01* 1743 | X236621000Y-100012000D01* 1744 | X236621000Y-99632000D01* 1745 | X236622022Y-99626861D01* 1746 | X236627531Y-99579375D01* 1747 | X236634695Y-99563148D01* 1748 | X236639269Y-99540156D01* 1749 | X236655716Y-99515540D01* 1750 | X236663907Y-99496990D01* 1751 | X236676576Y-99484320D01* 1752 | X236691294Y-99462294D01* 1753 | X236713320Y-99447576D01* 1754 | X236725990Y-99434907D01* 1755 | X236744541Y-99426715D01* 1756 | X236769156Y-99410269D01* 1757 | X236792147Y-99405695D01* 1758 | X236808374Y-99398531D01* 1759 | X236855863Y-99393021D01* 1760 | X236861000Y-99392000D01* 1761 | X238881000Y-99392000D01* 1762 | X238886139Y-99393022D01* 1763 | G37* 1764 | G36* 1765 | X242786139Y-99393022D02* 1766 | G01* 1767 | X242833624Y-99398531D01* 1768 | X242849849Y-99405695D01* 1769 | X242872844Y-99410269D01* 1770 | X242897461Y-99426717D01* 1771 | X242916009Y-99434907D01* 1772 | X242928676Y-99447574D01* 1773 | X242950706Y-99462294D01* 1774 | X242965425Y-99484323D01* 1775 | X242978092Y-99496990D01* 1776 | X242986281Y-99515536D01* 1777 | X243002731Y-99540156D01* 1778 | X243007305Y-99563151D01* 1779 | X243014468Y-99579374D01* 1780 | X243019975Y-99626850D01* 1781 | X243021000Y-99632000D01* 1782 | X243021000Y-100012000D01* 1783 | X243019975Y-100017151D01* 1784 | X243014468Y-100064624D01* 1785 | X243007305Y-100080845D01* 1786 | X243002731Y-100103844D01* 1787 | X242986279Y-100128465D01* 1788 | X242978092Y-100147009D01* 1789 | X242965427Y-100159673D01* 1790 | X242950706Y-100181706D01* 1791 | X242928673Y-100196427D01* 1792 | X242916009Y-100209092D01* 1793 | X242897465Y-100217279D01* 1794 | X242872844Y-100233731D01* 1795 | X242849846Y-100238305D01* 1796 | X242833625Y-100245468D01* 1797 | X242786150Y-100250975D01* 1798 | X242781000Y-100252000D01* 1799 | X240761000Y-100252000D01* 1800 | X240755849Y-100250975D01* 1801 | X240708375Y-100245468D01* 1802 | X240692153Y-100238305D01* 1803 | X240669156Y-100233731D01* 1804 | X240644536Y-100217280D01* 1805 | X240625990Y-100209092D01* 1806 | X240613323Y-100196425D01* 1807 | X240591294Y-100181706D01* 1808 | X240576574Y-100159676D01* 1809 | X240563907Y-100147009D01* 1810 | X240555717Y-100128460D01* 1811 | X240539269Y-100103844D01* 1812 | X240534695Y-100080850D01* 1813 | X240527531Y-100064625D01* 1814 | X240522022Y-100017138D01* 1815 | X240521000Y-100012000D01* 1816 | X240521000Y-99632000D01* 1817 | X240522022Y-99626861D01* 1818 | X240527531Y-99579375D01* 1819 | X240534695Y-99563148D01* 1820 | X240539269Y-99540156D01* 1821 | X240555716Y-99515540D01* 1822 | X240563907Y-99496990D01* 1823 | X240576576Y-99484320D01* 1824 | X240591294Y-99462294D01* 1825 | X240613320Y-99447576D01* 1826 | X240625990Y-99434907D01* 1827 | X240644541Y-99426715D01* 1828 | X240669156Y-99410269D01* 1829 | X240692147Y-99405695D01* 1830 | X240708374Y-99398531D01* 1831 | X240755863Y-99393021D01* 1832 | X240761000Y-99392000D01* 1833 | X242781000Y-99392000D01* 1834 | X242786139Y-99393022D01* 1835 | G37* 1836 | G36* 1837 | X238886139Y-98123022D02* 1838 | G01* 1839 | X238933624Y-98128531D01* 1840 | X238949849Y-98135695D01* 1841 | X238972844Y-98140269D01* 1842 | X238997461Y-98156717D01* 1843 | X239016009Y-98164907D01* 1844 | X239028676Y-98177574D01* 1845 | X239050706Y-98192294D01* 1846 | X239065425Y-98214323D01* 1847 | X239078092Y-98226990D01* 1848 | X239086281Y-98245536D01* 1849 | X239102731Y-98270156D01* 1850 | X239107305Y-98293151D01* 1851 | X239114468Y-98309374D01* 1852 | X239119975Y-98356850D01* 1853 | X239121000Y-98362000D01* 1854 | X239121000Y-98742000D01* 1855 | X239119975Y-98747151D01* 1856 | X239114468Y-98794624D01* 1857 | X239107305Y-98810845D01* 1858 | X239102731Y-98833844D01* 1859 | X239086279Y-98858465D01* 1860 | X239078092Y-98877009D01* 1861 | X239065427Y-98889673D01* 1862 | X239050706Y-98911706D01* 1863 | X239028673Y-98926427D01* 1864 | X239016009Y-98939092D01* 1865 | X238997465Y-98947279D01* 1866 | X238972844Y-98963731D01* 1867 | X238949846Y-98968305D01* 1868 | X238933625Y-98975468D01* 1869 | X238886150Y-98980975D01* 1870 | X238881000Y-98982000D01* 1871 | X236861000Y-98982000D01* 1872 | X236855849Y-98980975D01* 1873 | X236808375Y-98975468D01* 1874 | X236792153Y-98968305D01* 1875 | X236769156Y-98963731D01* 1876 | X236744536Y-98947280D01* 1877 | X236725990Y-98939092D01* 1878 | X236713323Y-98926425D01* 1879 | X236691294Y-98911706D01* 1880 | X236676574Y-98889676D01* 1881 | X236663907Y-98877009D01* 1882 | X236655717Y-98858460D01* 1883 | X236639269Y-98833844D01* 1884 | X236634695Y-98810850D01* 1885 | X236627531Y-98794625D01* 1886 | X236622022Y-98747138D01* 1887 | X236621000Y-98742000D01* 1888 | X236621000Y-98362000D01* 1889 | X236622022Y-98356861D01* 1890 | X236627531Y-98309375D01* 1891 | X236634695Y-98293148D01* 1892 | X236639269Y-98270156D01* 1893 | X236655716Y-98245540D01* 1894 | X236663907Y-98226990D01* 1895 | X236676576Y-98214320D01* 1896 | X236691294Y-98192294D01* 1897 | X236713320Y-98177576D01* 1898 | X236725990Y-98164907D01* 1899 | X236744541Y-98156715D01* 1900 | X236769156Y-98140269D01* 1901 | X236792147Y-98135695D01* 1902 | X236808374Y-98128531D01* 1903 | X236855863Y-98123021D01* 1904 | X236861000Y-98122000D01* 1905 | X238881000Y-98122000D01* 1906 | X238886139Y-98123022D01* 1907 | G37* 1908 | G36* 1909 | X242786139Y-98123022D02* 1910 | G01* 1911 | X242833624Y-98128531D01* 1912 | X242849849Y-98135695D01* 1913 | X242872844Y-98140269D01* 1914 | X242897461Y-98156717D01* 1915 | X242916009Y-98164907D01* 1916 | X242928676Y-98177574D01* 1917 | X242950706Y-98192294D01* 1918 | X242965425Y-98214323D01* 1919 | X242978092Y-98226990D01* 1920 | X242986281Y-98245536D01* 1921 | X243002731Y-98270156D01* 1922 | X243007305Y-98293151D01* 1923 | X243014468Y-98309374D01* 1924 | X243019975Y-98356850D01* 1925 | X243021000Y-98362000D01* 1926 | X243021000Y-98742000D01* 1927 | X243019975Y-98747151D01* 1928 | X243014468Y-98794624D01* 1929 | X243007305Y-98810845D01* 1930 | X243002731Y-98833844D01* 1931 | X242986279Y-98858465D01* 1932 | X242978092Y-98877009D01* 1933 | X242965427Y-98889673D01* 1934 | X242950706Y-98911706D01* 1935 | X242928673Y-98926427D01* 1936 | X242916009Y-98939092D01* 1937 | X242897465Y-98947279D01* 1938 | X242872844Y-98963731D01* 1939 | X242849846Y-98968305D01* 1940 | X242833625Y-98975468D01* 1941 | X242786150Y-98980975D01* 1942 | X242781000Y-98982000D01* 1943 | X240761000Y-98982000D01* 1944 | X240755849Y-98980975D01* 1945 | X240708375Y-98975468D01* 1946 | X240692153Y-98968305D01* 1947 | X240669156Y-98963731D01* 1948 | X240644536Y-98947280D01* 1949 | X240625990Y-98939092D01* 1950 | X240613323Y-98926425D01* 1951 | X240591294Y-98911706D01* 1952 | X240576574Y-98889676D01* 1953 | X240563907Y-98877009D01* 1954 | X240555717Y-98858460D01* 1955 | X240539269Y-98833844D01* 1956 | X240534695Y-98810850D01* 1957 | X240527531Y-98794625D01* 1958 | X240522022Y-98747138D01* 1959 | X240521000Y-98742000D01* 1960 | X240521000Y-98362000D01* 1961 | X240522022Y-98356861D01* 1962 | X240527531Y-98309375D01* 1963 | X240534695Y-98293148D01* 1964 | X240539269Y-98270156D01* 1965 | X240555716Y-98245540D01* 1966 | X240563907Y-98226990D01* 1967 | X240576576Y-98214320D01* 1968 | X240591294Y-98192294D01* 1969 | X240613320Y-98177576D01* 1970 | X240625990Y-98164907D01* 1971 | X240644541Y-98156715D01* 1972 | X240669156Y-98140269D01* 1973 | X240692147Y-98135695D01* 1974 | X240708374Y-98128531D01* 1975 | X240755863Y-98123021D01* 1976 | X240761000Y-98122000D01* 1977 | X242781000Y-98122000D01* 1978 | X242786139Y-98123022D01* 1979 | G37* 1980 | G36* 1981 | X141893105Y-97465152D02* 1982 | G01* 1983 | X142046132Y-97518699D01* 1984 | X142183407Y-97604954D01* 1985 | X142298046Y-97719593D01* 1986 | X142384301Y-97856868D01* 1987 | X142437848Y-98009895D01* 1988 | X142456000Y-98171000D01* 1989 | X142437848Y-98332105D01* 1990 | X142384301Y-98485132D01* 1991 | X142298046Y-98622407D01* 1992 | X142183407Y-98737046D01* 1993 | X142046132Y-98823301D01* 1994 | X141893105Y-98876848D01* 1995 | X141732000Y-98895000D01* 1996 | X141570895Y-98876848D01* 1997 | X141417868Y-98823301D01* 1998 | X141280593Y-98737046D01* 1999 | X141165954Y-98622407D01* 2000 | X141079699Y-98485132D01* 2001 | X141026152Y-98332105D01* 2002 | X141008000Y-98171000D01* 2003 | X141026152Y-98009895D01* 2004 | X141079699Y-97856868D01* 2005 | X141165954Y-97719593D01* 2006 | X141280593Y-97604954D01* 2007 | X141417868Y-97518699D01* 2008 | X141570895Y-97465152D01* 2009 | X141732000Y-97447000D01* 2010 | X141893105Y-97465152D01* 2011 | G37* 2012 | G36* 2013 | X238886139Y-96853022D02* 2014 | G01* 2015 | X238933624Y-96858531D01* 2016 | X238949849Y-96865695D01* 2017 | X238972844Y-96870269D01* 2018 | X238997461Y-96886717D01* 2019 | X239016009Y-96894907D01* 2020 | X239028676Y-96907574D01* 2021 | X239050706Y-96922294D01* 2022 | X239065425Y-96944323D01* 2023 | X239078092Y-96956990D01* 2024 | X239086281Y-96975536D01* 2025 | X239102731Y-97000156D01* 2026 | X239107305Y-97023151D01* 2027 | X239114468Y-97039374D01* 2028 | X239119975Y-97086850D01* 2029 | X239121000Y-97092000D01* 2030 | X239121000Y-97472000D01* 2031 | X239119975Y-97477151D01* 2032 | X239114468Y-97524624D01* 2033 | X239107305Y-97540845D01* 2034 | X239102731Y-97563844D01* 2035 | X239086279Y-97588465D01* 2036 | X239078092Y-97607009D01* 2037 | X239065427Y-97619673D01* 2038 | X239050706Y-97641706D01* 2039 | X239028673Y-97656427D01* 2040 | X239016009Y-97669092D01* 2041 | X238997465Y-97677279D01* 2042 | X238972844Y-97693731D01* 2043 | X238949846Y-97698305D01* 2044 | X238933625Y-97705468D01* 2045 | X238886150Y-97710975D01* 2046 | X238881000Y-97712000D01* 2047 | X236861000Y-97712000D01* 2048 | X236855849Y-97710975D01* 2049 | X236808375Y-97705468D01* 2050 | X236792153Y-97698305D01* 2051 | X236769156Y-97693731D01* 2052 | X236744536Y-97677280D01* 2053 | X236725990Y-97669092D01* 2054 | X236713323Y-97656425D01* 2055 | X236691294Y-97641706D01* 2056 | X236676574Y-97619676D01* 2057 | X236663907Y-97607009D01* 2058 | X236655717Y-97588460D01* 2059 | X236639269Y-97563844D01* 2060 | X236634695Y-97540850D01* 2061 | X236627531Y-97524625D01* 2062 | X236622022Y-97477138D01* 2063 | X236621000Y-97472000D01* 2064 | X236621000Y-97092000D01* 2065 | X236622022Y-97086861D01* 2066 | X236627531Y-97039375D01* 2067 | X236634695Y-97023148D01* 2068 | X236639269Y-97000156D01* 2069 | X236655716Y-96975540D01* 2070 | X236663907Y-96956990D01* 2071 | X236676576Y-96944320D01* 2072 | X236691294Y-96922294D01* 2073 | X236713320Y-96907576D01* 2074 | X236725990Y-96894907D01* 2075 | X236744541Y-96886715D01* 2076 | X236769156Y-96870269D01* 2077 | X236792147Y-96865695D01* 2078 | X236808374Y-96858531D01* 2079 | X236855863Y-96853021D01* 2080 | X236861000Y-96852000D01* 2081 | X238881000Y-96852000D01* 2082 | X238886139Y-96853022D01* 2083 | G37* 2084 | G36* 2085 | X242786139Y-96853022D02* 2086 | G01* 2087 | X242833624Y-96858531D01* 2088 | X242849849Y-96865695D01* 2089 | X242872844Y-96870269D01* 2090 | X242897461Y-96886717D01* 2091 | X242916009Y-96894907D01* 2092 | X242928676Y-96907574D01* 2093 | X242950706Y-96922294D01* 2094 | X242965425Y-96944323D01* 2095 | X242978092Y-96956990D01* 2096 | X242986281Y-96975536D01* 2097 | X243002731Y-97000156D01* 2098 | X243007305Y-97023151D01* 2099 | X243014468Y-97039374D01* 2100 | X243019975Y-97086850D01* 2101 | X243021000Y-97092000D01* 2102 | X243021000Y-97472000D01* 2103 | X243019975Y-97477151D01* 2104 | X243014468Y-97524624D01* 2105 | X243007305Y-97540845D01* 2106 | X243002731Y-97563844D01* 2107 | X242986279Y-97588465D01* 2108 | X242978092Y-97607009D01* 2109 | X242965427Y-97619673D01* 2110 | X242950706Y-97641706D01* 2111 | X242928673Y-97656427D01* 2112 | X242916009Y-97669092D01* 2113 | X242897465Y-97677279D01* 2114 | X242872844Y-97693731D01* 2115 | X242849846Y-97698305D01* 2116 | X242833625Y-97705468D01* 2117 | X242786150Y-97710975D01* 2118 | X242781000Y-97712000D01* 2119 | X240761000Y-97712000D01* 2120 | X240755849Y-97710975D01* 2121 | X240708375Y-97705468D01* 2122 | X240692153Y-97698305D01* 2123 | X240669156Y-97693731D01* 2124 | X240644536Y-97677280D01* 2125 | X240625990Y-97669092D01* 2126 | X240613323Y-97656425D01* 2127 | X240591294Y-97641706D01* 2128 | X240576574Y-97619676D01* 2129 | X240563907Y-97607009D01* 2130 | X240555717Y-97588460D01* 2131 | X240539269Y-97563844D01* 2132 | X240534695Y-97540850D01* 2133 | X240527531Y-97524625D01* 2134 | X240522022Y-97477138D01* 2135 | X240521000Y-97472000D01* 2136 | X240521000Y-97092000D01* 2137 | X240522022Y-97086861D01* 2138 | X240527531Y-97039375D01* 2139 | X240534695Y-97023148D01* 2140 | X240539269Y-97000156D01* 2141 | X240555716Y-96975540D01* 2142 | X240563907Y-96956990D01* 2143 | X240576576Y-96944320D01* 2144 | X240591294Y-96922294D01* 2145 | X240613320Y-96907576D01* 2146 | X240625990Y-96894907D01* 2147 | X240644541Y-96886715D01* 2148 | X240669156Y-96870269D01* 2149 | X240692147Y-96865695D01* 2150 | X240708374Y-96858531D01* 2151 | X240755863Y-96853021D01* 2152 | X240761000Y-96852000D01* 2153 | X242781000Y-96852000D01* 2154 | X242786139Y-96853022D01* 2155 | G37* 2156 | G36* 2157 | X163800605Y-81717152D02* 2158 | G01* 2159 | X163953632Y-81770699D01* 2160 | X164090907Y-81856954D01* 2161 | X164205546Y-81971593D01* 2162 | X164291801Y-82108868D01* 2163 | X164345348Y-82261895D01* 2164 | X164363500Y-82423000D01* 2165 | X164345348Y-82584105D01* 2166 | X164291801Y-82737132D01* 2167 | X164205546Y-82874407D01* 2168 | X164090907Y-82989046D01* 2169 | X163953632Y-83075301D01* 2170 | X163800605Y-83128848D01* 2171 | X163639500Y-83147000D01* 2172 | X163478395Y-83128848D01* 2173 | X163325368Y-83075301D01* 2174 | X163188093Y-82989046D01* 2175 | X163073454Y-82874407D01* 2176 | X162987199Y-82737132D01* 2177 | X162933652Y-82584105D01* 2178 | X162915500Y-82423000D01* 2179 | X162933652Y-82261895D01* 2180 | X162987199Y-82108868D01* 2181 | X163073454Y-81971593D01* 2182 | X163188093Y-81856954D01* 2183 | X163325368Y-81770699D01* 2184 | X163478395Y-81717152D01* 2185 | X163639500Y-81699000D01* 2186 | X163800605Y-81717152D01* 2187 | G37* 2188 | G36* 2189 | X242223105Y-81717152D02* 2190 | G01* 2191 | X242376132Y-81770699D01* 2192 | X242513407Y-81856954D01* 2193 | X242628046Y-81971593D01* 2194 | X242714301Y-82108868D01* 2195 | X242767848Y-82261895D01* 2196 | X242786000Y-82423000D01* 2197 | X242767848Y-82584105D01* 2198 | X242714301Y-82737132D01* 2199 | X242628046Y-82874407D01* 2200 | X242513407Y-82989046D01* 2201 | X242376132Y-83075301D01* 2202 | X242223105Y-83128848D01* 2203 | X242062000Y-83147000D01* 2204 | X241900895Y-83128848D01* 2205 | X241747868Y-83075301D01* 2206 | X241610593Y-82989046D01* 2207 | X241495954Y-82874407D01* 2208 | X241409699Y-82737132D01* 2209 | X241356152Y-82584105D01* 2210 | X241338000Y-82423000D01* 2211 | X241356152Y-82261895D01* 2212 | X241409699Y-82108868D01* 2213 | X241495954Y-81971593D01* 2214 | X241610593Y-81856954D01* 2215 | X241747868Y-81770699D01* 2216 | X241900895Y-81717152D01* 2217 | X242062000Y-81699000D01* 2218 | X242223105Y-81717152D01* 2219 | G37* 2220 | M02* 2221 | -------------------------------------------------------------------------------- /gerber/TimeDisk-Edge_Cuts.gm1: -------------------------------------------------------------------------------- 1 | G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* 2 | G04 #@! TF.CreationDate,2024-04-24T04:09:30-04:00* 3 | G04 #@! TF.ProjectId,TimeDisk,54696d65-4469-4736-9b2e-6b696361645f,1.0* 4 | G04 #@! TF.SameCoordinates,Original* 5 | G04 #@! TF.FileFunction,Profile,NP* 6 | %FSLAX46Y46*% 7 | G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* 8 | G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:30* 9 | %MOMM*% 10 | %LPD*% 11 | G01* 12 | G04 APERTURE LIST* 13 | G04 #@! TA.AperFunction,Profile* 14 | %ADD10C,0.150000*% 15 | G04 #@! TD* 16 | G04 APERTURE END LIST* 17 | D10* 18 | X240538000Y-139700000D02* 19 | X176022000Y-139700000D01* 20 | X142113000Y-132080000D02* 21 | X175514000Y-132080000D01* 22 | X247142000Y-129540000D02* 23 | X247142000Y-82423000D01* 24 | X244602000Y-79883000D02* 25 | X155829000Y-79883000D01* 26 | X175514000Y-139192000D02* 27 | G75* 28 | G03* 29 | X176022000Y-139700000I508000J0D01* 30 | G01* 31 | X240538000Y-139700000D02* 32 | G75* 33 | G03* 34 | X241046000Y-139192000I0J508000D01* 35 | G01* 36 | X175514000Y-132080000D02* 37 | X175514000Y-139192000D01* 38 | X139573000Y-129540000D02* 39 | X139573000Y-96139000D01* 40 | X139573000Y-129540000D02* 41 | G75* 42 | G03* 43 | X142113000Y-132080000I2540000J0D01* 44 | G01* 45 | X244602000Y-132080000D02* 46 | X241046000Y-132080000D01* 47 | X241046000Y-132080000D02* 48 | X241046000Y-139192000D01* 49 | X244602000Y-132080000D02* 50 | G75* 51 | G03* 52 | X247142000Y-129540000I0J2540000D01* 53 | G01* 54 | X247142000Y-82423000D02* 55 | G75* 56 | G03* 57 | X244602000Y-79883000I-2540000J0D01* 58 | G01* 59 | X140081001Y-94614999D02* 60 | G75* 61 | G03* 62 | X139573000Y-96139000I2031999J-1524001D01* 63 | G01* 64 | X155829000Y-79883000D02* 65 | G75* 66 | G03* 67 | X154305000Y-80391000I0J-2540000D01* 68 | G01* 69 | X144272000Y-120142000D02* 70 | G75* 71 | G03* 72 | X143002000Y-120142000I-635000J0D01* 73 | G01* 74 | X143002000Y-122428000D02* 75 | G75* 76 | G03* 77 | X144272000Y-122428000I635000J0D01* 78 | G01* 79 | X144272000Y-120142000D02* 80 | X144272000Y-122428000D01* 81 | X143002000Y-120142000D02* 82 | X143002000Y-122428000D01* 83 | X148209000Y-120142000D02* 84 | X148209000Y-122428000D01* 85 | X148209000Y-122428000D02* 86 | G75* 87 | G03* 88 | X149479000Y-122428000I635000J0D01* 89 | G01* 90 | X149479000Y-120142000D02* 91 | X149479000Y-122428000D01* 92 | X149479000Y-120142000D02* 93 | G75* 94 | G03* 95 | X148209000Y-120142000I-635000J0D01* 96 | G01* 97 | X154305000Y-80391000D02* 98 | X140081001Y-94614999D01* 99 | M02* 100 | -------------------------------------------------------------------------------- /gerber/TimeDisk-F_Paste.gtp: -------------------------------------------------------------------------------- 1 | G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* 2 | G04 #@! TF.CreationDate,2024-04-24T04:09:30-04:00* 3 | G04 #@! TF.ProjectId,TimeDisk,54696d65-4469-4736-9b2e-6b696361645f,1.0* 4 | G04 #@! TF.SameCoordinates,Original* 5 | G04 #@! TF.FileFunction,Paste,Top* 6 | G04 #@! TF.FilePolarity,Positive* 7 | %FSLAX46Y46*% 8 | G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* 9 | G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:30* 10 | %MOMM*% 11 | %LPD*% 12 | G01* 13 | G04 APERTURE LIST* 14 | G04 Aperture macros list* 15 | %AMRoundRect* 16 | 0 Rectangle with rounded corners* 17 | 0 $1 Rounding radius* 18 | 0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* 19 | 0 Add a 4 corners polygon primitive as box body* 20 | 4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* 21 | 0 Add four circle primitives for the rounded corners* 22 | 1,1,$1+$1,$2,$3* 23 | 1,1,$1+$1,$4,$5* 24 | 1,1,$1+$1,$6,$7* 25 | 1,1,$1+$1,$8,$9* 26 | 0 Add four rect primitives between the rounded corners* 27 | 20,1,$1+$1,$2,$3,$4,$5,0* 28 | 20,1,$1+$1,$4,$5,$6,$7,0* 29 | 20,1,$1+$1,$6,$7,$8,$9,0* 30 | 20,1,$1+$1,$8,$9,$2,$3,0*% 31 | G04 Aperture macros list end* 32 | %ADD10RoundRect,0.250000X0.250000X0.425000X-0.250000X0.425000X-0.250000X-0.425000X0.250000X-0.425000X0*% 33 | %ADD11RoundRect,0.250000X-0.425000X0.250000X-0.425000X-0.250000X0.425000X-0.250000X0.425000X0.250000X0*% 34 | %ADD12RoundRect,0.200000X-0.200000X-0.475000X0.200000X-0.475000X0.200000X0.475000X-0.200000X0.475000X0*% 35 | %ADD13RoundRect,0.250000X-0.250000X-0.425000X0.250000X-0.425000X0.250000X0.425000X-0.250000X0.425000X0*% 36 | %ADD14RoundRect,0.137500X-0.137500X-0.575000X0.137500X-0.575000X0.137500X0.575000X-0.137500X0.575000X0*% 37 | %ADD15RoundRect,0.137500X-0.575000X-0.137500X0.575000X-0.137500X0.575000X0.137500X-0.575000X0.137500X0*% 38 | %ADD16RoundRect,0.137500X-0.862500X-0.137500X0.862500X-0.137500X0.862500X0.137500X-0.862500X0.137500X0*% 39 | %ADD17RoundRect,0.112500X0.112500X-0.625000X0.112500X0.625000X-0.112500X0.625000X-0.112500X-0.625000X0*% 40 | %ADD18RoundRect,0.330200X-1.481742X1.948716X-1.948716X1.481742X1.481742X-1.948716X1.948716X-1.481742X0*% 41 | %ADD19RoundRect,0.633570X0.000000X2.090674X-2.090674X0.000000X0.000000X-2.090674X2.090674X0.000000X0*% 42 | %ADD20RoundRect,0.137500X-0.812500X-0.137500X0.812500X-0.137500X0.812500X0.137500X-0.812500X0.137500X0*% 43 | %ADD21RoundRect,0.137500X0.137500X0.687500X-0.137500X0.687500X-0.137500X-0.687500X0.137500X-0.687500X0*% 44 | %ADD22RoundRect,0.137500X0.687500X0.137500X-0.687500X0.137500X-0.687500X-0.137500X0.687500X-0.137500X0*% 45 | %ADD23RoundRect,0.200000X0.475000X-0.200000X0.475000X0.200000X-0.475000X0.200000X-0.475000X-0.200000X0*% 46 | %ADD24RoundRect,0.250000X0.425000X-0.250000X0.425000X0.250000X-0.425000X0.250000X-0.425000X-0.250000X0*% 47 | %ADD25RoundRect,0.192500X-0.242500X0.192500X-0.242500X-0.192500X0.242500X-0.192500X0.242500X0.192500X0*% 48 | %ADD26RoundRect,0.175000X0.175000X-0.450000X0.175000X0.450000X-0.175000X0.450000X-0.175000X-0.450000X0*% 49 | %ADD27RoundRect,0.080000X0.080000X0.555000X-0.080000X0.555000X-0.080000X-0.555000X0.080000X-0.555000X0*% 50 | %ADD28RoundRect,0.075000X0.075000X0.550000X-0.075000X0.550000X-0.075000X-0.550000X0.075000X-0.550000X0*% 51 | %ADD29RoundRect,0.437500X0.437500X0.487500X-0.437500X0.487500X-0.437500X-0.487500X0.437500X-0.487500X0*% 52 | %ADD30RoundRect,0.387500X0.637500X0.387500X-0.637500X0.387500X-0.637500X-0.387500X0.637500X-0.387500X0*% 53 | %ADD31RoundRect,0.462500X0.462500X0.462500X-0.462500X0.462500X-0.462500X-0.462500X0.462500X-0.462500X0*% 54 | %ADD32RoundRect,0.312500X0.312500X0.312500X-0.312500X0.312500X-0.312500X-0.312500X0.312500X-0.312500X0*% 55 | %ADD33RoundRect,0.130000X0.130000X-0.405000X0.130000X0.405000X-0.130000X0.405000X-0.130000X-0.405000X0*% 56 | %ADD34RoundRect,0.080000X0.080000X-0.455000X0.080000X0.455000X-0.080000X0.455000X-0.080000X-0.455000X0*% 57 | %ADD35RoundRect,0.092500X0.092500X-0.442500X0.092500X0.442500X-0.092500X0.442500X-0.092500X-0.442500X0*% 58 | %ADD36RoundRect,0.192500X0.192500X0.242500X-0.192500X0.242500X-0.192500X-0.242500X0.192500X-0.242500X0*% 59 | %ADD37RoundRect,0.312500X-0.312500X-0.312500X0.312500X-0.312500X0.312500X0.312500X-0.312500X0.312500X0*% 60 | %ADD38RoundRect,0.200000X0.200000X0.475000X-0.200000X0.475000X-0.200000X-0.475000X0.200000X-0.475000X0*% 61 | %ADD39RoundRect,0.187500X0.387500X0.187500X-0.387500X0.187500X-0.387500X-0.187500X0.387500X-0.187500X0*% 62 | %ADD40RoundRect,0.177500X0.177500X-0.559500X0.177500X0.559500X-0.177500X0.559500X-0.177500X-0.559500X0*% 63 | %ADD41RoundRect,0.192500X-0.192500X-0.242500X0.192500X-0.242500X0.192500X0.242500X-0.192500X0.242500X0*% 64 | %ADD42RoundRect,0.137500X0.812500X0.137500X-0.812500X0.137500X-0.812500X-0.137500X0.812500X-0.137500X0*% 65 | %ADD43RoundRect,0.275000X0.275000X-0.375000X0.275000X0.375000X-0.275000X0.375000X-0.275000X-0.375000X0*% 66 | G04 APERTURE END LIST* 67 | D10* 68 | X171958000Y-85725000D03* 69 | X170258000Y-85725000D03* 70 | X238760000Y-128270000D03* 71 | X237060000Y-128270000D03* 72 | X222680000Y-128270000D03* 73 | X220980000Y-128270000D03* 74 | X200660000Y-83820000D03* 75 | X198960000Y-83820000D03* 76 | D11* 77 | X193040000Y-106680000D03* 78 | X193040000Y-108380000D03* 79 | D12* 80 | X209296000Y-83820000D03* 81 | X211196000Y-83820000D03* 82 | D13* 83 | X168846500Y-113792000D03* 84 | X170546500Y-113792000D03* 85 | X227115000Y-124460000D03* 86 | X228815000Y-124460000D03* 87 | D11* 88 | X233680000Y-102425500D03* 89 | X233680000Y-104125500D03* 90 | D13* 91 | X219710000Y-83820000D03* 92 | X221410000Y-83820000D03* 93 | X213360000Y-83820000D03* 94 | X215060000Y-83820000D03* 95 | X216740000Y-128270000D03* 96 | X218440000Y-128270000D03* 97 | D14* 98 | X181610000Y-107462500D03* 99 | X180340000Y-107462500D03* 100 | X179070000Y-107462500D03* 101 | X177800000Y-107462500D03* 102 | D15* 103 | X176047500Y-109220000D03* 104 | X176047500Y-110490000D03* 105 | X176047500Y-111760000D03* 106 | X176047500Y-113030000D03* 107 | X176047500Y-114300000D03* 108 | X176047500Y-115570000D03* 109 | X176047500Y-116840000D03* 110 | X176047500Y-118110000D03* 111 | X176047500Y-119380000D03* 112 | D14* 113 | X177800000Y-121137500D03* 114 | X179070000Y-121137500D03* 115 | X180340000Y-121137500D03* 116 | X181610000Y-121137500D03* 117 | X182880000Y-121137500D03* 118 | X184150000Y-121137500D03* 119 | X185420000Y-121137500D03* 120 | D15* 121 | X187172500Y-119380000D03* 122 | X187172500Y-118110000D03* 123 | X187172500Y-116840000D03* 124 | X187172500Y-115570000D03* 125 | X187172500Y-114300000D03* 126 | X187172500Y-113030000D03* 127 | X187172500Y-111760000D03* 128 | X187172500Y-110490000D03* 129 | X187172500Y-109220000D03* 130 | D14* 131 | X185420000Y-107462500D03* 132 | X184150000Y-107462500D03* 133 | X182880000Y-107462500D03* 134 | D13* 135 | X179451000Y-102235000D03* 136 | X181151000Y-102235000D03* 137 | D10* 138 | X176403000Y-129159000D03* 139 | X174703000Y-129159000D03* 140 | D13* 141 | X156210000Y-111760000D03* 142 | X157910000Y-111760000D03* 143 | X160655000Y-111760000D03* 144 | X162355000Y-111760000D03* 145 | X180467000Y-85725000D03* 146 | X182167000Y-85725000D03* 147 | D16* 148 | X151306000Y-114300000D03* 149 | X151306000Y-115570000D03* 150 | X151306000Y-116840000D03* 151 | X151306000Y-118110000D03* 152 | X151306000Y-119380000D03* 153 | X151306000Y-120650000D03* 154 | X151306000Y-121920000D03* 155 | X151306000Y-123190000D03* 156 | X160606000Y-123190000D03* 157 | X160606000Y-121920000D03* 158 | X160606000Y-120650000D03* 159 | X160606000Y-119380000D03* 160 | X160606000Y-118110000D03* 161 | X160606000Y-116840000D03* 162 | X160606000Y-115570000D03* 163 | X160606000Y-114300000D03* 164 | D13* 165 | X151765000Y-111760000D03* 166 | X153465000Y-111760000D03* 167 | D17* 168 | X171600000Y-99587500D03* 169 | X172400000Y-99587500D03* 170 | X173200000Y-99587500D03* 171 | X174000000Y-99587500D03* 172 | X174800000Y-99587500D03* 173 | X175600000Y-99587500D03* 174 | X176400000Y-99587500D03* 175 | X177200000Y-99587500D03* 176 | X178000000Y-99587500D03* 177 | X178800000Y-99587500D03* 178 | X179600000Y-99587500D03* 179 | X180400000Y-99587500D03* 180 | X181200000Y-99587500D03* 181 | X182000000Y-99587500D03* 182 | X182800000Y-99587500D03* 183 | X183600000Y-99587500D03* 184 | X184400000Y-99587500D03* 185 | X185200000Y-99587500D03* 186 | X186000000Y-99587500D03* 187 | X186800000Y-99587500D03* 188 | X187600000Y-99587500D03* 189 | X188400000Y-99587500D03* 190 | X188400000Y-88412500D03* 191 | X187600000Y-88412500D03* 192 | X186800000Y-88412500D03* 193 | X186000000Y-88412500D03* 194 | X185200000Y-88412500D03* 195 | X184400000Y-88412500D03* 196 | X183600000Y-88412500D03* 197 | X182800000Y-88412500D03* 198 | X182000000Y-88412500D03* 199 | X181200000Y-88412500D03* 200 | X180400000Y-88412500D03* 201 | X179600000Y-88412500D03* 202 | X178800000Y-88412500D03* 203 | X178000000Y-88412500D03* 204 | X177200000Y-88412500D03* 205 | X176400000Y-88412500D03* 206 | X175600000Y-88412500D03* 207 | X174800000Y-88412500D03* 208 | X174000000Y-88412500D03* 209 | X173200000Y-88412500D03* 210 | X172400000Y-88412500D03* 211 | X171600000Y-88412500D03* 212 | D18* 213 | X161609823Y-86294177D03* 214 | X145984177Y-101919823D03* 215 | D19* 216 | X153797000Y-94107000D03* 217 | D13* 218 | X170766000Y-129159000D03* 219 | X172466000Y-129159000D03* 220 | D10* 221 | X149542500Y-111760000D03* 222 | X147842500Y-111760000D03* 223 | D20* 224 | X163895000Y-115570000D03* 225 | X163895000Y-116840000D03* 226 | X163895000Y-118110000D03* 227 | X163895000Y-119380000D03* 228 | X163895000Y-120650000D03* 229 | X163895000Y-121920000D03* 230 | X163895000Y-123190000D03* 231 | X168845000Y-123190000D03* 232 | X168845000Y-121920000D03* 233 | X168845000Y-120650000D03* 234 | X168845000Y-119380000D03* 235 | X168845000Y-118110000D03* 236 | X168845000Y-116840000D03* 237 | X168845000Y-115570000D03* 238 | D21* 239 | X213360000Y-118490000D03* 240 | X214630000Y-118490000D03* 241 | X215900000Y-118490000D03* 242 | X217170000Y-118490000D03* 243 | X218440000Y-118490000D03* 244 | X219710000Y-118490000D03* 245 | X220980000Y-118490000D03* 246 | X222250000Y-118490000D03* 247 | X223520000Y-118490000D03* 248 | X224790000Y-118490000D03* 249 | X226060000Y-118490000D03* 250 | D22* 251 | X227710000Y-116840000D03* 252 | X227710000Y-115570000D03* 253 | X227710000Y-114300000D03* 254 | X227710000Y-113030000D03* 255 | X227710000Y-111760000D03* 256 | X227710000Y-110490000D03* 257 | X227710000Y-109220000D03* 258 | X227710000Y-107950000D03* 259 | X227710000Y-106680000D03* 260 | X227710000Y-105410000D03* 261 | X227710000Y-104140000D03* 262 | X227710000Y-102870000D03* 263 | X227710000Y-101600000D03* 264 | X227710000Y-100330000D03* 265 | X227710000Y-99060000D03* 266 | X227710000Y-97790000D03* 267 | X227710000Y-96520000D03* 268 | X227710000Y-95250000D03* 269 | X227710000Y-93980000D03* 270 | X227710000Y-92710000D03* 271 | X227710000Y-91440000D03* 272 | D21* 273 | X226060000Y-89790000D03* 274 | X224790000Y-89790000D03* 275 | X223520000Y-89790000D03* 276 | X222250000Y-89790000D03* 277 | X220980000Y-89790000D03* 278 | X219710000Y-89790000D03* 279 | X218440000Y-89790000D03* 280 | X217170000Y-89790000D03* 281 | X215900000Y-89790000D03* 282 | X214630000Y-89790000D03* 283 | X213360000Y-89790000D03* 284 | X212090000Y-89790000D03* 285 | X210820000Y-89790000D03* 286 | X209550000Y-89790000D03* 287 | X208280000Y-89790000D03* 288 | X207010000Y-89790000D03* 289 | X205740000Y-89790000D03* 290 | X204470000Y-89790000D03* 291 | X203200000Y-89790000D03* 292 | X201930000Y-89790000D03* 293 | X200660000Y-89790000D03* 294 | D22* 295 | X199010000Y-91440000D03* 296 | X199010000Y-92710000D03* 297 | X199010000Y-93980000D03* 298 | X199010000Y-95250000D03* 299 | X199010000Y-96520000D03* 300 | X199010000Y-97790000D03* 301 | X199010000Y-99060000D03* 302 | X199010000Y-100330000D03* 303 | X199010000Y-101600000D03* 304 | X199010000Y-102870000D03* 305 | X199010000Y-104140000D03* 306 | X199010000Y-105410000D03* 307 | X199010000Y-106680000D03* 308 | X199010000Y-107950000D03* 309 | X199010000Y-109220000D03* 310 | X199010000Y-110490000D03* 311 | X199010000Y-111760000D03* 312 | X199010000Y-113030000D03* 313 | X199010000Y-114300000D03* 314 | X199010000Y-115570000D03* 315 | X199010000Y-116840000D03* 316 | D21* 317 | X200660000Y-118490000D03* 318 | X201930000Y-118490000D03* 319 | X203200000Y-118490000D03* 320 | X204470000Y-118490000D03* 321 | X205740000Y-118490000D03* 322 | X207010000Y-118490000D03* 323 | X208280000Y-118490000D03* 324 | X209550000Y-118490000D03* 325 | X210820000Y-118490000D03* 326 | X212090000Y-118490000D03* 327 | D12* 328 | X203840000Y-83820000D03* 329 | X205740000Y-83820000D03* 330 | D23* 331 | X166941500Y-114046000D03* 332 | X166941500Y-112146000D03* 333 | D12* 334 | X223522500Y-83820000D03* 335 | X225422500Y-83820000D03* 336 | D13* 337 | X207259000Y-124460000D03* 338 | X208959000Y-124460000D03* 339 | X237950000Y-86350000D03* 340 | X239650000Y-86350000D03* 341 | D12* 342 | X150450000Y-107600000D03* 343 | X152350000Y-107600000D03* 344 | D24* 345 | X169500000Y-100200000D03* 346 | X169500000Y-98500000D03* 347 | D25* 348 | X241173000Y-114300000D03* 349 | X241173000Y-115800000D03* 350 | D12* 351 | X234193000Y-122745500D03* 352 | X236093000Y-122745500D03* 353 | D26* 354 | X168850000Y-104550000D03* 355 | X170750000Y-104550000D03* 356 | X169800000Y-102450000D03* 357 | D27* 358 | X236100000Y-83975000D03* 359 | X235450000Y-83975000D03* 360 | X234800000Y-83975000D03* 361 | X234150000Y-83975000D03* 362 | D28* 363 | X233500000Y-83975000D03* 364 | D29* 365 | X238600000Y-81300000D03* 366 | D30* 367 | X237900000Y-83850000D03* 368 | D31* 369 | X236000000Y-81300000D03* 370 | X233600000Y-81300000D03* 371 | D30* 372 | X231700000Y-83850000D03* 373 | D29* 374 | X231000000Y-81300000D03* 375 | D32* 376 | X145700000Y-111750000D03* 377 | X142600000Y-111750000D03* 378 | D13* 379 | X234251500Y-120078500D03* 380 | X235951500Y-120078500D03* 381 | X190250000Y-83800000D03* 382 | X191950000Y-83800000D03* 383 | D33* 384 | X190250000Y-89300000D03* 385 | D34* 386 | X190975000Y-89300000D03* 387 | X191625000Y-89300000D03* 388 | D33* 389 | X192350000Y-89300000D03* 390 | X192350000Y-86000000D03* 391 | D35* 392 | X191625000Y-86000000D03* 393 | X190975000Y-86000000D03* 394 | D33* 395 | X190250000Y-86000000D03* 396 | D36* 397 | X243900000Y-111750000D03* 398 | X242400000Y-111750000D03* 399 | D37* 400 | X145700000Y-107600000D03* 401 | X148800000Y-107600000D03* 402 | D38* 403 | X143500000Y-109600000D03* 404 | X141600000Y-109600000D03* 405 | D39* 406 | X143800000Y-107600000D03* 407 | X141600000Y-107600000D03* 408 | D40* 409 | X233680000Y-97917000D03* 410 | X234950000Y-97917000D03* 411 | X234950000Y-90297000D03* 412 | X233680000Y-90297000D03* 413 | D13* 414 | X219050500Y-124460000D03* 415 | X220750500Y-124460000D03* 416 | D38* 417 | X235011000Y-106362500D03* 418 | X233111000Y-106362500D03* 419 | X192910500Y-97599500D03* 420 | X191010500Y-97599500D03* 421 | D10* 422 | X170650000Y-106999999D03* 423 | X168950000Y-106999999D03* 424 | D41* 425 | X242200000Y-105900000D03* 426 | X243700000Y-105900000D03* 427 | D42* 428 | X239201500Y-118110000D03* 429 | X239201500Y-116840000D03* 430 | X239201500Y-115570000D03* 431 | X239201500Y-114300000D03* 432 | X239201500Y-113030000D03* 433 | X239201500Y-111760000D03* 434 | X239201500Y-110490000D03* 435 | X239201500Y-109220000D03* 436 | X234251500Y-109220000D03* 437 | X234251500Y-110490000D03* 438 | X234251500Y-111760000D03* 439 | X234251500Y-113030000D03* 440 | X234251500Y-114300000D03* 441 | X234251500Y-115570000D03* 442 | X234251500Y-116840000D03* 443 | X234251500Y-118110000D03* 444 | D43* 445 | X243899400Y-109849400D03* 446 | X243899400Y-107649400D03* 447 | X242199400Y-107649400D03* 448 | X242199400Y-109849400D03* 449 | D26* 450 | X141750000Y-105650000D03* 451 | X143650000Y-105650000D03* 452 | X142700000Y-103550000D03* 453 | M02* 454 | -------------------------------------------------------------------------------- /gerber/TimeDisk-job.gbrjob: -------------------------------------------------------------------------------- 1 | { 2 | "Header": { 3 | "GenerationSoftware": { 4 | "Vendor": "KiCad", 5 | "Application": "Pcbnew", 6 | "Version": "7.0.10" 7 | }, 8 | "CreationDate": "2024-04-24T04:09:30-04:00" 9 | }, 10 | "GeneralSpecs": { 11 | "ProjectId": { 12 | "Name": "TimeDisk", 13 | "GUID": "54696d65-4469-4736-9b2e-6b696361645f", 14 | "Revision": "1.0" 15 | }, 16 | "Size": { 17 | "X": 107.719, 18 | "Y": 59.967 19 | }, 20 | "LayerNumber": 4, 21 | "BoardThickness": 1.6108, 22 | "Finish": "None" 23 | }, 24 | "DesignRules": [ 25 | { 26 | "Layers": "Outer", 27 | "PadToPad": 0.15, 28 | "PadToTrack": 0.15, 29 | "TrackToTrack": 0.15, 30 | "MinLineWidth": 0.15, 31 | "TrackToRegion": 0.1524, 32 | "RegionToRegion": 0.1524 33 | }, 34 | { 35 | "Layers": "Inner", 36 | "PadToPad": 0.15, 37 | "PadToTrack": 0.15, 38 | "TrackToTrack": 0.15, 39 | "TrackToRegion": 0.1524, 40 | "RegionToRegion": 0.1524 41 | } 42 | ], 43 | "FilesAttributes": [ 44 | { 45 | "Path": "TimeDisk-F_Cu.gtl", 46 | "FileFunction": "Copper,L1,Top", 47 | "FilePolarity": "Positive" 48 | }, 49 | { 50 | "Path": "TimeDisk-In1_Cu.g2", 51 | "FileFunction": "Copper,L2,Inr", 52 | "FilePolarity": "Positive" 53 | }, 54 | { 55 | "Path": "TimeDisk-In2_Cu.g3", 56 | "FileFunction": "Copper,L3,Inr", 57 | "FilePolarity": "Positive" 58 | }, 59 | { 60 | "Path": "TimeDisk-B_Cu.gbl", 61 | "FileFunction": "Copper,L4,Bot", 62 | "FilePolarity": "Positive" 63 | }, 64 | { 65 | "Path": "TimeDisk-F_Paste.gtp", 66 | "FileFunction": "SolderPaste,Top", 67 | "FilePolarity": "Positive" 68 | }, 69 | { 70 | "Path": "TimeDisk-F_Silkscreen.gto", 71 | "FileFunction": "Legend,Top", 72 | "FilePolarity": "Positive" 73 | }, 74 | { 75 | "Path": "TimeDisk-B_Silkscreen.gbo", 76 | "FileFunction": "Legend,Bot", 77 | "FilePolarity": "Positive" 78 | }, 79 | { 80 | "Path": "TimeDisk-F_Mask.gts", 81 | "FileFunction": "SolderMask,Top", 82 | "FilePolarity": "Negative" 83 | }, 84 | { 85 | "Path": "TimeDisk-B_Mask.gbs", 86 | "FileFunction": "SolderMask,Bot", 87 | "FilePolarity": "Negative" 88 | }, 89 | { 90 | "Path": "TimeDisk-Edge_Cuts.gm1", 91 | "FileFunction": "Profile", 92 | "FilePolarity": "Positive" 93 | } 94 | ], 95 | "MaterialStackup": [ 96 | { 97 | "Type": "Legend", 98 | "Name": "Top Silk Screen" 99 | }, 100 | { 101 | "Type": "SolderPaste", 102 | "Name": "Top Solder Paste" 103 | }, 104 | { 105 | "Type": "SolderMask", 106 | "Thickness": 0.01, 107 | "Name": "Top Solder Mask" 108 | }, 109 | { 110 | "Type": "Copper", 111 | "Thickness": 0.035, 112 | "Name": "F.Cu" 113 | }, 114 | { 115 | "Type": "Dielectric", 116 | "Thickness": 0.2104, 117 | "Material": "FR4", 118 | "Name": "F.Cu/In1.Cu", 119 | "Notes": "Type: dielectric layer 1 (from F.Cu to In1.Cu)" 120 | }, 121 | { 122 | "Type": "Copper", 123 | "Thickness": 0.0175, 124 | "Name": "In1.Cu" 125 | }, 126 | { 127 | "Type": "Dielectric", 128 | "Thickness": 1.065, 129 | "Material": "FR4", 130 | "Name": "In1.Cu/In2.Cu", 131 | "Notes": "Type: dielectric layer 2 (from In1.Cu to In2.Cu)" 132 | }, 133 | { 134 | "Type": "Copper", 135 | "Thickness": 0.0175, 136 | "Name": "In2.Cu" 137 | }, 138 | { 139 | "Type": "Dielectric", 140 | "Thickness": 0.2104, 141 | "Material": "FR4", 142 | "Name": "In2.Cu/B.Cu", 143 | "Notes": "Type: dielectric layer 3 (from In2.Cu to B.Cu)" 144 | }, 145 | { 146 | "Type": "Copper", 147 | "Thickness": 0.035, 148 | "Name": "B.Cu" 149 | }, 150 | { 151 | "Type": "SolderMask", 152 | "Thickness": 0.01, 153 | "Name": "Bottom Solder Mask" 154 | }, 155 | { 156 | "Type": "SolderPaste", 157 | "Name": "Bottom Solder Paste" 158 | }, 159 | { 160 | "Type": "Legend", 161 | "Name": "Bottom Silk Screen" 162 | } 163 | ] 164 | } 165 | -------------------------------------------------------------------------------- /gerber/TimeDisk-top-pos.csv: -------------------------------------------------------------------------------- 1 | Ref,Val,Package,MidX,MidY,Rotation,Side 2 | "BT1","CR2032","Keystone_3034_2032",153.797000,-94.107000,-135.000000,top 3 | "C1","2u2","C_0805",237.910000,-128.270000,180.000000,top 4 | "C2","2u2","C_0805",227.965000,-124.460000,0.000000,top 5 | "C3","2u2","C_0805",233.680000,-103.275500,-90.000000,top 6 | "C4","2u2","C_0805",220.560000,-83.820000,0.000000,top 7 | "C5","2u2","C_0805",214.210000,-83.820000,0.000000,top 8 | "C6","2u2","C_0805",199.810000,-83.820000,180.000000,top 9 | "C7","2u2","C_0805",193.040000,-107.530000,-90.000000,top 10 | "C8","2u2","C_0805",169.696500,-113.792000,0.000000,top 11 | "C9","2u2","C_0805",161.505000,-111.760000,0.000000,top 12 | "C10","2u2","C_0805",148.692500,-111.760000,180.000000,top 13 | "C11","2u2","C_0805",169.500000,-99.350000,90.000000,top 14 | "C12","2u2","C_0805",152.615000,-111.760000,0.000000,top 15 | "C13","2u2","C_0805",169.800000,-106.999999,180.000000,top 16 | "C14","2u2","C_0805",157.060000,-111.760000,0.000000,top 17 | "C15","2u2","C_0805",180.301000,-102.235000,0.000000,top 18 | "C16","2u2","C_0805",181.317000,-85.725000,0.000000,top 19 | "C17","2u2","C_0805",171.108000,-85.725000,180.000000,top 20 | "C18","2u2","C_0805",175.553000,-129.159000,180.000000,top 21 | "C19","2u2","C_0805",217.590000,-128.270000,0.000000,top 22 | "C20","2u2","C_0805",219.900500,-124.460000,0.000000,top 23 | "C21","2u2","C_0805",221.830000,-128.270000,180.000000,top 24 | "C22","2u2","C_0805",208.109000,-124.460000,0.000000,top 25 | "C23","22p","C_0603",242.950000,-105.900000,0.000000,top 26 | "C24","22p","C_0603",243.150000,-111.750000,180.000000,top 27 | "C25","100n","C_0603",241.173000,-115.050000,-90.000000,top 28 | "C26","2u2","C_0805",171.616000,-129.159000,0.000000,top 29 | "C27","2u2","C_0805",235.101500,-120.078500,0.000000,top 30 | "C28","2u2","C_0805",238.800000,-86.350000,0.000000,top 31 | "C29","2u2","C_0805",191.100000,-83.800000,0.000000,top 32 | "D1","1N5819WS","D_SOD-123",147.250000,-107.600000,0.000000,top 33 | "D2","1N5819WS","D_SOD-123",144.150000,-111.750000,180.000000,top 34 | "D3","6V8","D_SOD-323",142.700000,-107.600000,180.000000,top 35 | "FID1","Fiducial","Fiducial",244.602000,-82.423000,-90.000000,top 36 | "FID2","Fiducial","Fiducial",142.113000,-100.965000,90.000000,top 37 | "FID3","Fiducial","Fiducial",161.099500,-82.423000,90.000000,top 38 | "FID4","Fiducial","Fiducial",244.602000,-129.540000,0.000000,top 39 | "FID5","Fiducial","Fiducial",142.113000,-129.540000,0.000000,top 40 | "J2","microUSB","USB_Micro-B_Amphenol_10118192-0001",234.800000,-81.850000,180.000000,top 41 | "Q1","AO3401A","SOT-23",142.700000,-104.600000,-90.000000,top 42 | "R1","4k7","R_0805",210.246000,-83.820000,0.000000,top 43 | "R2","4k7","R_0805",204.790000,-83.820000,0.000000,top 44 | "R3","4k7","R_0805",224.472500,-83.820000,0.000000,top 45 | "R4","22","R_0805",166.941500,-113.096000,90.000000,top 46 | "R5","22","R_0805",234.061000,-106.362500,180.000000,top 47 | "R7","680","R_0805",151.400000,-107.600000,0.000000,top 48 | "R8","680","R_0805",142.550000,-109.600000,180.000000,top 49 | "R9","DNP","R_0805",191.960500,-97.599500,180.000000,top 50 | "R10","DNP","R_0805",235.143000,-122.745500,0.000000,top 51 | "SW1","Mode","SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm",234.315000,-94.107000,0.000000,top 52 | "U1","EPM7128SL84","PLCC-84",213.360000,-104.140000,180.000000,top 53 | "U2","DS1315S-5","SOIC-16_7.5mm",155.956000,-118.745000,-90.000000,top 54 | "U3","74LVC04AD","SOIC-14_3.9mm",166.370000,-119.380000,-90.000000,top 55 | "U4","AS6C8008","TSOP-II-44_400mil_P0.8mm",180.000000,-94.000000,0.000000,top 56 | "U5","39F040","PLCC-32_SMDSocket",181.610000,-114.300000,-90.000000,top 57 | "U6","XC6206P332MR","SOT-23",169.800000,-103.500000,-90.000000,top 58 | "U7","CH340G","SOIC-16_3.9mm",236.726500,-113.665000,90.000000,top 59 | "U8","74LVC1G74DP","NXP_TSSOP-8_3x3mm_P0.65mm",191.300000,-87.650000,90.000000,top 60 | "Y2","12M","Crystal_SMD_3225-4Pin_3.2x2.5mm",243.049400,-108.749400,90.000000,top 61 | -------------------------------------------------------------------------------- /gerber/TimeDisk.4206B-gerber.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/garrettsworkshop/TimeDisk/a0ab05460df5037b873761b13c9bc0224982cf84/gerber/TimeDisk.4206B-gerber.zip -------------------------------------------------------------------------------- /gerber/TimeDisk.drl: -------------------------------------------------------------------------------- 1 | M48 2 | ; DRILL file {KiCad 7.0.10} date Wednesday, April 24, 2024 at 04:09:31 AM 3 | ; FORMAT={-:-/ absolute / metric / decimal} 4 | ; #@! TF.CreationDate,2024-04-24T04:09:31-04:00 5 | ; #@! TF.GenerationSoftware,Kicad,Pcbnew,7.0.10 6 | ; #@! TF.FileFunction,MixedPlating,1,4 7 | FMAT,2 8 | METRIC 9 | ; #@! TA.AperFunction,Plated,PTH,ViaDrill 10 | T1C0.300 11 | ; #@! TA.AperFunction,Plated,PTH,ViaDrill 12 | T2C0.400 13 | ; #@! TA.AperFunction,Plated,PTH,ComponentDrill 14 | T3C0.500 15 | ; #@! TA.AperFunction,Plated,PTH,ComponentDrill 16 | T4C1.100 17 | ; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill 18 | T5C1.152 19 | % 20 | G90 21 | G05 22 | T1 23 | X144.018Y-114.49 24 | X144.018Y-116.649 25 | X144.082Y-118.808 26 | X144.082Y-123.761 27 | X144.082Y-125.158 28 | X144.3Y-109.6 29 | X146.24Y-125.158 30 | X146.304Y-113.792 31 | X148.399Y-118.808 32 | X148.399Y-123.761 33 | X148.399Y-125.158 34 | X148.653Y-116.649 35 | X148.844Y-114.935 36 | X151.511Y-106.045 37 | X153.035Y-120.65 38 | X153.035Y-121.92 39 | X153.67Y-114.935 40 | X153.924Y-121.285 41 | X154.305Y-105.029 42 | X154.94Y-117.475 43 | X155.448Y-114.173 44 | X155.448Y-116.205 45 | X155.702Y-123.317 46 | X155.766Y-120.015 47 | X156.337Y-121.412 48 | X156.35Y-109.22 49 | X156.718Y-116.84 50 | X156.845Y-104.521 51 | X157.29Y-118.935 52 | X157.734Y-117.475 53 | X158.242Y-116.205 54 | X158.75Y-118.11 55 | X158.75Y-120.65 56 | X159.258Y-103.505 57 | X161.29Y-101.981 58 | X161.481Y-106.362 59 | X162.306Y-118.11 60 | X162.433Y-115.57 61 | X163.195Y-99.568 62 | X163.9Y-114.85 63 | X164.211Y-97.155 64 | X164.528Y-107.95 65 | X164.592Y-113.411 66 | X164.719Y-94.615 67 | X165.354Y-118.11 68 | X165.417Y-119.38 69 | X165.671Y-113.284 70 | X165.735Y-91.376 71 | X165.735Y-121.285 72 | X165.798Y-112.141 73 | X166.0Y-115.824 74 | X166.497Y-107.95 75 | X167.64Y-88.201 76 | X167.831Y-95.822 77 | X168.275Y-125.73 78 | X168.974Y-92.837 79 | X170.18Y-90.678 80 | X170.498Y-115.062 81 | X170.561Y-116.84 82 | X170.561Y-121.92 83 | X170.65Y-98.7 84 | X170.688Y-124.46 85 | X171.069Y-120.015 86 | X171.577Y-117.475 87 | X172.085Y-119.126 88 | X172.085Y-124.46 89 | X172.212Y-108.585 90 | X172.212Y-125.73 91 | X172.466Y-121.285 92 | X172.593Y-94.361 93 | X172.593Y-96.393 94 | X172.95Y-102.9 95 | X172.974Y-116.332 96 | X173.165Y-106.68 97 | X173.228Y-122.555 98 | X173.228Y-124.079 99 | X173.355Y-118.745 100 | X173.355Y-120.015 101 | X173.482Y-108.013 102 | X173.736Y-102.05 103 | X174.308Y-123.825 104 | X174.35Y-86.995 105 | X174.561Y-101.282 106 | X174.625Y-90.17 107 | X174.625Y-109.22 108 | X174.625Y-110.49 109 | X174.625Y-111.76 110 | X174.625Y-113.03 111 | X174.625Y-114.3 112 | X174.625Y-115.57 113 | X174.625Y-116.84 114 | X174.625Y-118.11 115 | X174.95Y-104.9 116 | X175.006Y-91.313 117 | X175.006Y-122.809 118 | X175.05Y-97.9 119 | X175.451Y-96.647 120 | X175.958Y-102.679 121 | X176.047Y-122.402 122 | X176.05Y-104.369 123 | X176.276Y-92.075 124 | X176.53Y-131.318 125 | X176.784Y-106.045 126 | X177.419Y-91.186 127 | X177.5Y-104.2 128 | X177.55Y-101.3 129 | X177.8Y-122.555 130 | X177.8Y-123.698 131 | X178.0Y-89.8 132 | X178.8Y-90.6 133 | X178.85Y-97.7 134 | X178.943Y-109.474 135 | X179.07Y-122.555 136 | X179.07Y-123.698 137 | X179.07Y-131.318 138 | X179.197Y-128.27 139 | X179.4Y-96.45 140 | X179.451Y-92.964 141 | X179.578Y-100.838 142 | X179.6Y-89.8 143 | X180.53Y-126.809 144 | X180.721Y-96.012 145 | X181.2Y-90.8 146 | X181.2Y-97.0 147 | X181.61Y-109.22 148 | X181.61Y-122.555 149 | X181.61Y-123.698 150 | X181.61Y-131.318 151 | X182.0Y-89.8 152 | X182.0Y-98.0 153 | X182.118Y-92.964 154 | X182.118Y-126.746 155 | X182.245Y-113.347 156 | X182.245Y-116.205 157 | X182.88Y-108.585 158 | X182.88Y-122.555 159 | X182.88Y-123.698 160 | X183.007Y-96.012 161 | X183.261Y-129.667 162 | X183.896Y-90.805 163 | X183.896Y-126.746 164 | X184.15Y-106.045 165 | X184.15Y-122.555 166 | X184.15Y-131.318 167 | X184.4Y-101.0 168 | X184.404Y-123.698 169 | X184.531Y-96.393 170 | X184.531Y-103.505 171 | X184.531Y-113.665 172 | X184.531Y-128.27 173 | X184.658Y-92.964 174 | X184.912Y-109.474 175 | X185.039Y-114.935 176 | X185.42Y-117.665 177 | X185.42Y-122.555 178 | X185.801Y-104.775 179 | X185.801Y-129.54 180 | X186.055Y-103.505 181 | X186.69Y-131.318 182 | X186.817Y-92.964 183 | X186.944Y-102.235 184 | X187.05Y-90.35 185 | X187.198Y-97.409 186 | X187.198Y-122.555 187 | X187.96Y-105.664 188 | X187.96Y-107.696 189 | X188.087Y-103.505 190 | X188.087Y-129.667 191 | X188.15Y-89.9 192 | X188.595Y-113.665 193 | X188.595Y-115.57 194 | X188.722Y-117.983 195 | X188.849Y-108.585 196 | X188.976Y-128.016 197 | X189.103Y-122.301 198 | X189.23Y-104.394 199 | X189.23Y-131.318 200 | X189.3Y-83.8 201 | X189.3Y-88.6 202 | X189.484Y-125.984 203 | X189.55Y-86.0 204 | X189.738Y-113.665 205 | X189.865Y-98.806 206 | X189.865Y-101.981 207 | X189.992Y-119.253 208 | X190.119Y-103.505 209 | X190.25Y-82.7 210 | X190.25Y-84.9 211 | X190.25Y-87.0 212 | X190.5Y-129.921 213 | X190.754Y-124.714 214 | X190.8Y-91.6 215 | X190.881Y-104.775 216 | X191.008Y-96.393 217 | X191.389Y-109.474 218 | X191.516Y-128.016 219 | X191.77Y-115.824 220 | X191.77Y-131.318 221 | X191.95Y-82.7 222 | X191.95Y-84.9 223 | X192.024Y-101.6 224 | X192.024Y-123.444 225 | X192.25Y-91.5 226 | X192.278Y-98.806 227 | X192.35Y-88.25 228 | X192.35Y-90.3 229 | X192.595Y-118.554 230 | X192.659Y-96.393 231 | X192.659Y-100.3 232 | X192.659Y-114.935 233 | X192.9Y-83.8 234 | X192.913Y-116.459 235 | X193.05Y-89.3 236 | X194.056Y-128.016 237 | X194.183Y-115.189 238 | X194.31Y-131.318 239 | X194.373Y-118.554 240 | X194.373Y-123.507 241 | X194.564Y-100.33 242 | X194.564Y-102.87 243 | X194.818Y-104.775 244 | X194.818Y-117.348 245 | X195.072Y-98.806 246 | X195.072Y-116.078 247 | X195.199Y-114.173 248 | X195.453Y-96.4 249 | X195.707Y-107.569 250 | X195.834Y-101.6 251 | X196.088Y-115.062 252 | X196.342Y-122.301 253 | X196.596Y-100.33 254 | X196.596Y-118.491 255 | X196.596Y-128.016 256 | X196.85Y-131.318 257 | X196.9Y-91.1 258 | X197.104Y-116.84 259 | X197.993Y-83.82 260 | X198.247Y-126.746 261 | X198.374Y-121.539 262 | X199.39Y-131.318 263 | X199.517Y-87.884 264 | X199.517Y-125.476 265 | X199.771Y-86.677 266 | X200.025Y-121.666 267 | X200.025Y-123.825 268 | X200.66Y-109.855 269 | X200.66Y-120.015 270 | X200.724Y-91.059 271 | X200.787Y-129.286 272 | X200.914Y-100.33 273 | X201.168Y-92.71 274 | X201.295Y-96.52 275 | X201.295Y-122.936 276 | X201.549Y-86.677 277 | X201.676Y-91.504 278 | X201.93Y-103.15 279 | X201.93Y-120.015 280 | X201.93Y-131.318 281 | X202.311Y-101.6 282 | X202.311Y-128.27 283 | X202.565Y-123.317 284 | X202.692Y-85.788 285 | X203.073Y-96.393 286 | X203.073Y-126.873 287 | X203.2Y-112.014 288 | X203.2Y-120.015 289 | X203.454Y-107.061 290 | X203.454Y-108.204 291 | X203.454Y-109.347 292 | X203.8Y-91.5 293 | X203.835Y-86.741 294 | X203.835Y-100.965 295 | X203.835Y-122.428 296 | X203.84Y-82.545 297 | X203.962Y-115.951 298 | X204.089Y-125.73 299 | X204.343Y-103.124 300 | X204.47Y-131.318 301 | X204.724Y-87.63 302 | X204.724Y-99.06 303 | X204.851Y-96.393 304 | X204.851Y-106.934 305 | X204.851Y-128.651 306 | X205.1Y-91.5 307 | X205.105Y-85.471 308 | X205.105Y-100.584 309 | X205.359Y-114.681 310 | X205.613Y-109.601 311 | X205.74Y-120.015 312 | X205.867Y-97.155 313 | X206.629Y-92.837 314 | X206.629Y-101.219 315 | X207.01Y-83.82 316 | X207.01Y-98.425 317 | X207.01Y-120.015 318 | X207.01Y-128.651 319 | X207.01Y-131.255 320 | X207.137Y-103.505 321 | X207.391Y-112.649 322 | X207.835Y-121.92 323 | X208.28Y-99.695 324 | X208.28Y-103.759 325 | X208.28Y-120.015 326 | X208.407Y-93.345 327 | X209.423Y-104.013 328 | X209.423Y-110.617 329 | X209.423Y-114.681 330 | X209.55Y-92.329 331 | X209.55Y-93.599 332 | X209.55Y-100.965 333 | X209.55Y-130.175 334 | X209.55Y-131.318 335 | X209.804Y-85.28 336 | X210.185Y-106.934 337 | X210.439Y-104.394 338 | X210.693Y-93.853 339 | X210.756Y-121.92 340 | X210.82Y-91.44 341 | X210.82Y-126.111 342 | X211.455Y-97.155 343 | X211.582Y-104.648 344 | X211.709Y-92.71 345 | X211.709Y-94.234 346 | X211.963Y-112.649 347 | X212.09Y-91.44 348 | X212.09Y-98.425 349 | X212.09Y-130.175 350 | X212.09Y-131.318 351 | X212.28Y-121.92 352 | X212.598Y-105.029 353 | X212.852Y-94.488 354 | X213.106Y-126.111 355 | X213.36Y-99.695 356 | X213.36Y-116.84 357 | X213.487Y-128.27 358 | X213.741Y-105.283 359 | X213.868Y-94.869 360 | X213.995Y-120.396 361 | X214.249Y-121.92 362 | X214.503Y-110.617 363 | X214.503Y-114.681 364 | X214.63Y-100.965 365 | X214.63Y-131.318 366 | X214.757Y-115.951 367 | X214.884Y-105.537 368 | X215.011Y-95.123 369 | X215.519Y-101.6 370 | X215.9Y-91.44 371 | X215.9Y-106.934 372 | X216.027Y-105.791 373 | X216.154Y-95.377 374 | X216.408Y-97.155 375 | X216.408Y-98.425 376 | X216.408Y-99.695 377 | X216.408Y-100.965 378 | X216.408Y-102.362 379 | X217.043Y-112.649 380 | X217.17Y-91.44 381 | X217.17Y-120.015 382 | X217.17Y-131.318 383 | X218.059Y-109.601 384 | X218.44Y-91.44 385 | X218.44Y-120.015 386 | X218.567Y-93.091 387 | X219.583Y-114.681 388 | X219.71Y-128.143 389 | X219.71Y-131.318 390 | X219.837Y-98.425 391 | X219.837Y-99.695 392 | X219.837Y-100.965 393 | X219.837Y-102.362 394 | X219.964Y-97.155 395 | X220.091Y-106.045 396 | X220.98Y-91.44 397 | X220.98Y-101.6 398 | X221.488Y-96.52 399 | X221.488Y-97.79 400 | X221.615Y-106.934 401 | X221.678Y-121.349 402 | X221.742Y-95.377 403 | X221.742Y-109.601 404 | X222.25Y-91.44 405 | X222.25Y-131.318 406 | X222.6Y-112.4 407 | X222.821Y-98.615 408 | X222.885Y-115.951 409 | X223.012Y-95.377 410 | X223.012Y-97.155 411 | X223.075Y-121.539 412 | X223.393Y-99.695 413 | X223.393Y-104.775 414 | X223.52Y-82.677 415 | X223.52Y-91.44 416 | X223.52Y-109.601 417 | X224.155Y-103.505 418 | X224.155Y-120.269 419 | X224.155Y-124.206 420 | X224.155Y-125.984 421 | X224.663Y-114.681 422 | X224.79Y-91.44 423 | X224.79Y-129.921 424 | X224.79Y-131.318 425 | X224.917Y-106.934 426 | X224.917Y-108.966 427 | X225.425Y-102.235 428 | X225.425Y-125.476 429 | X225.933Y-128.207 430 | X226.06Y-99.06 431 | X226.06Y-115.443 432 | X226.06Y-116.84 433 | X226.187Y-100.965 434 | X226.631Y-126.746 435 | X226.885Y-86.36 436 | X227.33Y-131.318 437 | X227.584Y-128.905 438 | X227.965Y-120.523 439 | X227.965Y-127.635 440 | X229.044Y-91.44 441 | X229.044Y-99.06 442 | X229.044Y-107.95 443 | X229.044Y-115.57 444 | X229.425Y-102.425 445 | X229.489Y-111.442 446 | X229.616Y-128.905 447 | X229.87Y-119.253 448 | X229.87Y-131.318 449 | X229.997Y-116.649 450 | X230.188Y-110.49 451 | X230.822Y-121.73 452 | X230.886Y-127.635 453 | X230.95Y-106.303 454 | X231.25Y-119.126 455 | X231.331Y-104.394 456 | X231.45Y-114.3 457 | X231.55Y-112.7 458 | X231.902Y-105.346 459 | X232.41Y-131.318 460 | X232.537Y-104.013 461 | X232.789Y-118.11 462 | X232.791Y-109.22 463 | X232.791Y-110.49 464 | X232.791Y-111.76 465 | X232.791Y-113.03 466 | X232.791Y-115.57 467 | X233.299Y-120.078 468 | X233.5Y-85.1 469 | X233.68Y-131.064 470 | X234.251Y-118.808 471 | X234.442Y-121.221 472 | X234.823Y-102.425 473 | X234.95Y-104.076 474 | X234.95Y-131.318 475 | X235.013Y-107.505 476 | X235.647Y-117.919 477 | X235.775Y-118.935 478 | X235.775Y-121.221 479 | X236.093Y-123.888 480 | X236.22Y-97.282 481 | X236.22Y-98.552 482 | X236.22Y-102.362 483 | X236.22Y-131.064 484 | X237.49Y-131.318 485 | X237.807Y-115.57 486 | X237.807Y-118.11 487 | X237.9Y-85.1 488 | X239.4Y-104.4 489 | X239.45Y-85.2 490 | X239.45Y-87.5 491 | X240.03Y-108.013 492 | X240.03Y-131.318 493 | X240.601Y-118.11 494 | X240.7Y-104.7 495 | X240.855Y-106.807 496 | X241.15Y-105.8 497 | X241.15Y-109.849 498 | X241.15Y-112.95 499 | X241.173Y-116.713 500 | X241.55Y-111.05 501 | X242.125Y-115.57 502 | X242.4Y-112.649 503 | X242.9Y-113.75 504 | X243.7Y-104.999 505 | X244.3Y-103.35 506 | X244.55Y-105.9 507 | X244.95Y-107.45 508 | X245.15Y-112.35 509 | X245.4Y-110.65 510 | X245.5Y-108.5 511 | T2 512 | X140.589Y-99.441 513 | X140.589Y-104.521 514 | X140.589Y-109.601 515 | X140.589Y-114.681 516 | X140.589Y-119.761 517 | X140.589Y-124.841 518 | X140.589Y-129.921 519 | X140.653Y-95.631 520 | X141.4Y-111.75 521 | X141.732Y-131.064 522 | X142.177Y-122.301 523 | X143.51Y-99.441 524 | X144.145Y-101.981 525 | X144.272Y-91.948 526 | X145.7Y-109.7 527 | X145.923Y-99.441 528 | X146.812Y-131.064 529 | X147.891Y-110.49 530 | X147.891Y-113.03 531 | X148.082Y-88.138 532 | X148.463Y-101.981 533 | X148.463Y-104.394 534 | X149.479Y-110.49 535 | X149.479Y-113.03 536 | X149.733Y-118.745 537 | X150.622Y-111.76 538 | X150.876Y-95.123 539 | X151.257Y-124.079 540 | X151.765Y-84.455 541 | X151.765Y-110.49 542 | X151.765Y-113.03 543 | X151.892Y-131.064 544 | X152.781Y-97.028 545 | X153.035Y-118.11 546 | X153.035Y-119.38 547 | X153.035Y-123.19 548 | X153.3Y-107.6 549 | X153.416Y-110.49 550 | X153.416Y-113.03 551 | X154.813Y-91.186 552 | X155.321Y-80.962 553 | X156.21Y-110.49 554 | X156.21Y-113.03 555 | X156.718Y-93.091 556 | X156.972Y-131.064 557 | X157.861Y-110.49 558 | X157.861Y-113.03 559 | X158.75Y-123.19 560 | X159.004Y-111.76 561 | X159.131Y-83.82 562 | X159.131Y-86.233 563 | X160.655Y-110.49 564 | X160.655Y-113.411 565 | X160.655Y-124.079 566 | X161.671Y-88.773 567 | X162.052Y-131.064 568 | X162.306Y-110.49 569 | X162.306Y-113.03 570 | X162.306Y-123.19 571 | X162.369Y-80.899 572 | X162.56Y-85.344 573 | X162.56Y-114.3 574 | X163.385Y-111.76 575 | X163.957Y-124.079 576 | X164.084Y-88.773 577 | X165.481Y-123.19 578 | X166.243Y-83.439 579 | X167.132Y-131.064 580 | X167.9Y-104.55 581 | X167.9Y-107.0 582 | X168.25Y-98.75 583 | X168.25Y-99.95 584 | X168.783Y-80.899 585 | X168.85Y-102.45 586 | X168.85Y-105.75 587 | X169.55Y-101.25 588 | X170.498Y-112.522 589 | X170.5Y-84.5 590 | X170.5Y-86.95 591 | X170.815Y-127.889 592 | X170.815Y-130.429 593 | X171.641Y-113.792 594 | X171.7Y-104.55 595 | X172.212Y-131.064 596 | X172.466Y-127.889 597 | X173.355Y-85.725 598 | X173.609Y-129.159 599 | X173.863Y-80.899 600 | X174.752Y-127.889 601 | X174.752Y-130.429 602 | X176.403Y-83.439 603 | X176.403Y-127.889 604 | X176.403Y-130.429 605 | X177.546Y-129.159 606 | X178.308Y-102.235 607 | X178.943Y-80.899 608 | X179.5Y-87.0 609 | X180.34Y-122.555 610 | X180.4Y-98.2 611 | X180.5Y-101.0 612 | X181.102Y-103.505 613 | X182.118Y-84.455 614 | X182.245Y-102.235 615 | X182.88Y-106.045 616 | X183.261Y-85.725 617 | X184.023Y-80.899 618 | X186.563Y-83.439 619 | X189.103Y-80.899 620 | X191.77Y-106.68 621 | X191.77Y-108.331 622 | X193.04Y-105.537 623 | X193.04Y-109.474 624 | X194.183Y-80.899 625 | X194.31Y-106.68 626 | X194.31Y-108.331 627 | X197.421Y-106.553 628 | X197.485Y-97.79 629 | X197.485Y-114.3 630 | X199.009Y-82.55 631 | X199.009Y-85.09 632 | X199.263Y-80.899 633 | X199.771Y-89.662 634 | X200.66Y-82.55 635 | X200.66Y-85.09 636 | X200.66Y-88.265 637 | X200.66Y-97.79 638 | X200.66Y-114.3 639 | X201.803Y-83.82 640 | X204.343Y-80.899 641 | X204.47Y-116.84 642 | X204.47Y-120.015 643 | X206.204Y-124.46 644 | X207.284Y-123.19 645 | X207.284Y-125.73 646 | X208.28Y-88.265 647 | X208.28Y-91.44 648 | X208.934Y-123.19 649 | X208.934Y-125.73 650 | X209.423Y-80.899 651 | X209.55Y-116.84 652 | X209.55Y-120.015 653 | X210.014Y-124.46 654 | X212.217Y-83.82 655 | X213.36Y-82.55 656 | X213.36Y-85.09 657 | X213.36Y-88.265 658 | X213.36Y-91.44 659 | X214.503Y-80.899 660 | X214.63Y-88.265 661 | X214.63Y-91.44 662 | X215.011Y-82.55 663 | X215.011Y-85.09 664 | X215.646Y-128.27 665 | X215.9Y-116.84 666 | X215.9Y-120.015 667 | X216.154Y-83.82 668 | X216.789Y-129.54 669 | X217.995Y-124.46 670 | X218.567Y-83.82 671 | X218.694Y-129.54 672 | X219.075Y-123.19 673 | X219.075Y-125.73 674 | X219.583Y-80.899 675 | X219.647Y-91.44 676 | X219.71Y-82.55 677 | X219.71Y-85.09 678 | X219.71Y-88.265 679 | X220.726Y-123.19 680 | X220.726Y-125.73 681 | X220.726Y-129.54 682 | X220.98Y-116.84 683 | X220.98Y-120.015 684 | X221.361Y-82.55 685 | X221.361Y-85.09 686 | X221.805Y-124.46 687 | X222.504Y-83.82 688 | X222.631Y-129.54 689 | X223.774Y-128.27 690 | X224.536Y-85.217 691 | X224.663Y-80.899 692 | X226.06Y-107.95 693 | X226.06Y-124.46 694 | X227.139Y-123.19 695 | X227.139Y-125.73 696 | X227.203Y-83.439 697 | X227.711Y-90.551 698 | X228.79Y-123.19 699 | X228.79Y-125.73 700 | X229.55Y-81.3 701 | X229.87Y-124.46 702 | X230.1Y-83.85 703 | X231.7Y-85.2 704 | X232.41Y-102.425 705 | X235.966Y-128.27 706 | X237.109Y-127.0 707 | X237.109Y-129.54 708 | X238.569Y-127.0 709 | X238.76Y-129.54 710 | X239.5Y-83.85 711 | X239.903Y-128.27 712 | X240.05Y-81.3 713 | X242.443Y-83.883 714 | X243.586Y-86.741 715 | X244.983Y-80.899 716 | X244.983Y-131.064 717 | X246.126Y-82.042 718 | X246.126Y-84.201 719 | X246.126Y-89.281 720 | X246.126Y-124.841 721 | X246.126Y-129.921 722 | X246.189Y-94.361 723 | X246.189Y-99.441 724 | X246.189Y-104.521 725 | X246.189Y-109.601 726 | X246.189Y-114.681 727 | X246.189Y-119.761 728 | T3 729 | X145.288Y-115.57 730 | X147.188Y-115.57 731 | T4 732 | X242.062Y-129.54 733 | T5 734 | X141.732Y-98.171 735 | X144.653Y-129.54 736 | X163.639Y-82.423 737 | X242.062Y-82.423 738 | X244.602Y-127.0 739 | M30 740 | -------------------------------------------------------------------------------- /sym-lib-table: -------------------------------------------------------------------------------- 1 | (sym_lib_table 2 | (version 7) 3 | (lib (name "GW_PLD")(type "KiCad")(uri "${KIPRJMOD}/../GW_Parts/GW_PLD.kicad_sym")(options "")(descr "")) 4 | (lib (name "GW_RAM")(type "KiCad")(uri "${KIPRJMOD}/../GW_Parts/GW_RAM.kicad_sym")(options "")(descr "")) 5 | (lib (name "GW_Digital")(type "KiCad")(uri "${KIPRJMOD}/../GW_Parts/GW_Digital.kicad_sym")(options "")(descr "")) 6 | (lib (name "GW_Analog")(type "KiCad")(uri "${KIPRJMOD}/../GW_Parts/GW_Analog.kicad_sym")(options "")(descr "")) 7 | (lib (name "GW_Logic")(type "KiCad")(uri "${KIPRJMOD}/../GW_Parts/GW_Logic.kicad_sym")(options "")(descr "")) 8 | ) 9 | --------------------------------------------------------------------------------