├── .github ├── FUNDING.yml └── workflows │ ├── build_package_melpa_basic.yml │ ├── build_package_melpa_stable.yml │ ├── build_straight.yml │ ├── build_straight_release_snapshot.yml │ ├── build_straight_snapshot.yml │ └── melpazoid.yml ├── .gitignore ├── .gitmodules ├── LICENSE ├── Makefile ├── README.md ├── langserver ├── hdl-checker.json ├── slang-server.json ├── svlangserver.json ├── svls.json ├── verible.json └── veridian.json ├── snippets ├── makefile-mode │ └── verilog-template ├── uvm_agent │ ├── uvm_agent.svh │ ├── uvm_agent_config.svh │ ├── uvm_agent_pkg.sv │ ├── uvm_driver.svh │ ├── uvm_driver_bfm.sv │ ├── uvm_if.sv │ ├── uvm_monitor.svh │ ├── uvm_monitor_bfm.sv │ ├── uvm_seq_item.svh │ ├── uvm_seq_lib.svh │ ├── uvm_sva.sv │ └── uvm_types.svh └── verilog-mode │ ├── always │ ├── always_comb │ ├── always_ff │ ├── always_latch │ ├── assert │ ├── assert_prop │ ├── assign │ ├── begin │ ├── class │ ├── clk_event │ ├── clocking │ ├── constraint │ ├── covergroup │ ├── display │ ├── do-while │ ├── else │ ├── else-if │ ├── final │ ├── for │ ├── foreach │ ├── forever │ ├── fork │ ├── fork_any │ ├── fork_none │ ├── function │ ├── generate │ ├── if │ ├── initial │ ├── interface │ ├── localparam │ ├── logic │ ├── logic_vector │ ├── modport │ ├── module │ ├── module_param │ ├── once │ ├── package │ ├── parameter │ ├── program │ ├── property │ ├── repeat │ ├── sequence │ ├── star_comment │ ├── task │ ├── typedef │ ├── uvm_component │ ├── uvm_error │ ├── uvm_fatal │ ├── uvm_info │ ├── uvm_object │ ├── uvm_report │ ├── uvm_typeid_create │ ├── uvm_warning │ └── while ├── test ├── files │ ├── common │ │ ├── axi_demux.sv │ │ ├── axi_test.sv │ │ ├── instances.sv │ │ ├── tb_program.sv │ │ ├── ucontroller.sv │ │ └── uvm_component.svh │ ├── github │ │ ├── tree_sitter_systemverilog_49.sv │ │ ├── verilog_ext_29.sv │ │ ├── verilog_ext_3.sv │ │ ├── verilog_ext_37.sv │ │ └── verilog_ts_mode_3.sv │ ├── subblocks │ │ ├── block0.sv │ │ ├── block1.sv │ │ ├── block2.sv │ │ ├── block3.sv │ │ ├── block_gen.sv │ │ ├── block_ws_0.sv │ │ ├── block_ws_1.sv │ │ ├── test_if.sv │ │ ├── test_if_params.sv │ │ ├── test_if_params_array.sv │ │ └── test_if_params_empty.sv │ ├── ucontroller │ │ ├── rtl │ │ │ ├── alu.sv │ │ │ ├── bin2bcd.sv │ │ │ ├── cpu.sv │ │ │ ├── dma.sv │ │ │ ├── dma_arbiter.sv │ │ │ ├── dma_rx.sv │ │ │ ├── dma_tx.sv │ │ │ ├── fifo_wrapper.sv │ │ │ ├── global_pkg.sv │ │ │ ├── gp_ram.sv │ │ │ ├── ram.sv │ │ │ ├── ram_arbiter.sv │ │ │ ├── regs_ram.sv │ │ │ ├── sreg.sv │ │ │ ├── uart.sv │ │ │ ├── uart_rx.sv │ │ │ ├── uart_tx.sv │ │ │ └── ucontroller.sv │ │ └── tb │ │ │ ├── fifo_generator_0_sim_netlist.v │ │ │ ├── tb_alu.sv │ │ │ ├── tb_bin2bcd.sv │ │ │ ├── tb_clocks.sv │ │ │ ├── tb_cpu.sv │ │ │ ├── tb_dma.sv │ │ │ ├── tb_program.sv │ │ │ ├── tb_ram.sv │ │ │ ├── tb_top.sv │ │ │ └── tb_uart.sv │ └── veripool │ │ ├── indent_1.v │ │ ├── indent_2.v │ │ ├── indent_3.v │ │ ├── indent_4.v │ │ ├── indent_always_decl.v │ │ ├── indent_analog.v │ │ ├── indent_assert.v │ │ ├── indent_assert_else.v │ │ ├── indent_assert_property.v │ │ ├── indent_assignment.v │ │ ├── indent_attributes.v │ │ ├── indent_begin_clapp.v │ │ ├── indent_bracket.v │ │ ├── indent_case.v │ │ ├── indent_class.v │ │ ├── indent_class_pkg_nil.sv │ │ ├── indent_clocking.v │ │ ├── indent_clockingblock.v │ │ ├── indent_comments.v │ │ ├── indent_comments_bug1717.v │ │ ├── indent_connectmodule.v │ │ ├── indent_constraint.v │ │ ├── indent_constraint2.v │ │ ├── indent_constraint3.v │ │ ├── indent_covergroup.v │ │ ├── indent_covergroup_swan.v │ │ ├── indent_coverpoint.v │ │ ├── indent_decl-1.v │ │ ├── indent_decl.v │ │ ├── indent_decl_1760.sv │ │ ├── indent_directives.v │ │ ├── indent_double_curly.v │ │ ├── indent_dpi.v │ │ ├── indent_enum.v │ │ ├── indent_foreach.v │ │ ├── indent_fork_join_any.v │ │ ├── indent_formfeed.v │ │ ├── indent_function.v │ │ ├── indent_generate.v │ │ ├── indent_generate_bug1257.v │ │ ├── indent_generate_bug1404.sv │ │ ├── indent_generate_case.v │ │ ├── indent_generate_for.v │ │ ├── indent_generate_if.v │ │ ├── indent_genmod.v │ │ ├── indent_if.v │ │ ├── indent_if2.v │ │ ├── indent_ifdef.v │ │ ├── indent_ifdef_generate.v │ │ ├── indent_immediate_assertion.sv │ │ ├── indent_importfunction.v │ │ ├── indent_interface.v │ │ ├── indent_interface_class_bug1047.sv │ │ ├── indent_linefeed.v │ │ ├── indent_lineup_inlists.v │ │ ├── indent_lineup_mode_all.v │ │ ├── indent_lineup_mode_assignments.v │ │ ├── indent_lineup_mode_declarations.v │ │ ├── indent_lineup_mode_none.v │ │ ├── indent_list_nil_align_ports_custom_type.sv │ │ ├── indent_list_nil_continued_line.sv │ │ ├── indent_list_nil_generate_for.v │ │ ├── indent_list_nil_generate_for2.v │ │ ├── indent_list_nil_generate_if.v │ │ ├── indent_list_nil_generate_if2.v │ │ ├── indent_list_nil_if.sv │ │ ├── indent_list_nil_methods.sv │ │ ├── indent_list_nil_param_port_list.sv │ │ ├── indent_list_nil_params.v │ │ ├── indent_list_nil_params2.v │ │ ├── indent_list_nil_pkg_class.sv │ │ ├── indent_list_nil_report.sv │ │ ├── indent_list_nil_typedef_enum.sv │ │ ├── indent_macro_braces.v │ │ ├── indent_macro_comment.v │ │ ├── indent_macro_ignore_multiline.sv │ │ ├── indent_macro_ignore_regexp.sv │ │ ├── indent_mailbox.v │ │ ├── indent_modansi.v │ │ ├── indent_modeln.v │ │ ├── indent_modport.v │ │ ├── indent_named_assert.v │ │ ├── indent_ovm.v │ │ ├── indent_param.v │ │ ├── indent_param_1645.v │ │ ├── indent_preproc.v │ │ ├── indent_preproc_label.v │ │ ├── indent_property.v │ │ ├── indent_property_bug1817.v │ │ ├── indent_randcase.v │ │ ├── indent_random.v │ │ ├── indent_reftype.v │ │ ├── indent_rep_msg1188.v │ │ ├── indent_replicate.v │ │ ├── indent_replicate_bug955.sv │ │ ├── indent_sexp.sv │ │ ├── indent_streaming_op.v │ │ ├── indent_struct.v │ │ ├── indent_sv_interface_mp_bug636.sv │ │ ├── indent_task.v │ │ ├── indent_task_func_decl.sv │ │ ├── indent_typedef.sv │ │ ├── indent_typedef_enum.sv │ │ ├── indent_unique_case-1.v │ │ ├── indent_unique_case-2.v │ │ ├── indent_unique_case.v │ │ ├── indent_uvm.v │ │ ├── indent_virtual_class.sv │ │ └── indent_warren.v ├── ref │ ├── beautify │ │ ├── axi_demux.extra.sv │ │ ├── axi_demux.sv │ │ ├── axi_demux.ts.extra.sv │ │ ├── axi_demux.ts.sv │ │ ├── instances.extra.sv │ │ ├── instances.sv │ │ ├── instances.ts.extra.sv │ │ ├── instances.ts.sv │ │ ├── ucontroller.extra.sv │ │ ├── ucontroller.sv │ │ ├── ucontroller.ts.extra.sv │ │ ├── ucontroller.ts.sv │ │ ├── verilog_ext_29.extra.sv │ │ ├── verilog_ext_29.sv │ │ ├── verilog_ext_29.ts.extra.sv │ │ └── verilog_ext_29.ts.sv │ ├── capf │ │ ├── tb_program.annotations.el │ │ ├── tb_program.capf.el │ │ ├── ucontroller.annotations.el │ │ ├── ucontroller.capf.el │ │ ├── verilog_ext_3.annotations.el │ │ └── verilog_ext_3.capf.el │ ├── faceup │ │ ├── axi_demux.faceup │ │ ├── axi_test.faceup │ │ ├── instances.faceup │ │ ├── misc.faceup │ │ ├── tb_program.faceup │ │ ├── tree_sitter_systemverilog_49.faceup │ │ ├── ucontroller.faceup │ │ ├── uvm_component.faceup │ │ ├── verilog_ext_29.faceup │ │ ├── verilog_ext_3.faceup │ │ ├── verilog_ext_37.faceup │ │ └── verilog_ts_mode_3.faceup │ ├── hierarchy │ │ ├── axi_demux.builtin.hier.el │ │ ├── axi_demux.builtin.outline.sv │ │ ├── axi_demux.mm.builtin.hier.el │ │ ├── axi_demux.mm.builtin.outline.sv │ │ ├── axi_demux.mm.ts.hier.el │ │ ├── axi_demux.mm.ts.outline.sv │ │ ├── axi_demux.ts.hier.el │ │ ├── axi_demux.ts.outline.sv │ │ ├── instances.builtin.hier.el │ │ ├── instances.builtin.outline.sv │ │ ├── instances.ts.hier.el │ │ ├── instances.ts.outline.sv │ │ ├── instances.vhier.hier.el │ │ ├── instances.vhier.outline.sv │ │ ├── ucontroller.builtin.hier.el │ │ ├── ucontroller.builtin.outline.sv │ │ ├── ucontroller.ts.hier.el │ │ ├── ucontroller.ts.outline.sv │ │ ├── ucontroller.vhier.hier.el │ │ └── ucontroller.vhier.outline.sv │ ├── imenu │ │ ├── axi_demux.el │ │ ├── axi_test.el │ │ ├── instances.el │ │ ├── misc.el │ │ ├── tb_program.el │ │ ├── tree_sitter_systemverilog_49.el │ │ ├── ucontroller.el │ │ ├── uvm_component.el │ │ ├── verilog_ext_29.el │ │ ├── verilog_ext_3.el │ │ ├── verilog_ext_37.el │ │ └── verilog_ts_mode_3.el │ ├── indent │ │ ├── axi_demux.sv │ │ ├── axi_test.sv │ │ ├── indent_1.v │ │ ├── indent_2.v │ │ ├── indent_3.v │ │ ├── indent_4.v │ │ ├── indent_always_decl.v │ │ ├── indent_analog.v │ │ ├── indent_assert.v │ │ ├── indent_assert_else.v │ │ ├── indent_assert_property.v │ │ ├── indent_assignment.v │ │ ├── indent_attributes.v │ │ ├── indent_begin_clapp.v │ │ ├── indent_bracket.v │ │ ├── indent_case.v │ │ ├── indent_class.v │ │ ├── indent_class_pkg_nil.sv │ │ ├── indent_clocking.v │ │ ├── indent_clockingblock.v │ │ ├── indent_comments.v │ │ ├── indent_comments_bug1717.v │ │ ├── indent_connectmodule.v │ │ ├── indent_constraint.v │ │ ├── indent_constraint2.v │ │ ├── indent_constraint3.v │ │ ├── indent_covergroup.v │ │ ├── indent_covergroup_swan.v │ │ ├── indent_coverpoint.v │ │ ├── indent_decl-1.v │ │ ├── indent_decl.v │ │ ├── indent_decl_1760.sv │ │ ├── indent_directives.v │ │ ├── indent_double_curly.v │ │ ├── indent_dpi.v │ │ ├── indent_enum.v │ │ ├── indent_foreach.v │ │ ├── indent_fork_join_any.v │ │ ├── indent_formfeed.v │ │ ├── indent_function.v │ │ ├── indent_generate.v │ │ ├── indent_generate_bug1257.v │ │ ├── indent_generate_bug1404.sv │ │ ├── indent_generate_case.v │ │ ├── indent_generate_for.v │ │ ├── indent_generate_if.v │ │ ├── indent_genmod.v │ │ ├── indent_if.v │ │ ├── indent_if2.v │ │ ├── indent_ifdef.v │ │ ├── indent_ifdef_generate.v │ │ ├── indent_immediate_assertion.sv │ │ ├── indent_importfunction.v │ │ ├── indent_interface.v │ │ ├── indent_interface_class_bug1047.sv │ │ ├── indent_linefeed.v │ │ ├── indent_lineup_inlists.v │ │ ├── indent_lineup_mode_all.v │ │ ├── indent_lineup_mode_assignments.v │ │ ├── indent_lineup_mode_declarations.v │ │ ├── indent_lineup_mode_none.v │ │ ├── indent_list_nil_align_ports_custom_type.sv │ │ ├── indent_list_nil_continued_line.sv │ │ ├── indent_list_nil_generate_for.v │ │ ├── indent_list_nil_generate_for2.v │ │ ├── indent_list_nil_generate_if.v │ │ ├── indent_list_nil_generate_if2.v │ │ ├── indent_list_nil_if.sv │ │ ├── indent_list_nil_methods.sv │ │ ├── indent_list_nil_param_port_list.sv │ │ ├── indent_list_nil_params.v │ │ ├── indent_list_nil_params2.v │ │ ├── indent_list_nil_pkg_class.sv │ │ ├── indent_list_nil_report.sv │ │ ├── indent_list_nil_typedef_enum.sv │ │ ├── indent_macro_braces.v │ │ ├── indent_macro_comment.v │ │ ├── indent_macro_ignore_multiline.sv │ │ ├── indent_macro_ignore_regexp.sv │ │ ├── indent_mailbox.v │ │ ├── indent_modansi.v │ │ ├── indent_modeln.v │ │ ├── indent_modport.v │ │ ├── indent_named_assert.v │ │ ├── indent_ovm.v │ │ ├── indent_param.v │ │ ├── indent_param_1645.v │ │ ├── indent_preproc.v │ │ ├── indent_preproc_label.v │ │ ├── indent_property.v │ │ ├── indent_property_bug1817.v │ │ ├── indent_randcase.v │ │ ├── indent_random.v │ │ ├── indent_reftype.v │ │ ├── indent_rep_msg1188.v │ │ ├── indent_replicate.v │ │ ├── indent_replicate_bug955.sv │ │ ├── indent_sexp.sv │ │ ├── indent_streaming_op.v │ │ ├── indent_struct.v │ │ ├── indent_sv_interface_mp_bug636.sv │ │ ├── indent_task.v │ │ ├── indent_task_func_decl.sv │ │ ├── indent_typedef.sv │ │ ├── indent_typedef_enum.sv │ │ ├── indent_unique_case-1.v │ │ ├── indent_unique_case-2.v │ │ ├── indent_unique_case.v │ │ ├── indent_uvm.v │ │ ├── indent_virtual_class.sv │ │ ├── indent_warren.v │ │ ├── instances.sv │ │ ├── misc.sv │ │ ├── tb_program.sv │ │ ├── tree_sitter_systemverilog_49.sv │ │ ├── ucontroller.sv │ │ ├── uvm_component.svh │ │ ├── verilog_ext_29.sv │ │ ├── verilog_ext_3.sv │ │ ├── verilog_ext_37.sv │ │ └── verilog_ts_mode_3.sv │ ├── navigation │ │ ├── axi_demux.inst.bwd.el │ │ ├── axi_demux.inst.fwd.el │ │ ├── axi_demux.inst_int.bwd.el │ │ ├── axi_demux.inst_int.fwd.el │ │ ├── axi_demux.ts.inst.bwd.el │ │ ├── axi_demux.ts.inst.fwd.el │ │ ├── axi_test.class.bwd.el │ │ ├── axi_test.class.fwd.el │ │ ├── axi_test.defun.down.el │ │ ├── axi_test.defun.up.el │ │ ├── axi_test.tf.bwd.el │ │ ├── axi_test.tf.fwd.el │ │ ├── axi_test.ts.class.bwd.el │ │ ├── axi_test.ts.class.fwd.el │ │ ├── axi_test.ts.tf.bwd.el │ │ ├── axi_test.ts.tf.fwd.el │ │ ├── block0.ag │ │ ├── block0.rg │ │ ├── block1.ag │ │ ├── block1.rg │ │ ├── block2.ag │ │ ├── block2.rg │ │ ├── block3.ag │ │ ├── block3.rg │ │ ├── block_gen.ag │ │ ├── block_gen.rg │ │ ├── block_ws_0.ag │ │ ├── block_ws_0.rg │ │ ├── block_ws_1.ag │ │ ├── block_ws_1.rg │ │ ├── instances.inst.bwd.el │ │ ├── instances.inst.fwd.el │ │ ├── instances.inst_int.bwd.el │ │ ├── instances.inst_int.fwd.el │ │ ├── instances.ts.inst.bwd.el │ │ ├── instances.ts.inst.fwd.el │ │ ├── tb_program.class.bwd.el │ │ ├── tb_program.class.fwd.el │ │ ├── tb_program.defun.down.el │ │ ├── tb_program.defun.up.el │ │ ├── tb_program.tf.bwd.el │ │ ├── tb_program.tf.fwd.el │ │ ├── tb_program.ts.class.bwd.el │ │ ├── tb_program.ts.class.fwd.el │ │ ├── tb_program.ts.tf.bwd.el │ │ ├── tb_program.ts.tf.fwd.el │ │ ├── test_if.ag │ │ ├── test_if.rg │ │ ├── test_if_params.ag │ │ ├── test_if_params.rg │ │ ├── test_if_params_array.ag │ │ ├── test_if_params_array.rg │ │ ├── test_if_params_empty.ag │ │ ├── test_if_params_empty.rg │ │ ├── ucontroller.inst.bwd.el │ │ ├── ucontroller.inst.fwd.el │ │ ├── ucontroller.inst_int.bwd.el │ │ ├── ucontroller.inst_int.fwd.el │ │ ├── ucontroller.ts.inst.bwd.el │ │ ├── ucontroller.ts.inst.fwd.el │ │ ├── uvm_component.class.bwd.el │ │ ├── uvm_component.class.fwd.el │ │ ├── uvm_component.defun.down.el │ │ ├── uvm_component.defun.up.el │ │ ├── uvm_component.tf.bwd.el │ │ ├── uvm_component.tf.fwd.el │ │ ├── uvm_component.ts.class.bwd.el │ │ ├── uvm_component.ts.class.fwd.el │ │ ├── uvm_component.ts.tf.bwd.el │ │ └── uvm_component.ts.tf.fwd.el │ ├── tags │ │ ├── axi_demux.defs.el │ │ ├── axi_demux.refs.el │ │ ├── axi_demux.ts.defs.el │ │ ├── axi_demux.ts.refs.el │ │ ├── axi_test.defs.el │ │ ├── axi_test.refs.el │ │ ├── axi_test.ts.defs.el │ │ ├── axi_test.ts.refs.el │ │ ├── instances.defs.el │ │ ├── instances.refs.el │ │ ├── instances.ts.defs.el │ │ ├── instances.ts.refs.el │ │ ├── misc.ts.defs.el │ │ ├── misc.ts.refs.el │ │ ├── tb_program.defs.el │ │ ├── tb_program.refs.el │ │ ├── tb_program.ts.defs.el │ │ ├── tb_program.ts.refs.el │ │ ├── tree_sitter_systemverilog_49.ts.defs.el │ │ ├── tree_sitter_systemverilog_49.ts.refs.el │ │ ├── ucontroller.defs.el │ │ ├── ucontroller.refs.el │ │ ├── ucontroller.ts.defs.el │ │ ├── ucontroller.ts.refs.el │ │ ├── uvm_component.defs.el │ │ ├── uvm_component.refs.el │ │ ├── uvm_component.ts.defs.el │ │ ├── uvm_component.ts.refs.el │ │ ├── verilog_ext_29.ts.defs.el │ │ ├── verilog_ext_29.ts.refs.el │ │ ├── verilog_ext_3.ts.defs.el │ │ ├── verilog_ext_3.ts.refs.el │ │ ├── verilog_ext_37.ts.defs.el │ │ ├── verilog_ext_37.ts.refs.el │ │ ├── verilog_ts_mode_3.ts.defs.el │ │ └── verilog_ts_mode_3.ts.refs.el │ ├── utils │ │ ├── axi_demux.scan.modules.el │ │ ├── axi_demux.ts.scan.modules.el │ │ ├── axi_test.block.at.point.el │ │ ├── axi_test.point.inside.block.el │ │ ├── axi_test.scan.modules.el │ │ ├── axi_test.ts.block.at.point.el │ │ ├── axi_test.ts.point.inside.block.el │ │ ├── axi_test.ts.scan.modules.el │ │ ├── instances.block.at.point.el │ │ ├── instances.files.test1 │ │ ├── instances.files.test10 │ │ ├── instances.files.test2 │ │ ├── instances.files.test3 │ │ ├── instances.files.test4 │ │ ├── instances.files.test5 │ │ ├── instances.files.test6 │ │ ├── instances.files.test7 │ │ ├── instances.files.test8 │ │ ├── instances.files.test9 │ │ ├── instances.inst.point.el │ │ ├── instances.point.inside.block.el │ │ ├── instances.scan.modules.el │ │ ├── instances.ts.block.at.point.el │ │ ├── instances.ts.inst.point.el │ │ ├── instances.ts.point.inside.block.el │ │ ├── instances.ts.scan.modules.el │ │ ├── misc.scan.modules.el │ │ ├── misc.ts.scan.modules.el │ │ ├── tb_program.block.at.point.el │ │ ├── tb_program.point.inside.block.el │ │ ├── tb_program.scan.modules.el │ │ ├── tb_program.ts.block.at.point.el │ │ ├── tb_program.ts.point.inside.block.el │ │ ├── tb_program.ts.scan.modules.el │ │ ├── tree_sitter_systemverilog_49.scan.modules.el │ │ ├── tree_sitter_systemverilog_49.ts.scan.modules.el │ │ ├── ucontroller.block.at.point.el │ │ ├── ucontroller.inst.point.el │ │ ├── ucontroller.point.inside.block.el │ │ ├── ucontroller.scan.modules.el │ │ ├── ucontroller.ts.block.at.point.el │ │ ├── ucontroller.ts.inst.point.el │ │ ├── ucontroller.ts.point.inside.block.el │ │ ├── ucontroller.ts.scan.modules.el │ │ ├── uvm_component.block.at.point.el │ │ ├── uvm_component.point.inside.block.el │ │ ├── uvm_component.scan.modules.el │ │ ├── uvm_component.ts.block.at.point.el │ │ ├── uvm_component.ts.point.inside.block.el │ │ ├── uvm_component.ts.scan.modules.el │ │ ├── verilog_ext_29.scan.modules.el │ │ ├── verilog_ext_29.ts.scan.modules.el │ │ ├── verilog_ext_3.scan.modules.el │ │ ├── verilog_ext_3.ts.scan.modules.el │ │ ├── verilog_ext_37.scan.modules.el │ │ ├── verilog_ext_37.ts.scan.modules.el │ │ ├── verilog_ts_mode_3.scan.modules.el │ │ └── verilog_ts_mode_3.ts.scan.modules.el │ └── xref │ │ ├── ucontroller.xref.defs.el │ │ ├── ucontroller.xref.refs.el │ │ ├── uvm_component.xref.defs.el │ │ └── uvm_component.xref.refs.el └── src │ ├── verilog-ext-test-beautify.el │ ├── verilog-ext-test-capf.el │ ├── verilog-ext-test-faceup.el │ ├── verilog-ext-test-hierarchy.el │ ├── verilog-ext-test-imenu.el │ ├── verilog-ext-test-indent.el │ ├── verilog-ext-test-navigation.el │ ├── verilog-ext-test-setup-package-test.el │ ├── verilog-ext-test-setup-package.el │ ├── verilog-ext-test-setup-straight.el │ ├── verilog-ext-test-tags.el │ ├── verilog-ext-test-utils.el │ ├── verilog-ext-test-xref.el │ └── verilog-ext-test.el ├── verilog-ext-beautify.el ├── verilog-ext-block-end-comments.el ├── verilog-ext-capf.el ├── verilog-ext-compile.el ├── verilog-ext-eglot.el ├── verilog-ext-flycheck.el ├── verilog-ext-font-lock.el ├── verilog-ext-formatter.el ├── verilog-ext-hierarchy.el ├── verilog-ext-hs.el ├── verilog-ext-imenu.el ├── verilog-ext-lsp-bridge.el ├── verilog-ext-lsp.el ├── verilog-ext-lspce.el ├── verilog-ext-nav.el ├── verilog-ext-ports.el ├── verilog-ext-tags.el ├── verilog-ext-template.el ├── verilog-ext-time-stamp.el ├── verilog-ext-typedef.el ├── verilog-ext-utils.el ├── verilog-ext-which-func.el ├── verilog-ext-xref.el └── verilog-ext.el /.github/FUNDING.yml: -------------------------------------------------------------------------------- 1 | github: gmlarumbe 2 | -------------------------------------------------------------------------------- /.github/workflows/build_package_melpa_basic.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/.github/workflows/build_package_melpa_basic.yml -------------------------------------------------------------------------------- /.github/workflows/build_package_melpa_stable.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/.github/workflows/build_package_melpa_stable.yml -------------------------------------------------------------------------------- /.github/workflows/build_straight.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/.github/workflows/build_straight.yml -------------------------------------------------------------------------------- /.github/workflows/build_straight_release_snapshot.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/.github/workflows/build_straight_release_snapshot.yml -------------------------------------------------------------------------------- /.github/workflows/build_straight_snapshot.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/.github/workflows/build_straight_snapshot.yml -------------------------------------------------------------------------------- /.github/workflows/melpazoid.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/.github/workflows/melpazoid.yml -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- 1 | *.elc 2 | test/dump 3 | -------------------------------------------------------------------------------- /.gitmodules: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/.gitmodules -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/LICENSE -------------------------------------------------------------------------------- /Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/Makefile -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/README.md -------------------------------------------------------------------------------- /langserver/hdl-checker.json: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/langserver/hdl-checker.json -------------------------------------------------------------------------------- /langserver/slang-server.json: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/langserver/slang-server.json -------------------------------------------------------------------------------- /langserver/svlangserver.json: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/langserver/svlangserver.json -------------------------------------------------------------------------------- /langserver/svls.json: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/langserver/svls.json -------------------------------------------------------------------------------- /langserver/verible.json: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/langserver/verible.json -------------------------------------------------------------------------------- /langserver/veridian.json: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/langserver/veridian.json -------------------------------------------------------------------------------- /snippets/makefile-mode/verilog-template: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/makefile-mode/verilog-template -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_agent.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_agent.svh -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_agent_config.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_agent_config.svh -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_agent_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_agent_pkg.sv -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_driver.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_driver.svh -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_driver_bfm.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_driver_bfm.sv -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_if.sv -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_monitor.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_monitor.svh -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_monitor_bfm.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_monitor_bfm.sv -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_seq_item.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_seq_item.svh -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_seq_lib.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_seq_lib.svh -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_sva.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_sva.sv -------------------------------------------------------------------------------- /snippets/uvm_agent/uvm_types.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/uvm_agent/uvm_types.svh -------------------------------------------------------------------------------- /snippets/verilog-mode/always: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/always -------------------------------------------------------------------------------- /snippets/verilog-mode/always_comb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/always_comb -------------------------------------------------------------------------------- /snippets/verilog-mode/always_ff: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/always_ff -------------------------------------------------------------------------------- /snippets/verilog-mode/always_latch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/always_latch -------------------------------------------------------------------------------- /snippets/verilog-mode/assert: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/assert -------------------------------------------------------------------------------- /snippets/verilog-mode/assert_prop: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/assert_prop -------------------------------------------------------------------------------- /snippets/verilog-mode/assign: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/assign -------------------------------------------------------------------------------- /snippets/verilog-mode/begin: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/begin -------------------------------------------------------------------------------- /snippets/verilog-mode/class: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/class -------------------------------------------------------------------------------- /snippets/verilog-mode/clk_event: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/clk_event -------------------------------------------------------------------------------- /snippets/verilog-mode/clocking: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/clocking -------------------------------------------------------------------------------- /snippets/verilog-mode/constraint: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/constraint -------------------------------------------------------------------------------- /snippets/verilog-mode/covergroup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/covergroup -------------------------------------------------------------------------------- /snippets/verilog-mode/display: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/display -------------------------------------------------------------------------------- /snippets/verilog-mode/do-while: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/do-while -------------------------------------------------------------------------------- /snippets/verilog-mode/else: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/else -------------------------------------------------------------------------------- /snippets/verilog-mode/else-if: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/else-if -------------------------------------------------------------------------------- /snippets/verilog-mode/final: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/final -------------------------------------------------------------------------------- /snippets/verilog-mode/for: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/for -------------------------------------------------------------------------------- /snippets/verilog-mode/foreach: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/foreach -------------------------------------------------------------------------------- /snippets/verilog-mode/forever: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/forever -------------------------------------------------------------------------------- /snippets/verilog-mode/fork: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/fork -------------------------------------------------------------------------------- /snippets/verilog-mode/fork_any: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/fork_any -------------------------------------------------------------------------------- /snippets/verilog-mode/fork_none: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/fork_none -------------------------------------------------------------------------------- /snippets/verilog-mode/function: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/function -------------------------------------------------------------------------------- /snippets/verilog-mode/generate: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/generate -------------------------------------------------------------------------------- /snippets/verilog-mode/if: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/if -------------------------------------------------------------------------------- /snippets/verilog-mode/initial: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/initial -------------------------------------------------------------------------------- /snippets/verilog-mode/interface: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/interface -------------------------------------------------------------------------------- /snippets/verilog-mode/localparam: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/localparam -------------------------------------------------------------------------------- /snippets/verilog-mode/logic: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/logic -------------------------------------------------------------------------------- /snippets/verilog-mode/logic_vector: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/logic_vector -------------------------------------------------------------------------------- /snippets/verilog-mode/modport: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/modport -------------------------------------------------------------------------------- /snippets/verilog-mode/module: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/module -------------------------------------------------------------------------------- /snippets/verilog-mode/module_param: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/module_param -------------------------------------------------------------------------------- /snippets/verilog-mode/once: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/once -------------------------------------------------------------------------------- /snippets/verilog-mode/package: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/package -------------------------------------------------------------------------------- /snippets/verilog-mode/parameter: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/parameter -------------------------------------------------------------------------------- /snippets/verilog-mode/program: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/program -------------------------------------------------------------------------------- /snippets/verilog-mode/property: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/property -------------------------------------------------------------------------------- /snippets/verilog-mode/repeat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/repeat -------------------------------------------------------------------------------- /snippets/verilog-mode/sequence: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/sequence -------------------------------------------------------------------------------- /snippets/verilog-mode/star_comment: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/star_comment -------------------------------------------------------------------------------- /snippets/verilog-mode/task: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/task -------------------------------------------------------------------------------- /snippets/verilog-mode/typedef: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/typedef -------------------------------------------------------------------------------- /snippets/verilog-mode/uvm_component: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/uvm_component -------------------------------------------------------------------------------- /snippets/verilog-mode/uvm_error: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/uvm_error -------------------------------------------------------------------------------- /snippets/verilog-mode/uvm_fatal: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/uvm_fatal -------------------------------------------------------------------------------- /snippets/verilog-mode/uvm_info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/uvm_info -------------------------------------------------------------------------------- /snippets/verilog-mode/uvm_object: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/uvm_object -------------------------------------------------------------------------------- /snippets/verilog-mode/uvm_report: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/uvm_report -------------------------------------------------------------------------------- /snippets/verilog-mode/uvm_typeid_create: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/uvm_typeid_create -------------------------------------------------------------------------------- /snippets/verilog-mode/uvm_warning: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/uvm_warning -------------------------------------------------------------------------------- /snippets/verilog-mode/while: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/snippets/verilog-mode/while -------------------------------------------------------------------------------- /test/files/common/axi_demux.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/common/axi_demux.sv -------------------------------------------------------------------------------- /test/files/common/axi_test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/common/axi_test.sv -------------------------------------------------------------------------------- /test/files/common/instances.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/common/instances.sv -------------------------------------------------------------------------------- /test/files/common/tb_program.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/common/tb_program.sv -------------------------------------------------------------------------------- /test/files/common/ucontroller.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/common/ucontroller.sv -------------------------------------------------------------------------------- /test/files/common/uvm_component.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/common/uvm_component.svh -------------------------------------------------------------------------------- /test/files/github/tree_sitter_systemverilog_49.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/github/tree_sitter_systemverilog_49.sv -------------------------------------------------------------------------------- /test/files/github/verilog_ext_29.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/github/verilog_ext_29.sv -------------------------------------------------------------------------------- /test/files/github/verilog_ext_3.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/github/verilog_ext_3.sv -------------------------------------------------------------------------------- /test/files/github/verilog_ext_37.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/github/verilog_ext_37.sv -------------------------------------------------------------------------------- /test/files/github/verilog_ts_mode_3.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/github/verilog_ts_mode_3.sv -------------------------------------------------------------------------------- /test/files/subblocks/block0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/block0.sv -------------------------------------------------------------------------------- /test/files/subblocks/block1.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/block1.sv -------------------------------------------------------------------------------- /test/files/subblocks/block2.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/block2.sv -------------------------------------------------------------------------------- /test/files/subblocks/block3.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/block3.sv -------------------------------------------------------------------------------- /test/files/subblocks/block_gen.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/block_gen.sv -------------------------------------------------------------------------------- /test/files/subblocks/block_ws_0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/block_ws_0.sv -------------------------------------------------------------------------------- /test/files/subblocks/block_ws_1.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/block_ws_1.sv -------------------------------------------------------------------------------- /test/files/subblocks/test_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/test_if.sv -------------------------------------------------------------------------------- /test/files/subblocks/test_if_params.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/test_if_params.sv -------------------------------------------------------------------------------- /test/files/subblocks/test_if_params_array.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/test_if_params_array.sv -------------------------------------------------------------------------------- /test/files/subblocks/test_if_params_empty.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/subblocks/test_if_params_empty.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/alu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/alu.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/bin2bcd.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/bin2bcd.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/cpu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/cpu.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/dma.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/dma.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/dma_arbiter.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/dma_arbiter.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/dma_rx.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/dma_rx.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/dma_tx.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/dma_tx.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/fifo_wrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/fifo_wrapper.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/global_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/global_pkg.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/gp_ram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/gp_ram.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/ram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/ram.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/ram_arbiter.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/ram_arbiter.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/regs_ram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/regs_ram.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/sreg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/sreg.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/uart.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/uart.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/uart_rx.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/uart_rx.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/uart_tx.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/uart_tx.sv -------------------------------------------------------------------------------- /test/files/ucontroller/rtl/ucontroller.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/rtl/ucontroller.sv -------------------------------------------------------------------------------- /test/files/ucontroller/tb/fifo_generator_0_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/tb/fifo_generator_0_sim_netlist.v -------------------------------------------------------------------------------- /test/files/ucontroller/tb/tb_alu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/tb/tb_alu.sv -------------------------------------------------------------------------------- /test/files/ucontroller/tb/tb_bin2bcd.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/tb/tb_bin2bcd.sv -------------------------------------------------------------------------------- /test/files/ucontroller/tb/tb_clocks.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/tb/tb_clocks.sv -------------------------------------------------------------------------------- /test/files/ucontroller/tb/tb_cpu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/tb/tb_cpu.sv -------------------------------------------------------------------------------- /test/files/ucontroller/tb/tb_dma.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/tb/tb_dma.sv -------------------------------------------------------------------------------- /test/files/ucontroller/tb/tb_program.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/tb/tb_program.sv -------------------------------------------------------------------------------- /test/files/ucontroller/tb/tb_ram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/tb/tb_ram.sv -------------------------------------------------------------------------------- /test/files/ucontroller/tb/tb_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/tb/tb_top.sv -------------------------------------------------------------------------------- /test/files/ucontroller/tb/tb_uart.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/ucontroller/tb/tb_uart.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_1.v -------------------------------------------------------------------------------- /test/files/veripool/indent_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_2.v -------------------------------------------------------------------------------- /test/files/veripool/indent_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_3.v -------------------------------------------------------------------------------- /test/files/veripool/indent_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_4.v -------------------------------------------------------------------------------- /test/files/veripool/indent_always_decl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_always_decl.v -------------------------------------------------------------------------------- /test/files/veripool/indent_analog.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_analog.v -------------------------------------------------------------------------------- /test/files/veripool/indent_assert.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_assert.v -------------------------------------------------------------------------------- /test/files/veripool/indent_assert_else.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_assert_else.v -------------------------------------------------------------------------------- /test/files/veripool/indent_assert_property.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_assert_property.v -------------------------------------------------------------------------------- /test/files/veripool/indent_assignment.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_assignment.v -------------------------------------------------------------------------------- /test/files/veripool/indent_attributes.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_attributes.v -------------------------------------------------------------------------------- /test/files/veripool/indent_begin_clapp.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_begin_clapp.v -------------------------------------------------------------------------------- /test/files/veripool/indent_bracket.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_bracket.v -------------------------------------------------------------------------------- /test/files/veripool/indent_case.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_case.v -------------------------------------------------------------------------------- /test/files/veripool/indent_class.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_class.v -------------------------------------------------------------------------------- /test/files/veripool/indent_class_pkg_nil.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_class_pkg_nil.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_clocking.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_clocking.v -------------------------------------------------------------------------------- /test/files/veripool/indent_clockingblock.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_clockingblock.v -------------------------------------------------------------------------------- /test/files/veripool/indent_comments.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_comments.v -------------------------------------------------------------------------------- /test/files/veripool/indent_comments_bug1717.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_comments_bug1717.v -------------------------------------------------------------------------------- /test/files/veripool/indent_connectmodule.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_connectmodule.v -------------------------------------------------------------------------------- /test/files/veripool/indent_constraint.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_constraint.v -------------------------------------------------------------------------------- /test/files/veripool/indent_constraint2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_constraint2.v -------------------------------------------------------------------------------- /test/files/veripool/indent_constraint3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_constraint3.v -------------------------------------------------------------------------------- /test/files/veripool/indent_covergroup.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_covergroup.v -------------------------------------------------------------------------------- /test/files/veripool/indent_covergroup_swan.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_covergroup_swan.v -------------------------------------------------------------------------------- /test/files/veripool/indent_coverpoint.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_coverpoint.v -------------------------------------------------------------------------------- /test/files/veripool/indent_decl-1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_decl-1.v -------------------------------------------------------------------------------- /test/files/veripool/indent_decl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_decl.v -------------------------------------------------------------------------------- /test/files/veripool/indent_decl_1760.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_decl_1760.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_directives.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_directives.v -------------------------------------------------------------------------------- /test/files/veripool/indent_double_curly.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_double_curly.v -------------------------------------------------------------------------------- /test/files/veripool/indent_dpi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_dpi.v -------------------------------------------------------------------------------- /test/files/veripool/indent_enum.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_enum.v -------------------------------------------------------------------------------- /test/files/veripool/indent_foreach.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_foreach.v -------------------------------------------------------------------------------- /test/files/veripool/indent_fork_join_any.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_fork_join_any.v -------------------------------------------------------------------------------- /test/files/veripool/indent_formfeed.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_formfeed.v -------------------------------------------------------------------------------- /test/files/veripool/indent_function.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_function.v -------------------------------------------------------------------------------- /test/files/veripool/indent_generate.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_generate.v -------------------------------------------------------------------------------- /test/files/veripool/indent_generate_bug1257.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_generate_bug1257.v -------------------------------------------------------------------------------- /test/files/veripool/indent_generate_bug1404.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_generate_bug1404.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_generate_case.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_generate_case.v -------------------------------------------------------------------------------- /test/files/veripool/indent_generate_for.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_generate_for.v -------------------------------------------------------------------------------- /test/files/veripool/indent_generate_if.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_generate_if.v -------------------------------------------------------------------------------- /test/files/veripool/indent_genmod.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_genmod.v -------------------------------------------------------------------------------- /test/files/veripool/indent_if.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_if.v -------------------------------------------------------------------------------- /test/files/veripool/indent_if2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_if2.v -------------------------------------------------------------------------------- /test/files/veripool/indent_ifdef.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_ifdef.v -------------------------------------------------------------------------------- /test/files/veripool/indent_ifdef_generate.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_ifdef_generate.v -------------------------------------------------------------------------------- /test/files/veripool/indent_immediate_assertion.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_immediate_assertion.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_importfunction.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_importfunction.v -------------------------------------------------------------------------------- /test/files/veripool/indent_interface.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_interface.v -------------------------------------------------------------------------------- /test/files/veripool/indent_interface_class_bug1047.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_interface_class_bug1047.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_linefeed.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_linefeed.v -------------------------------------------------------------------------------- /test/files/veripool/indent_lineup_inlists.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_lineup_inlists.v -------------------------------------------------------------------------------- /test/files/veripool/indent_lineup_mode_all.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_lineup_mode_all.v -------------------------------------------------------------------------------- /test/files/veripool/indent_lineup_mode_assignments.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_lineup_mode_assignments.v -------------------------------------------------------------------------------- /test/files/veripool/indent_lineup_mode_declarations.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_lineup_mode_declarations.v -------------------------------------------------------------------------------- /test/files/veripool/indent_lineup_mode_none.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_lineup_mode_none.v -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_align_ports_custom_type.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_align_ports_custom_type.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_continued_line.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_continued_line.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_generate_for.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_generate_for.v -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_generate_for2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_generate_for2.v -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_generate_if.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_generate_if.v -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_generate_if2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_generate_if2.v -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_if.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_methods.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_methods.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_param_port_list.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_param_port_list.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_params.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_params.v -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_params2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_params2.v -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_pkg_class.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_pkg_class.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_report.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_report.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_list_nil_typedef_enum.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_list_nil_typedef_enum.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_macro_braces.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_macro_braces.v -------------------------------------------------------------------------------- /test/files/veripool/indent_macro_comment.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_macro_comment.v -------------------------------------------------------------------------------- /test/files/veripool/indent_macro_ignore_multiline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_macro_ignore_multiline.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_macro_ignore_regexp.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_macro_ignore_regexp.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_mailbox.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_mailbox.v -------------------------------------------------------------------------------- /test/files/veripool/indent_modansi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_modansi.v -------------------------------------------------------------------------------- /test/files/veripool/indent_modeln.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_modeln.v -------------------------------------------------------------------------------- /test/files/veripool/indent_modport.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_modport.v -------------------------------------------------------------------------------- /test/files/veripool/indent_named_assert.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_named_assert.v -------------------------------------------------------------------------------- /test/files/veripool/indent_ovm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_ovm.v -------------------------------------------------------------------------------- /test/files/veripool/indent_param.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_param.v -------------------------------------------------------------------------------- /test/files/veripool/indent_param_1645.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_param_1645.v -------------------------------------------------------------------------------- /test/files/veripool/indent_preproc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_preproc.v -------------------------------------------------------------------------------- /test/files/veripool/indent_preproc_label.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_preproc_label.v -------------------------------------------------------------------------------- /test/files/veripool/indent_property.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_property.v -------------------------------------------------------------------------------- /test/files/veripool/indent_property_bug1817.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_property_bug1817.v -------------------------------------------------------------------------------- /test/files/veripool/indent_randcase.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_randcase.v -------------------------------------------------------------------------------- /test/files/veripool/indent_random.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_random.v -------------------------------------------------------------------------------- /test/files/veripool/indent_reftype.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_reftype.v -------------------------------------------------------------------------------- /test/files/veripool/indent_rep_msg1188.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_rep_msg1188.v -------------------------------------------------------------------------------- /test/files/veripool/indent_replicate.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_replicate.v -------------------------------------------------------------------------------- /test/files/veripool/indent_replicate_bug955.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_replicate_bug955.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_sexp.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_sexp.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_streaming_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_streaming_op.v -------------------------------------------------------------------------------- /test/files/veripool/indent_struct.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_struct.v -------------------------------------------------------------------------------- /test/files/veripool/indent_sv_interface_mp_bug636.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_sv_interface_mp_bug636.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_task.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_task.v -------------------------------------------------------------------------------- /test/files/veripool/indent_task_func_decl.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_task_func_decl.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_typedef.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_typedef.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_typedef_enum.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_typedef_enum.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_unique_case-1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_unique_case-1.v -------------------------------------------------------------------------------- /test/files/veripool/indent_unique_case-2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_unique_case-2.v -------------------------------------------------------------------------------- /test/files/veripool/indent_unique_case.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_unique_case.v -------------------------------------------------------------------------------- /test/files/veripool/indent_uvm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_uvm.v -------------------------------------------------------------------------------- /test/files/veripool/indent_virtual_class.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_virtual_class.sv -------------------------------------------------------------------------------- /test/files/veripool/indent_warren.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/files/veripool/indent_warren.v -------------------------------------------------------------------------------- /test/ref/beautify/axi_demux.extra.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/axi_demux.extra.sv -------------------------------------------------------------------------------- /test/ref/beautify/axi_demux.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/axi_demux.sv -------------------------------------------------------------------------------- /test/ref/beautify/axi_demux.ts.extra.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/axi_demux.ts.extra.sv -------------------------------------------------------------------------------- /test/ref/beautify/axi_demux.ts.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/axi_demux.ts.sv -------------------------------------------------------------------------------- /test/ref/beautify/instances.extra.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/instances.extra.sv -------------------------------------------------------------------------------- /test/ref/beautify/instances.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/instances.sv -------------------------------------------------------------------------------- /test/ref/beautify/instances.ts.extra.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/instances.ts.extra.sv -------------------------------------------------------------------------------- /test/ref/beautify/instances.ts.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/instances.ts.sv -------------------------------------------------------------------------------- /test/ref/beautify/ucontroller.extra.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/ucontroller.extra.sv -------------------------------------------------------------------------------- /test/ref/beautify/ucontroller.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/ucontroller.sv -------------------------------------------------------------------------------- /test/ref/beautify/ucontroller.ts.extra.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/ucontroller.ts.extra.sv -------------------------------------------------------------------------------- /test/ref/beautify/ucontroller.ts.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/ucontroller.ts.sv -------------------------------------------------------------------------------- /test/ref/beautify/verilog_ext_29.extra.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/verilog_ext_29.extra.sv -------------------------------------------------------------------------------- /test/ref/beautify/verilog_ext_29.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/verilog_ext_29.sv -------------------------------------------------------------------------------- /test/ref/beautify/verilog_ext_29.ts.extra.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/verilog_ext_29.ts.extra.sv -------------------------------------------------------------------------------- /test/ref/beautify/verilog_ext_29.ts.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/beautify/verilog_ext_29.ts.sv -------------------------------------------------------------------------------- /test/ref/capf/tb_program.annotations.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/capf/tb_program.annotations.el -------------------------------------------------------------------------------- /test/ref/capf/tb_program.capf.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/capf/tb_program.capf.el -------------------------------------------------------------------------------- /test/ref/capf/ucontroller.annotations.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/capf/ucontroller.annotations.el -------------------------------------------------------------------------------- /test/ref/capf/ucontroller.capf.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/capf/ucontroller.capf.el -------------------------------------------------------------------------------- /test/ref/capf/verilog_ext_3.annotations.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/capf/verilog_ext_3.annotations.el -------------------------------------------------------------------------------- /test/ref/capf/verilog_ext_3.capf.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/capf/verilog_ext_3.capf.el -------------------------------------------------------------------------------- /test/ref/faceup/axi_demux.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/axi_demux.faceup -------------------------------------------------------------------------------- /test/ref/faceup/axi_test.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/axi_test.faceup -------------------------------------------------------------------------------- /test/ref/faceup/instances.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/instances.faceup -------------------------------------------------------------------------------- /test/ref/faceup/misc.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/misc.faceup -------------------------------------------------------------------------------- /test/ref/faceup/tb_program.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/tb_program.faceup -------------------------------------------------------------------------------- /test/ref/faceup/tree_sitter_systemverilog_49.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/tree_sitter_systemverilog_49.faceup -------------------------------------------------------------------------------- /test/ref/faceup/ucontroller.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/ucontroller.faceup -------------------------------------------------------------------------------- /test/ref/faceup/uvm_component.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/uvm_component.faceup -------------------------------------------------------------------------------- /test/ref/faceup/verilog_ext_29.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/verilog_ext_29.faceup -------------------------------------------------------------------------------- /test/ref/faceup/verilog_ext_3.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/verilog_ext_3.faceup -------------------------------------------------------------------------------- /test/ref/faceup/verilog_ext_37.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/verilog_ext_37.faceup -------------------------------------------------------------------------------- /test/ref/faceup/verilog_ts_mode_3.faceup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/faceup/verilog_ts_mode_3.faceup -------------------------------------------------------------------------------- /test/ref/hierarchy/axi_demux.builtin.hier.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/axi_demux.builtin.hier.el -------------------------------------------------------------------------------- /test/ref/hierarchy/axi_demux.builtin.outline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/axi_demux.builtin.outline.sv -------------------------------------------------------------------------------- /test/ref/hierarchy/axi_demux.mm.builtin.hier.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/axi_demux.mm.builtin.hier.el -------------------------------------------------------------------------------- /test/ref/hierarchy/axi_demux.mm.builtin.outline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/axi_demux.mm.builtin.outline.sv -------------------------------------------------------------------------------- /test/ref/hierarchy/axi_demux.mm.ts.hier.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/axi_demux.mm.ts.hier.el -------------------------------------------------------------------------------- /test/ref/hierarchy/axi_demux.mm.ts.outline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/axi_demux.mm.ts.outline.sv -------------------------------------------------------------------------------- /test/ref/hierarchy/axi_demux.ts.hier.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/axi_demux.ts.hier.el -------------------------------------------------------------------------------- /test/ref/hierarchy/axi_demux.ts.outline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/axi_demux.ts.outline.sv -------------------------------------------------------------------------------- /test/ref/hierarchy/instances.builtin.hier.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/instances.builtin.hier.el -------------------------------------------------------------------------------- /test/ref/hierarchy/instances.builtin.outline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/instances.builtin.outline.sv -------------------------------------------------------------------------------- /test/ref/hierarchy/instances.ts.hier.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/instances.ts.hier.el -------------------------------------------------------------------------------- /test/ref/hierarchy/instances.ts.outline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/instances.ts.outline.sv -------------------------------------------------------------------------------- /test/ref/hierarchy/instances.vhier.hier.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/instances.vhier.hier.el -------------------------------------------------------------------------------- /test/ref/hierarchy/instances.vhier.outline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/instances.vhier.outline.sv -------------------------------------------------------------------------------- /test/ref/hierarchy/ucontroller.builtin.hier.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/ucontroller.builtin.hier.el -------------------------------------------------------------------------------- /test/ref/hierarchy/ucontroller.builtin.outline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/ucontroller.builtin.outline.sv -------------------------------------------------------------------------------- /test/ref/hierarchy/ucontroller.ts.hier.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/ucontroller.ts.hier.el -------------------------------------------------------------------------------- /test/ref/hierarchy/ucontroller.ts.outline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/ucontroller.ts.outline.sv -------------------------------------------------------------------------------- /test/ref/hierarchy/ucontroller.vhier.hier.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/ucontroller.vhier.hier.el -------------------------------------------------------------------------------- /test/ref/hierarchy/ucontroller.vhier.outline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/hierarchy/ucontroller.vhier.outline.sv -------------------------------------------------------------------------------- /test/ref/imenu/axi_demux.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/imenu/axi_demux.el -------------------------------------------------------------------------------- /test/ref/imenu/axi_test.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/imenu/axi_test.el -------------------------------------------------------------------------------- /test/ref/imenu/instances.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/imenu/instances.el -------------------------------------------------------------------------------- /test/ref/imenu/misc.el: -------------------------------------------------------------------------------- 1 | (("*Top*" 2 | ("foo" . 86))) 3 | -------------------------------------------------------------------------------- /test/ref/imenu/tb_program.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/imenu/tb_program.el -------------------------------------------------------------------------------- /test/ref/imenu/tree_sitter_systemverilog_49.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/imenu/tree_sitter_systemverilog_49.el -------------------------------------------------------------------------------- /test/ref/imenu/ucontroller.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/imenu/ucontroller.el -------------------------------------------------------------------------------- /test/ref/imenu/uvm_component.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/imenu/uvm_component.el -------------------------------------------------------------------------------- /test/ref/imenu/verilog_ext_29.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/imenu/verilog_ext_29.el -------------------------------------------------------------------------------- /test/ref/imenu/verilog_ext_3.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/imenu/verilog_ext_3.el -------------------------------------------------------------------------------- /test/ref/imenu/verilog_ext_37.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/imenu/verilog_ext_37.el -------------------------------------------------------------------------------- /test/ref/imenu/verilog_ts_mode_3.el: -------------------------------------------------------------------------------- 1 | (("*Top*" 2 | ("foo" . 86))) 3 | -------------------------------------------------------------------------------- /test/ref/indent/axi_demux.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/axi_demux.sv -------------------------------------------------------------------------------- /test/ref/indent/axi_test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/axi_test.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_1.v -------------------------------------------------------------------------------- /test/ref/indent/indent_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_2.v -------------------------------------------------------------------------------- /test/ref/indent/indent_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_3.v -------------------------------------------------------------------------------- /test/ref/indent/indent_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_4.v -------------------------------------------------------------------------------- /test/ref/indent/indent_always_decl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_always_decl.v -------------------------------------------------------------------------------- /test/ref/indent/indent_analog.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_analog.v -------------------------------------------------------------------------------- /test/ref/indent/indent_assert.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_assert.v -------------------------------------------------------------------------------- /test/ref/indent/indent_assert_else.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_assert_else.v -------------------------------------------------------------------------------- /test/ref/indent/indent_assert_property.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_assert_property.v -------------------------------------------------------------------------------- /test/ref/indent/indent_assignment.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_assignment.v -------------------------------------------------------------------------------- /test/ref/indent/indent_attributes.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_attributes.v -------------------------------------------------------------------------------- /test/ref/indent/indent_begin_clapp.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_begin_clapp.v -------------------------------------------------------------------------------- /test/ref/indent/indent_bracket.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_bracket.v -------------------------------------------------------------------------------- /test/ref/indent/indent_case.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_case.v -------------------------------------------------------------------------------- /test/ref/indent/indent_class.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_class.v -------------------------------------------------------------------------------- /test/ref/indent/indent_class_pkg_nil.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_class_pkg_nil.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_clocking.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_clocking.v -------------------------------------------------------------------------------- /test/ref/indent/indent_clockingblock.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_clockingblock.v -------------------------------------------------------------------------------- /test/ref/indent/indent_comments.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_comments.v -------------------------------------------------------------------------------- /test/ref/indent/indent_comments_bug1717.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_comments_bug1717.v -------------------------------------------------------------------------------- /test/ref/indent/indent_connectmodule.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_connectmodule.v -------------------------------------------------------------------------------- /test/ref/indent/indent_constraint.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_constraint.v -------------------------------------------------------------------------------- /test/ref/indent/indent_constraint2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_constraint2.v -------------------------------------------------------------------------------- /test/ref/indent/indent_constraint3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_constraint3.v -------------------------------------------------------------------------------- /test/ref/indent/indent_covergroup.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_covergroup.v -------------------------------------------------------------------------------- /test/ref/indent/indent_covergroup_swan.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_covergroup_swan.v -------------------------------------------------------------------------------- /test/ref/indent/indent_coverpoint.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_coverpoint.v -------------------------------------------------------------------------------- /test/ref/indent/indent_decl-1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_decl-1.v -------------------------------------------------------------------------------- /test/ref/indent/indent_decl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_decl.v -------------------------------------------------------------------------------- /test/ref/indent/indent_decl_1760.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_decl_1760.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_directives.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_directives.v -------------------------------------------------------------------------------- /test/ref/indent/indent_double_curly.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_double_curly.v -------------------------------------------------------------------------------- /test/ref/indent/indent_dpi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_dpi.v -------------------------------------------------------------------------------- /test/ref/indent/indent_enum.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_enum.v -------------------------------------------------------------------------------- /test/ref/indent/indent_foreach.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_foreach.v -------------------------------------------------------------------------------- /test/ref/indent/indent_fork_join_any.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_fork_join_any.v -------------------------------------------------------------------------------- /test/ref/indent/indent_formfeed.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_formfeed.v -------------------------------------------------------------------------------- /test/ref/indent/indent_function.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_function.v -------------------------------------------------------------------------------- /test/ref/indent/indent_generate.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_generate.v -------------------------------------------------------------------------------- /test/ref/indent/indent_generate_bug1257.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_generate_bug1257.v -------------------------------------------------------------------------------- /test/ref/indent/indent_generate_bug1404.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_generate_bug1404.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_generate_case.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_generate_case.v -------------------------------------------------------------------------------- /test/ref/indent/indent_generate_for.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_generate_for.v -------------------------------------------------------------------------------- /test/ref/indent/indent_generate_if.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_generate_if.v -------------------------------------------------------------------------------- /test/ref/indent/indent_genmod.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_genmod.v -------------------------------------------------------------------------------- /test/ref/indent/indent_if.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_if.v -------------------------------------------------------------------------------- /test/ref/indent/indent_if2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_if2.v -------------------------------------------------------------------------------- /test/ref/indent/indent_ifdef.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_ifdef.v -------------------------------------------------------------------------------- /test/ref/indent/indent_ifdef_generate.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_ifdef_generate.v -------------------------------------------------------------------------------- /test/ref/indent/indent_immediate_assertion.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_immediate_assertion.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_importfunction.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_importfunction.v -------------------------------------------------------------------------------- /test/ref/indent/indent_interface.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_interface.v -------------------------------------------------------------------------------- /test/ref/indent/indent_interface_class_bug1047.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_interface_class_bug1047.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_linefeed.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_linefeed.v -------------------------------------------------------------------------------- /test/ref/indent/indent_lineup_inlists.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_lineup_inlists.v -------------------------------------------------------------------------------- /test/ref/indent/indent_lineup_mode_all.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_lineup_mode_all.v -------------------------------------------------------------------------------- /test/ref/indent/indent_lineup_mode_assignments.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_lineup_mode_assignments.v -------------------------------------------------------------------------------- /test/ref/indent/indent_lineup_mode_declarations.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_lineup_mode_declarations.v -------------------------------------------------------------------------------- /test/ref/indent/indent_lineup_mode_none.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_lineup_mode_none.v -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_align_ports_custom_type.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_align_ports_custom_type.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_continued_line.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_continued_line.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_generate_for.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_generate_for.v -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_generate_for2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_generate_for2.v -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_generate_if.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_generate_if.v -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_generate_if2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_generate_if2.v -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_if.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_methods.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_methods.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_param_port_list.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_param_port_list.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_params.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_params.v -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_params2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_params2.v -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_pkg_class.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_pkg_class.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_report.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_report.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_list_nil_typedef_enum.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_list_nil_typedef_enum.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_macro_braces.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_macro_braces.v -------------------------------------------------------------------------------- /test/ref/indent/indent_macro_comment.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_macro_comment.v -------------------------------------------------------------------------------- /test/ref/indent/indent_macro_ignore_multiline.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_macro_ignore_multiline.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_macro_ignore_regexp.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_macro_ignore_regexp.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_mailbox.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_mailbox.v -------------------------------------------------------------------------------- /test/ref/indent/indent_modansi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_modansi.v -------------------------------------------------------------------------------- /test/ref/indent/indent_modeln.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_modeln.v -------------------------------------------------------------------------------- /test/ref/indent/indent_modport.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_modport.v -------------------------------------------------------------------------------- /test/ref/indent/indent_named_assert.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_named_assert.v -------------------------------------------------------------------------------- /test/ref/indent/indent_ovm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_ovm.v -------------------------------------------------------------------------------- /test/ref/indent/indent_param.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_param.v -------------------------------------------------------------------------------- /test/ref/indent/indent_param_1645.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_param_1645.v -------------------------------------------------------------------------------- /test/ref/indent/indent_preproc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_preproc.v -------------------------------------------------------------------------------- /test/ref/indent/indent_preproc_label.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_preproc_label.v -------------------------------------------------------------------------------- /test/ref/indent/indent_property.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_property.v -------------------------------------------------------------------------------- /test/ref/indent/indent_property_bug1817.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_property_bug1817.v -------------------------------------------------------------------------------- /test/ref/indent/indent_randcase.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_randcase.v -------------------------------------------------------------------------------- /test/ref/indent/indent_random.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_random.v -------------------------------------------------------------------------------- /test/ref/indent/indent_reftype.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_reftype.v -------------------------------------------------------------------------------- /test/ref/indent/indent_rep_msg1188.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_rep_msg1188.v -------------------------------------------------------------------------------- /test/ref/indent/indent_replicate.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_replicate.v -------------------------------------------------------------------------------- /test/ref/indent/indent_replicate_bug955.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_replicate_bug955.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_sexp.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_sexp.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_streaming_op.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_streaming_op.v -------------------------------------------------------------------------------- /test/ref/indent/indent_struct.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_struct.v -------------------------------------------------------------------------------- /test/ref/indent/indent_sv_interface_mp_bug636.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_sv_interface_mp_bug636.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_task.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_task.v -------------------------------------------------------------------------------- /test/ref/indent/indent_task_func_decl.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_task_func_decl.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_typedef.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_typedef.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_typedef_enum.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_typedef_enum.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_unique_case-1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_unique_case-1.v -------------------------------------------------------------------------------- /test/ref/indent/indent_unique_case-2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_unique_case-2.v -------------------------------------------------------------------------------- /test/ref/indent/indent_unique_case.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_unique_case.v -------------------------------------------------------------------------------- /test/ref/indent/indent_uvm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_uvm.v -------------------------------------------------------------------------------- /test/ref/indent/indent_virtual_class.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_virtual_class.sv -------------------------------------------------------------------------------- /test/ref/indent/indent_warren.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/indent_warren.v -------------------------------------------------------------------------------- /test/ref/indent/instances.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/instances.sv -------------------------------------------------------------------------------- /test/ref/indent/misc.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/misc.sv -------------------------------------------------------------------------------- /test/ref/indent/tb_program.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/tb_program.sv -------------------------------------------------------------------------------- /test/ref/indent/tree_sitter_systemverilog_49.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/tree_sitter_systemverilog_49.sv -------------------------------------------------------------------------------- /test/ref/indent/ucontroller.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/ucontroller.sv -------------------------------------------------------------------------------- /test/ref/indent/uvm_component.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/uvm_component.svh -------------------------------------------------------------------------------- /test/ref/indent/verilog_ext_29.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/verilog_ext_29.sv -------------------------------------------------------------------------------- /test/ref/indent/verilog_ext_3.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/verilog_ext_3.sv -------------------------------------------------------------------------------- /test/ref/indent/verilog_ext_37.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/verilog_ext_37.sv -------------------------------------------------------------------------------- /test/ref/indent/verilog_ts_mode_3.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/indent/verilog_ts_mode_3.sv -------------------------------------------------------------------------------- /test/ref/navigation/axi_demux.inst.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_demux.inst.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_demux.inst.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_demux.inst.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_demux.inst_int.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_demux.inst_int.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_demux.inst_int.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_demux.inst_int.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_demux.ts.inst.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_demux.ts.inst.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_demux.ts.inst.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_demux.ts.inst.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_test.class.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_test.class.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_test.class.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_test.class.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_test.defun.down.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_test.defun.down.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_test.defun.up.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_test.defun.up.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_test.tf.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_test.tf.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_test.tf.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_test.tf.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_test.ts.class.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_test.ts.class.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_test.ts.class.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_test.ts.class.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_test.ts.tf.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_test.ts.tf.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/axi_test.ts.tf.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/axi_test.ts.tf.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/block0.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block0.ag -------------------------------------------------------------------------------- /test/ref/navigation/block0.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block0.rg -------------------------------------------------------------------------------- /test/ref/navigation/block1.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block1.ag -------------------------------------------------------------------------------- /test/ref/navigation/block1.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block1.rg -------------------------------------------------------------------------------- /test/ref/navigation/block2.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block2.ag -------------------------------------------------------------------------------- /test/ref/navigation/block2.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block2.rg -------------------------------------------------------------------------------- /test/ref/navigation/block3.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block3.ag -------------------------------------------------------------------------------- /test/ref/navigation/block3.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block3.rg -------------------------------------------------------------------------------- /test/ref/navigation/block_gen.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block_gen.ag -------------------------------------------------------------------------------- /test/ref/navigation/block_gen.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block_gen.rg -------------------------------------------------------------------------------- /test/ref/navigation/block_ws_0.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block_ws_0.ag -------------------------------------------------------------------------------- /test/ref/navigation/block_ws_0.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block_ws_0.rg -------------------------------------------------------------------------------- /test/ref/navigation/block_ws_1.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block_ws_1.ag -------------------------------------------------------------------------------- /test/ref/navigation/block_ws_1.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/block_ws_1.rg -------------------------------------------------------------------------------- /test/ref/navigation/instances.inst.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/instances.inst.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/instances.inst.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/instances.inst.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/instances.inst_int.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/instances.inst_int.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/instances.inst_int.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/instances.inst_int.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/instances.ts.inst.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/instances.ts.inst.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/instances.ts.inst.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/instances.ts.inst.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/tb_program.class.bwd.el: -------------------------------------------------------------------------------- 1 | nil -------------------------------------------------------------------------------- /test/ref/navigation/tb_program.class.fwd.el: -------------------------------------------------------------------------------- 1 | nil -------------------------------------------------------------------------------- /test/ref/navigation/tb_program.defun.down.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/tb_program.defun.down.el -------------------------------------------------------------------------------- /test/ref/navigation/tb_program.defun.up.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/tb_program.defun.up.el -------------------------------------------------------------------------------- /test/ref/navigation/tb_program.tf.bwd.el: -------------------------------------------------------------------------------- 1 | (3799 3547 3477 1871) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/tb_program.tf.fwd.el: -------------------------------------------------------------------------------- 1 | (1876 3482 3552 3804) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/tb_program.ts.class.bwd.el: -------------------------------------------------------------------------------- 1 | nil -------------------------------------------------------------------------------- /test/ref/navigation/tb_program.ts.class.fwd.el: -------------------------------------------------------------------------------- 1 | nil -------------------------------------------------------------------------------- /test/ref/navigation/tb_program.ts.tf.bwd.el: -------------------------------------------------------------------------------- 1 | (3799 3547 3477 1871) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/tb_program.ts.tf.fwd.el: -------------------------------------------------------------------------------- 1 | (1871 3477 3547 3799) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/test_if.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/test_if.ag -------------------------------------------------------------------------------- /test/ref/navigation/test_if.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/test_if.rg -------------------------------------------------------------------------------- /test/ref/navigation/test_if_params.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/test_if_params.ag -------------------------------------------------------------------------------- /test/ref/navigation/test_if_params.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/test_if_params.rg -------------------------------------------------------------------------------- /test/ref/navigation/test_if_params_array.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/test_if_params_array.ag -------------------------------------------------------------------------------- /test/ref/navigation/test_if_params_array.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/test_if_params_array.rg -------------------------------------------------------------------------------- /test/ref/navigation/test_if_params_empty.ag: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/test_if_params_empty.ag -------------------------------------------------------------------------------- /test/ref/navigation/test_if_params_empty.rg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/test_if_params_empty.rg -------------------------------------------------------------------------------- /test/ref/navigation/ucontroller.inst.bwd.el: -------------------------------------------------------------------------------- 1 | (4597 4127 3759 3209 3007 2335) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/ucontroller.inst.fwd.el: -------------------------------------------------------------------------------- 1 | (2999 3201 3751 4119 4588 4859) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/ucontroller.inst_int.bwd.el: -------------------------------------------------------------------------------- 1 | (4597 4127 3759 3209 3007 2335) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/ucontroller.inst_int.fwd.el: -------------------------------------------------------------------------------- 1 | (2335 3007 3209 3759 4127 4597) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/ucontroller.ts.inst.bwd.el: -------------------------------------------------------------------------------- 1 | (4597 4127 3759 3209 3007 2335) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/ucontroller.ts.inst.fwd.el: -------------------------------------------------------------------------------- 1 | (2335 3007 3209 3759 4127 4597) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/uvm_component.class.bwd.el: -------------------------------------------------------------------------------- 1 | (1836) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/uvm_component.class.fwd.el: -------------------------------------------------------------------------------- 1 | (1855) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/uvm_component.defun.down.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/uvm_component.defun.down.el -------------------------------------------------------------------------------- /test/ref/navigation/uvm_component.defun.up.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/uvm_component.defun.up.el -------------------------------------------------------------------------------- /test/ref/navigation/uvm_component.tf.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/uvm_component.tf.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/uvm_component.tf.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/uvm_component.tf.fwd.el -------------------------------------------------------------------------------- /test/ref/navigation/uvm_component.ts.class.bwd.el: -------------------------------------------------------------------------------- 1 | (1828) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/uvm_component.ts.class.fwd.el: -------------------------------------------------------------------------------- 1 | (1828) 2 | -------------------------------------------------------------------------------- /test/ref/navigation/uvm_component.ts.tf.bwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/uvm_component.ts.tf.bwd.el -------------------------------------------------------------------------------- /test/ref/navigation/uvm_component.ts.tf.fwd.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/navigation/uvm_component.ts.tf.fwd.el -------------------------------------------------------------------------------- /test/ref/tags/axi_demux.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/axi_demux.defs.el -------------------------------------------------------------------------------- /test/ref/tags/axi_demux.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/axi_demux.refs.el -------------------------------------------------------------------------------- /test/ref/tags/axi_demux.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/axi_demux.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/axi_demux.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/axi_demux.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/axi_test.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/axi_test.defs.el -------------------------------------------------------------------------------- /test/ref/tags/axi_test.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/axi_test.refs.el -------------------------------------------------------------------------------- /test/ref/tags/axi_test.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/axi_test.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/axi_test.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/axi_test.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/instances.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/instances.defs.el -------------------------------------------------------------------------------- /test/ref/tags/instances.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/instances.refs.el -------------------------------------------------------------------------------- /test/ref/tags/instances.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/instances.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/instances.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/instances.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/misc.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/misc.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/misc.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/misc.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/tb_program.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/tb_program.defs.el -------------------------------------------------------------------------------- /test/ref/tags/tb_program.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/tb_program.refs.el -------------------------------------------------------------------------------- /test/ref/tags/tb_program.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/tb_program.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/tb_program.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/tb_program.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/tree_sitter_systemverilog_49.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/tree_sitter_systemverilog_49.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/tree_sitter_systemverilog_49.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/tree_sitter_systemverilog_49.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/ucontroller.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/ucontroller.defs.el -------------------------------------------------------------------------------- /test/ref/tags/ucontroller.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/ucontroller.refs.el -------------------------------------------------------------------------------- /test/ref/tags/ucontroller.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/ucontroller.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/ucontroller.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/ucontroller.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/uvm_component.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/uvm_component.defs.el -------------------------------------------------------------------------------- /test/ref/tags/uvm_component.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/uvm_component.refs.el -------------------------------------------------------------------------------- /test/ref/tags/uvm_component.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/uvm_component.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/uvm_component.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/uvm_component.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/verilog_ext_29.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/verilog_ext_29.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/verilog_ext_29.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/verilog_ext_29.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/verilog_ext_3.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/verilog_ext_3.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/verilog_ext_3.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/verilog_ext_3.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/verilog_ext_37.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/verilog_ext_37.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/verilog_ext_37.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/verilog_ext_37.ts.refs.el -------------------------------------------------------------------------------- /test/ref/tags/verilog_ts_mode_3.ts.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/verilog_ts_mode_3.ts.defs.el -------------------------------------------------------------------------------- /test/ref/tags/verilog_ts_mode_3.ts.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/tags/verilog_ts_mode_3.ts.refs.el -------------------------------------------------------------------------------- /test/ref/utils/axi_demux.scan.modules.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/axi_demux.scan.modules.el -------------------------------------------------------------------------------- /test/ref/utils/axi_demux.ts.scan.modules.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/axi_demux.ts.scan.modules.el -------------------------------------------------------------------------------- /test/ref/utils/axi_test.block.at.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/axi_test.block.at.point.el -------------------------------------------------------------------------------- /test/ref/utils/axi_test.point.inside.block.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/axi_test.point.inside.block.el -------------------------------------------------------------------------------- /test/ref/utils/axi_test.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("axi_chan_logger" 78095 86893)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/axi_test.ts.block.at.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/axi_test.ts.block.at.point.el -------------------------------------------------------------------------------- /test/ref/utils/axi_test.ts.point.inside.block.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/axi_test.ts.point.inside.block.el -------------------------------------------------------------------------------- /test/ref/utils/axi_test.ts.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("axi_chan_logger" 78095 86893)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/instances.block.at.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.block.at.point.el -------------------------------------------------------------------------------- /test/ref/utils/instances.files.test1: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.files.test1 -------------------------------------------------------------------------------- /test/ref/utils/instances.files.test10: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.files.test10 -------------------------------------------------------------------------------- /test/ref/utils/instances.files.test2: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.files.test2 -------------------------------------------------------------------------------- /test/ref/utils/instances.files.test3: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.files.test3 -------------------------------------------------------------------------------- /test/ref/utils/instances.files.test4: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.files.test4 -------------------------------------------------------------------------------- /test/ref/utils/instances.files.test5: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.files.test5 -------------------------------------------------------------------------------- /test/ref/utils/instances.files.test6: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.files.test6 -------------------------------------------------------------------------------- /test/ref/utils/instances.files.test7: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.files.test7 -------------------------------------------------------------------------------- /test/ref/utils/instances.files.test8: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.files.test8 -------------------------------------------------------------------------------- /test/ref/utils/instances.files.test9: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.files.test9 -------------------------------------------------------------------------------- /test/ref/utils/instances.inst.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.inst.point.el -------------------------------------------------------------------------------- /test/ref/utils/instances.point.inside.block.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.point.inside.block.el -------------------------------------------------------------------------------- /test/ref/utils/instances.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("instances" 820 2820)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/instances.ts.block.at.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.ts.block.at.point.el -------------------------------------------------------------------------------- /test/ref/utils/instances.ts.inst.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.ts.inst.point.el -------------------------------------------------------------------------------- /test/ref/utils/instances.ts.point.inside.block.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/instances.ts.point.inside.block.el -------------------------------------------------------------------------------- /test/ref/utils/instances.ts.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("instances" 820 2820)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/misc.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("foo" 86 191)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/misc.ts.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("foo" 86 191)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/tb_program.block.at.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/tb_program.block.at.point.el -------------------------------------------------------------------------------- /test/ref/utils/tb_program.point.inside.block.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/tb_program.point.inside.block.el -------------------------------------------------------------------------------- /test/ref/utils/tb_program.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("tb_program" 856 4645)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/tb_program.ts.block.at.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/tb_program.ts.block.at.point.el -------------------------------------------------------------------------------- /test/ref/utils/tb_program.ts.point.inside.block.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/tb_program.ts.point.inside.block.el -------------------------------------------------------------------------------- /test/ref/utils/tb_program.ts.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("tb_program" 856 4657)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/tree_sitter_systemverilog_49.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("test" 1 193)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/tree_sitter_systemverilog_49.ts.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("test" 1 193)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/ucontroller.block.at.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/ucontroller.block.at.point.el -------------------------------------------------------------------------------- /test/ref/utils/ucontroller.inst.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/ucontroller.inst.point.el -------------------------------------------------------------------------------- /test/ref/utils/ucontroller.point.inside.block.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/ucontroller.point.inside.block.el -------------------------------------------------------------------------------- /test/ref/utils/ucontroller.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("ucontroller" 834 4874)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/ucontroller.ts.block.at.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/ucontroller.ts.block.at.point.el -------------------------------------------------------------------------------- /test/ref/utils/ucontroller.ts.inst.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/ucontroller.ts.inst.point.el -------------------------------------------------------------------------------- /test/ref/utils/ucontroller.ts.point.inside.block.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/ucontroller.ts.point.inside.block.el -------------------------------------------------------------------------------- /test/ref/utils/ucontroller.ts.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("ucontroller" 834 4887)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/uvm_component.block.at.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/uvm_component.block.at.point.el -------------------------------------------------------------------------------- /test/ref/utils/uvm_component.point.inside.block.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/uvm_component.point.inside.block.el -------------------------------------------------------------------------------- /test/ref/utils/uvm_component.scan.modules.el: -------------------------------------------------------------------------------- 1 | nil -------------------------------------------------------------------------------- /test/ref/utils/uvm_component.ts.block.at.point.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/uvm_component.ts.block.at.point.el -------------------------------------------------------------------------------- /test/ref/utils/uvm_component.ts.point.inside.block.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/uvm_component.ts.point.inside.block.el -------------------------------------------------------------------------------- /test/ref/utils/uvm_component.ts.scan.modules.el: -------------------------------------------------------------------------------- 1 | nil -------------------------------------------------------------------------------- /test/ref/utils/verilog_ext_29.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("foo" 1 588)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/verilog_ext_29.ts.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("foo" 1 588)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/verilog_ext_3.scan.modules.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/verilog_ext_3.scan.modules.el -------------------------------------------------------------------------------- /test/ref/utils/verilog_ext_3.ts.scan.modules.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/utils/verilog_ext_3.ts.scan.modules.el -------------------------------------------------------------------------------- /test/ref/utils/verilog_ext_37.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("reset_generator" 165 1178)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/verilog_ext_37.ts.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("reset_generator" 165 1196)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/verilog_ts_mode_3.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("foo" 86 191)) 2 | -------------------------------------------------------------------------------- /test/ref/utils/verilog_ts_mode_3.ts.scan.modules.el: -------------------------------------------------------------------------------- 1 | (("foo" 86 191)) 2 | -------------------------------------------------------------------------------- /test/ref/xref/ucontroller.xref.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/xref/ucontroller.xref.defs.el -------------------------------------------------------------------------------- /test/ref/xref/ucontroller.xref.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/xref/ucontroller.xref.refs.el -------------------------------------------------------------------------------- /test/ref/xref/uvm_component.xref.defs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/xref/uvm_component.xref.defs.el -------------------------------------------------------------------------------- /test/ref/xref/uvm_component.xref.refs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/ref/xref/uvm_component.xref.refs.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-beautify.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-beautify.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-capf.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-capf.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-faceup.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-faceup.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-hierarchy.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-hierarchy.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-imenu.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-imenu.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-indent.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-indent.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-navigation.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-navigation.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-setup-package-test.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-setup-package-test.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-setup-package.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-setup-package.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-setup-straight.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-setup-straight.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-tags.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-tags.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-utils.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-utils.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test-xref.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test-xref.el -------------------------------------------------------------------------------- /test/src/verilog-ext-test.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/test/src/verilog-ext-test.el -------------------------------------------------------------------------------- /verilog-ext-beautify.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-beautify.el -------------------------------------------------------------------------------- /verilog-ext-block-end-comments.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-block-end-comments.el -------------------------------------------------------------------------------- /verilog-ext-capf.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-capf.el -------------------------------------------------------------------------------- /verilog-ext-compile.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-compile.el -------------------------------------------------------------------------------- /verilog-ext-eglot.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-eglot.el -------------------------------------------------------------------------------- /verilog-ext-flycheck.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-flycheck.el -------------------------------------------------------------------------------- /verilog-ext-font-lock.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-font-lock.el -------------------------------------------------------------------------------- /verilog-ext-formatter.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-formatter.el -------------------------------------------------------------------------------- /verilog-ext-hierarchy.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-hierarchy.el -------------------------------------------------------------------------------- /verilog-ext-hs.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-hs.el -------------------------------------------------------------------------------- /verilog-ext-imenu.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-imenu.el -------------------------------------------------------------------------------- /verilog-ext-lsp-bridge.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-lsp-bridge.el -------------------------------------------------------------------------------- /verilog-ext-lsp.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-lsp.el -------------------------------------------------------------------------------- /verilog-ext-lspce.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-lspce.el -------------------------------------------------------------------------------- /verilog-ext-nav.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-nav.el -------------------------------------------------------------------------------- /verilog-ext-ports.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-ports.el -------------------------------------------------------------------------------- /verilog-ext-tags.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-tags.el -------------------------------------------------------------------------------- /verilog-ext-template.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-template.el -------------------------------------------------------------------------------- /verilog-ext-time-stamp.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-time-stamp.el -------------------------------------------------------------------------------- /verilog-ext-typedef.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-typedef.el -------------------------------------------------------------------------------- /verilog-ext-utils.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-utils.el -------------------------------------------------------------------------------- /verilog-ext-which-func.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-which-func.el -------------------------------------------------------------------------------- /verilog-ext-xref.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext-xref.el -------------------------------------------------------------------------------- /verilog-ext.el: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/gmlarumbe/verilog-ext/HEAD/verilog-ext.el --------------------------------------------------------------------------------