├── .github ├── CONTRIBUTING.md └── workflows │ └── site.yml ├── .gitignore ├── .gitmodules ├── LICENSE.md ├── README.md ├── config.yml ├── content ├── _index.md ├── about.md └── items │ ├── _index.md │ ├── amaranth.md │ ├── apicula.md │ ├── arachne-pnr.md │ ├── boolector.md │ ├── calyx.md │ ├── cascade.md │ ├── chips-workshops.md │ ├── chipsalliance.md │ ├── chisel.md │ ├── circt.md │ ├── circuitgraph.md │ ├── clash.md │ ├── cocotb.md │ ├── coriolis.md │ ├── cvc.md │ ├── daplink.md │ ├── dfu-util.md │ ├── ecpprog.md │ ├── eda-twiki.md │ ├── edaa.md │ ├── edalize.md │ ├── embench.md │ ├── f4pga.md │ ├── fasm.md │ ├── feather.md │ ├── fpgacpuca.md │ ├── fphdl.md │ ├── fritzing.md │ ├── fujprog.md │ ├── fusesoc.md │ ├── gds3d.md │ ├── gdsfactory.md │ ├── ghdl-yosys-plugin.md │ ├── ghdl.md │ ├── graphviz.md │ ├── gtkwave.md │ ├── hackt.md │ ├── hammer.md │ ├── hdl_checker.md │ ├── hdlconvertor.md │ ├── hdlmake.md │ ├── hwtoolkit.md │ ├── icesprog.md │ ├── icestorm.md │ ├── irsim.md │ ├── iverilog.md │ ├── json-for-vhdl.md │ ├── kactus2.md │ ├── kicad.md │ ├── klayout.md │ ├── latte.md │ ├── legohdl.md │ ├── librecores-ci.md │ ├── librecores.md │ ├── litex.md │ ├── livehd.md │ ├── llhd.md │ ├── lstools.md │ ├── magic.md │ ├── migen.md │ ├── mistral.md │ ├── myhdl.md │ ├── netgen.md │ ├── netlistsvg.md │ ├── nextpnr.md │ ├── ngspice.md │ ├── nmigen.md │ ├── nvc.md │ ├── opencores.md │ ├── openfpgaloader.md │ ├── openocd.md │ ├── openroad.md │ ├── opensta.md │ ├── orconf.md │ ├── osda.md │ ├── osvvm.md │ ├── pcbflow.md │ ├── pinout.md │ ├── pipelinec.md │ ├── pmod.md │ ├── poc.md │ ├── pono.md │ ├── pp4fpgas.md │ ├── prga.md │ ├── prjbureau.md │ ├── prjoxide.md │ ├── prjtrellis.md │ ├── prjuray.md │ ├── prjxray.md │ ├── pyfpga.md │ ├── pyhdi.md │ ├── pyipcmi.md │ ├── pyvhdlmodel.md │ ├── pyvhdlparser.md │ ├── rapidwright.md │ ├── renode.md │ ├── rggen.md │ ├── rust_hdl.md │ ├── scopehal.md │ ├── serial-studio.md │ ├── sigrok-cli.md │ ├── silice.md │ ├── siliconcompiler.md │ ├── skidl.md │ ├── spinalhdl.md │ ├── spydrnet.md │ ├── superprove.md │ ├── surelog.md │ ├── surf.md │ ├── svunit.md │ ├── symbiflow.md │ ├── symbiyosys.md │ ├── synthesijer.md │ ├── syzygy.md │ ├── tofe.md │ ├── torc.md │ ├── tsfpga.md │ ├── uhdm.md │ ├── uvvm.md │ ├── vasg_packages.md │ ├── verible.md │ ├── verilator.md │ ├── vhdl-extras.md │ ├── vpr.md │ ├── vtr.md │ ├── vunit.md │ ├── wavedrom.md │ ├── xeda.md │ ├── xls.md │ ├── xschem.md │ ├── xyce.md │ ├── yices2.md │ ├── yosys.md │ └── z3.md ├── static ├── boards │ ├── AC701.png │ ├── Arty-A7-35T.png │ ├── Arty-S7-50.png │ ├── Atlys.png │ ├── ButterStick.png │ ├── Colorlight-i5-v7.0.png │ ├── Fomu-PVT.png │ ├── ICECREAM-1.0.png │ ├── IceStick.png │ ├── KC705.png │ ├── Kefir.png │ ├── Litefury.png │ ├── MNT-RKX7.png │ ├── Mercury2-A7-100T.png │ ├── Mercury2-A7-35T.png │ ├── OrangeCrab.png │ ├── PicoEVB.png │ ├── Pygmy.png │ ├── Qomu.png │ ├── QuickFeather.png │ ├── S3SK.png │ ├── VC707.png │ ├── XUPV5.png │ ├── ZC706.png │ ├── ZedBoard.png │ ├── iCE40-feather-r0.2.png │ ├── iCESugar-nano.png │ ├── iCESugar-pro.png │ ├── iCESugar-v1.5.png │ ├── icoBOARD-v1.0.png │ └── openFPGA.png ├── img │ ├── favicon │ │ ├── android-chrome-192x192.png │ │ ├── android-chrome-512x512.png │ │ ├── apple-touch-icon.png │ │ ├── browserconfig.xml │ │ ├── favicon-16x16.png │ │ ├── favicon-32x32.png │ │ ├── favicon.ico │ │ ├── mstile-144x144.png │ │ ├── mstile-150x150.png │ │ ├── mstile-310x150.png │ │ ├── mstile-310x310.png │ │ ├── mstile-70x70.png │ │ ├── safari-pinned-tab.svg │ │ └── site.webmanifest │ ├── logo.png │ └── logo.svg └── logos │ ├── calyx.png │ ├── cascade.png │ ├── chipsalliance.png │ ├── chisel.png │ ├── circt.png │ ├── circuitgraph.png │ ├── clash.png │ ├── cocotb.png │ ├── coriolis.png │ ├── daplink.png │ ├── edaa.png │ ├── embench.png │ ├── f4pga.png │ ├── fritzing.png │ ├── fusesoc.png │ ├── gds3d.png │ ├── gdsfactory.png │ ├── ghdl.png │ ├── graphviz.png │ ├── gtkwave.png │ ├── hdlmake.png │ ├── iverilog.png │ ├── kactus2.png │ ├── kicad.png │ ├── klayout.png │ ├── latte.png │ ├── legohdl.png │ ├── librecores-ci.png │ ├── librecores.png │ ├── litex.png │ ├── livehd.png │ ├── migen.png │ ├── myhdl.png │ ├── ngspice.png │ ├── opencores.png │ ├── openroad.png │ ├── orconf.png │ ├── osvvm.png │ ├── pipelinec.png │ ├── pp4fpgas.png │ ├── prga.png │ ├── pyfpga.png │ ├── rapidwright.png │ ├── renode.png │ ├── rggen.png │ ├── serial-studio.png │ ├── sigrok-cli.png │ ├── siliconcompiler.png │ ├── skidl.png │ ├── spinalhdl.png │ ├── svunit.png │ ├── symbiflow.png │ ├── symbiyosys.png │ ├── synthesijer.png │ ├── syzygy.png │ ├── torc.png │ ├── uvvm.png │ ├── verible.png │ ├── verilator.png │ ├── vhdl-extras.png │ ├── vtr.png │ ├── vunit.png │ ├── wavedrom.png │ ├── xeda.png │ ├── xls.png │ ├── xschem.png │ ├── xyce.png │ └── yosys.png ├── template.md └── todo ├── ben.md ├── map ├── map.dot └── run.sh ├── pending.md └── todo.md /.github/CONTRIBUTING.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/.github/CONTRIBUTING.md -------------------------------------------------------------------------------- /.github/workflows/site.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/.github/workflows/site.yml -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- 1 | /content/boards/ 2 | /resources/_gen/ 3 | -------------------------------------------------------------------------------- /.gitmodules: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/.gitmodules -------------------------------------------------------------------------------- /LICENSE.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/LICENSE.md -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/README.md -------------------------------------------------------------------------------- /config.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/config.yml -------------------------------------------------------------------------------- /content/_index.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/_index.md -------------------------------------------------------------------------------- /content/about.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/about.md -------------------------------------------------------------------------------- /content/items/_index.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/_index.md -------------------------------------------------------------------------------- /content/items/amaranth.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/amaranth.md -------------------------------------------------------------------------------- /content/items/apicula.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/apicula.md -------------------------------------------------------------------------------- /content/items/arachne-pnr.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/arachne-pnr.md -------------------------------------------------------------------------------- /content/items/boolector.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/boolector.md -------------------------------------------------------------------------------- /content/items/calyx.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/calyx.md -------------------------------------------------------------------------------- /content/items/cascade.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/cascade.md -------------------------------------------------------------------------------- /content/items/chips-workshops.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/chips-workshops.md -------------------------------------------------------------------------------- /content/items/chipsalliance.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/chipsalliance.md -------------------------------------------------------------------------------- /content/items/chisel.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/chisel.md -------------------------------------------------------------------------------- /content/items/circt.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/circt.md -------------------------------------------------------------------------------- /content/items/circuitgraph.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/circuitgraph.md -------------------------------------------------------------------------------- /content/items/clash.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/clash.md -------------------------------------------------------------------------------- /content/items/cocotb.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/cocotb.md -------------------------------------------------------------------------------- /content/items/coriolis.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/coriolis.md -------------------------------------------------------------------------------- /content/items/cvc.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/cvc.md -------------------------------------------------------------------------------- /content/items/daplink.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/daplink.md -------------------------------------------------------------------------------- /content/items/dfu-util.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/dfu-util.md -------------------------------------------------------------------------------- /content/items/ecpprog.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/ecpprog.md -------------------------------------------------------------------------------- /content/items/eda-twiki.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/eda-twiki.md -------------------------------------------------------------------------------- /content/items/edaa.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/edaa.md -------------------------------------------------------------------------------- /content/items/edalize.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/edalize.md -------------------------------------------------------------------------------- /content/items/embench.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/embench.md -------------------------------------------------------------------------------- /content/items/f4pga.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/f4pga.md -------------------------------------------------------------------------------- /content/items/fasm.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/fasm.md -------------------------------------------------------------------------------- /content/items/feather.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/feather.md -------------------------------------------------------------------------------- /content/items/fpgacpuca.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/fpgacpuca.md -------------------------------------------------------------------------------- /content/items/fphdl.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/fphdl.md -------------------------------------------------------------------------------- /content/items/fritzing.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/fritzing.md -------------------------------------------------------------------------------- /content/items/fujprog.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/fujprog.md -------------------------------------------------------------------------------- /content/items/fusesoc.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/fusesoc.md -------------------------------------------------------------------------------- /content/items/gds3d.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/gds3d.md -------------------------------------------------------------------------------- /content/items/gdsfactory.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/gdsfactory.md -------------------------------------------------------------------------------- /content/items/ghdl-yosys-plugin.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/ghdl-yosys-plugin.md -------------------------------------------------------------------------------- /content/items/ghdl.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/ghdl.md -------------------------------------------------------------------------------- /content/items/graphviz.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/graphviz.md -------------------------------------------------------------------------------- /content/items/gtkwave.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/gtkwave.md -------------------------------------------------------------------------------- /content/items/hackt.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/hackt.md -------------------------------------------------------------------------------- /content/items/hammer.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/hammer.md -------------------------------------------------------------------------------- /content/items/hdl_checker.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/hdl_checker.md -------------------------------------------------------------------------------- /content/items/hdlconvertor.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/hdlconvertor.md -------------------------------------------------------------------------------- /content/items/hdlmake.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/hdlmake.md -------------------------------------------------------------------------------- /content/items/hwtoolkit.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/hwtoolkit.md -------------------------------------------------------------------------------- /content/items/icesprog.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/icesprog.md -------------------------------------------------------------------------------- /content/items/icestorm.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/icestorm.md -------------------------------------------------------------------------------- /content/items/irsim.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/irsim.md -------------------------------------------------------------------------------- /content/items/iverilog.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/iverilog.md -------------------------------------------------------------------------------- /content/items/json-for-vhdl.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/json-for-vhdl.md -------------------------------------------------------------------------------- /content/items/kactus2.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/kactus2.md -------------------------------------------------------------------------------- /content/items/kicad.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/kicad.md -------------------------------------------------------------------------------- /content/items/klayout.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/klayout.md -------------------------------------------------------------------------------- /content/items/latte.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/latte.md -------------------------------------------------------------------------------- /content/items/legohdl.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/legohdl.md -------------------------------------------------------------------------------- /content/items/librecores-ci.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/librecores-ci.md -------------------------------------------------------------------------------- /content/items/librecores.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/librecores.md -------------------------------------------------------------------------------- /content/items/litex.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/litex.md -------------------------------------------------------------------------------- /content/items/livehd.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/livehd.md -------------------------------------------------------------------------------- /content/items/llhd.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/llhd.md -------------------------------------------------------------------------------- /content/items/lstools.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/lstools.md -------------------------------------------------------------------------------- /content/items/magic.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/magic.md -------------------------------------------------------------------------------- /content/items/migen.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/migen.md -------------------------------------------------------------------------------- /content/items/mistral.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/mistral.md -------------------------------------------------------------------------------- /content/items/myhdl.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/myhdl.md -------------------------------------------------------------------------------- /content/items/netgen.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/netgen.md -------------------------------------------------------------------------------- /content/items/netlistsvg.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/netlistsvg.md -------------------------------------------------------------------------------- /content/items/nextpnr.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/nextpnr.md -------------------------------------------------------------------------------- /content/items/ngspice.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/ngspice.md -------------------------------------------------------------------------------- /content/items/nmigen.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/nmigen.md -------------------------------------------------------------------------------- /content/items/nvc.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/nvc.md -------------------------------------------------------------------------------- /content/items/opencores.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/opencores.md -------------------------------------------------------------------------------- /content/items/openfpgaloader.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/openfpgaloader.md -------------------------------------------------------------------------------- /content/items/openocd.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/openocd.md -------------------------------------------------------------------------------- /content/items/openroad.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/openroad.md -------------------------------------------------------------------------------- /content/items/opensta.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/opensta.md -------------------------------------------------------------------------------- /content/items/orconf.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/orconf.md -------------------------------------------------------------------------------- /content/items/osda.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/osda.md -------------------------------------------------------------------------------- /content/items/osvvm.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/osvvm.md -------------------------------------------------------------------------------- /content/items/pcbflow.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pcbflow.md -------------------------------------------------------------------------------- /content/items/pinout.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pinout.md -------------------------------------------------------------------------------- /content/items/pipelinec.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pipelinec.md -------------------------------------------------------------------------------- /content/items/pmod.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pmod.md -------------------------------------------------------------------------------- /content/items/poc.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/poc.md -------------------------------------------------------------------------------- /content/items/pono.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pono.md -------------------------------------------------------------------------------- /content/items/pp4fpgas.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pp4fpgas.md -------------------------------------------------------------------------------- /content/items/prga.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/prga.md -------------------------------------------------------------------------------- /content/items/prjbureau.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/prjbureau.md -------------------------------------------------------------------------------- /content/items/prjoxide.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/prjoxide.md -------------------------------------------------------------------------------- /content/items/prjtrellis.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/prjtrellis.md -------------------------------------------------------------------------------- /content/items/prjuray.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/prjuray.md -------------------------------------------------------------------------------- /content/items/prjxray.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/prjxray.md -------------------------------------------------------------------------------- /content/items/pyfpga.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pyfpga.md -------------------------------------------------------------------------------- /content/items/pyhdi.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pyhdi.md -------------------------------------------------------------------------------- /content/items/pyipcmi.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pyipcmi.md -------------------------------------------------------------------------------- /content/items/pyvhdlmodel.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pyvhdlmodel.md -------------------------------------------------------------------------------- /content/items/pyvhdlparser.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/pyvhdlparser.md -------------------------------------------------------------------------------- /content/items/rapidwright.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/rapidwright.md -------------------------------------------------------------------------------- /content/items/renode.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/renode.md -------------------------------------------------------------------------------- /content/items/rggen.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/rggen.md -------------------------------------------------------------------------------- /content/items/rust_hdl.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/rust_hdl.md -------------------------------------------------------------------------------- /content/items/scopehal.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/scopehal.md -------------------------------------------------------------------------------- /content/items/serial-studio.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/serial-studio.md -------------------------------------------------------------------------------- /content/items/sigrok-cli.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/sigrok-cli.md -------------------------------------------------------------------------------- /content/items/silice.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/silice.md -------------------------------------------------------------------------------- /content/items/siliconcompiler.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/siliconcompiler.md -------------------------------------------------------------------------------- /content/items/skidl.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/skidl.md -------------------------------------------------------------------------------- /content/items/spinalhdl.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/spinalhdl.md -------------------------------------------------------------------------------- /content/items/spydrnet.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/spydrnet.md -------------------------------------------------------------------------------- /content/items/superprove.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/superprove.md -------------------------------------------------------------------------------- /content/items/surelog.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/surelog.md -------------------------------------------------------------------------------- /content/items/surf.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/surf.md -------------------------------------------------------------------------------- /content/items/svunit.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/svunit.md -------------------------------------------------------------------------------- /content/items/symbiflow.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/symbiflow.md -------------------------------------------------------------------------------- /content/items/symbiyosys.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/symbiyosys.md -------------------------------------------------------------------------------- /content/items/synthesijer.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/synthesijer.md -------------------------------------------------------------------------------- /content/items/syzygy.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/syzygy.md -------------------------------------------------------------------------------- /content/items/tofe.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/tofe.md -------------------------------------------------------------------------------- /content/items/torc.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/torc.md -------------------------------------------------------------------------------- /content/items/tsfpga.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/tsfpga.md -------------------------------------------------------------------------------- /content/items/uhdm.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/uhdm.md -------------------------------------------------------------------------------- /content/items/uvvm.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/uvvm.md -------------------------------------------------------------------------------- /content/items/vasg_packages.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/vasg_packages.md -------------------------------------------------------------------------------- /content/items/verible.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/verible.md -------------------------------------------------------------------------------- /content/items/verilator.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/verilator.md -------------------------------------------------------------------------------- /content/items/vhdl-extras.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/vhdl-extras.md -------------------------------------------------------------------------------- /content/items/vpr.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/vpr.md -------------------------------------------------------------------------------- /content/items/vtr.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/vtr.md -------------------------------------------------------------------------------- /content/items/vunit.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/vunit.md -------------------------------------------------------------------------------- /content/items/wavedrom.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/wavedrom.md -------------------------------------------------------------------------------- /content/items/xeda.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/xeda.md -------------------------------------------------------------------------------- /content/items/xls.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/xls.md -------------------------------------------------------------------------------- /content/items/xschem.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/xschem.md -------------------------------------------------------------------------------- /content/items/xyce.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/xyce.md -------------------------------------------------------------------------------- /content/items/yices2.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/yices2.md -------------------------------------------------------------------------------- /content/items/yosys.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/yosys.md -------------------------------------------------------------------------------- /content/items/z3.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/content/items/z3.md -------------------------------------------------------------------------------- /static/boards/AC701.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/AC701.png -------------------------------------------------------------------------------- /static/boards/Arty-A7-35T.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Arty-A7-35T.png -------------------------------------------------------------------------------- /static/boards/Arty-S7-50.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Arty-S7-50.png -------------------------------------------------------------------------------- /static/boards/Atlys.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Atlys.png -------------------------------------------------------------------------------- /static/boards/ButterStick.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/ButterStick.png -------------------------------------------------------------------------------- /static/boards/Colorlight-i5-v7.0.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Colorlight-i5-v7.0.png -------------------------------------------------------------------------------- /static/boards/Fomu-PVT.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Fomu-PVT.png -------------------------------------------------------------------------------- /static/boards/ICECREAM-1.0.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/ICECREAM-1.0.png -------------------------------------------------------------------------------- /static/boards/IceStick.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/IceStick.png -------------------------------------------------------------------------------- /static/boards/KC705.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/KC705.png -------------------------------------------------------------------------------- /static/boards/Kefir.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Kefir.png -------------------------------------------------------------------------------- /static/boards/Litefury.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Litefury.png -------------------------------------------------------------------------------- /static/boards/MNT-RKX7.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/MNT-RKX7.png -------------------------------------------------------------------------------- /static/boards/Mercury2-A7-100T.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Mercury2-A7-100T.png -------------------------------------------------------------------------------- /static/boards/Mercury2-A7-35T.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Mercury2-A7-35T.png -------------------------------------------------------------------------------- /static/boards/OrangeCrab.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/OrangeCrab.png -------------------------------------------------------------------------------- /static/boards/PicoEVB.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/PicoEVB.png -------------------------------------------------------------------------------- /static/boards/Pygmy.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Pygmy.png -------------------------------------------------------------------------------- /static/boards/Qomu.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/Qomu.png -------------------------------------------------------------------------------- /static/boards/QuickFeather.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/QuickFeather.png -------------------------------------------------------------------------------- /static/boards/S3SK.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/S3SK.png -------------------------------------------------------------------------------- /static/boards/VC707.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/VC707.png -------------------------------------------------------------------------------- /static/boards/XUPV5.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/XUPV5.png -------------------------------------------------------------------------------- /static/boards/ZC706.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/ZC706.png -------------------------------------------------------------------------------- /static/boards/ZedBoard.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/ZedBoard.png -------------------------------------------------------------------------------- /static/boards/iCE40-feather-r0.2.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/iCE40-feather-r0.2.png -------------------------------------------------------------------------------- /static/boards/iCESugar-nano.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/iCESugar-nano.png -------------------------------------------------------------------------------- /static/boards/iCESugar-pro.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/iCESugar-pro.png -------------------------------------------------------------------------------- /static/boards/iCESugar-v1.5.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/iCESugar-v1.5.png -------------------------------------------------------------------------------- /static/boards/icoBOARD-v1.0.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/icoBOARD-v1.0.png -------------------------------------------------------------------------------- /static/boards/openFPGA.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/boards/openFPGA.png -------------------------------------------------------------------------------- /static/img/favicon/android-chrome-192x192.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/android-chrome-192x192.png -------------------------------------------------------------------------------- /static/img/favicon/android-chrome-512x512.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/android-chrome-512x512.png -------------------------------------------------------------------------------- /static/img/favicon/apple-touch-icon.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/apple-touch-icon.png -------------------------------------------------------------------------------- /static/img/favicon/browserconfig.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/browserconfig.xml -------------------------------------------------------------------------------- /static/img/favicon/favicon-16x16.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/favicon-16x16.png -------------------------------------------------------------------------------- /static/img/favicon/favicon-32x32.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/favicon-32x32.png -------------------------------------------------------------------------------- /static/img/favicon/favicon.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/favicon.ico -------------------------------------------------------------------------------- /static/img/favicon/mstile-144x144.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/mstile-144x144.png -------------------------------------------------------------------------------- /static/img/favicon/mstile-150x150.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/mstile-150x150.png -------------------------------------------------------------------------------- /static/img/favicon/mstile-310x150.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/mstile-310x150.png -------------------------------------------------------------------------------- /static/img/favicon/mstile-310x310.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/mstile-310x310.png -------------------------------------------------------------------------------- /static/img/favicon/mstile-70x70.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/mstile-70x70.png -------------------------------------------------------------------------------- /static/img/favicon/safari-pinned-tab.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/safari-pinned-tab.svg -------------------------------------------------------------------------------- /static/img/favicon/site.webmanifest: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/favicon/site.webmanifest -------------------------------------------------------------------------------- /static/img/logo.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/logo.png -------------------------------------------------------------------------------- /static/img/logo.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/img/logo.svg -------------------------------------------------------------------------------- /static/logos/calyx.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/calyx.png -------------------------------------------------------------------------------- /static/logos/cascade.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/cascade.png -------------------------------------------------------------------------------- /static/logos/chipsalliance.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/chipsalliance.png -------------------------------------------------------------------------------- /static/logos/chisel.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/chisel.png -------------------------------------------------------------------------------- /static/logos/circt.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/circt.png -------------------------------------------------------------------------------- /static/logos/circuitgraph.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/circuitgraph.png -------------------------------------------------------------------------------- /static/logos/clash.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/clash.png -------------------------------------------------------------------------------- /static/logos/cocotb.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/cocotb.png -------------------------------------------------------------------------------- /static/logos/coriolis.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/coriolis.png -------------------------------------------------------------------------------- /static/logos/daplink.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/daplink.png -------------------------------------------------------------------------------- /static/logos/edaa.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/edaa.png -------------------------------------------------------------------------------- /static/logos/embench.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/embench.png -------------------------------------------------------------------------------- /static/logos/f4pga.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/f4pga.png -------------------------------------------------------------------------------- /static/logos/fritzing.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/fritzing.png -------------------------------------------------------------------------------- /static/logos/fusesoc.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/fusesoc.png -------------------------------------------------------------------------------- /static/logos/gds3d.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/gds3d.png -------------------------------------------------------------------------------- /static/logos/gdsfactory.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/gdsfactory.png -------------------------------------------------------------------------------- /static/logos/ghdl.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/ghdl.png -------------------------------------------------------------------------------- /static/logos/graphviz.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/graphviz.png -------------------------------------------------------------------------------- /static/logos/gtkwave.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/gtkwave.png -------------------------------------------------------------------------------- /static/logos/hdlmake.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/hdlmake.png -------------------------------------------------------------------------------- /static/logos/iverilog.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/iverilog.png -------------------------------------------------------------------------------- /static/logos/kactus2.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/kactus2.png -------------------------------------------------------------------------------- /static/logos/kicad.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/kicad.png -------------------------------------------------------------------------------- /static/logos/klayout.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/klayout.png -------------------------------------------------------------------------------- /static/logos/latte.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/latte.png -------------------------------------------------------------------------------- /static/logos/legohdl.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/legohdl.png -------------------------------------------------------------------------------- /static/logos/librecores-ci.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/librecores-ci.png -------------------------------------------------------------------------------- /static/logos/librecores.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/librecores.png -------------------------------------------------------------------------------- /static/logos/litex.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/litex.png -------------------------------------------------------------------------------- /static/logos/livehd.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/livehd.png -------------------------------------------------------------------------------- /static/logos/migen.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/migen.png -------------------------------------------------------------------------------- /static/logos/myhdl.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/myhdl.png -------------------------------------------------------------------------------- /static/logos/ngspice.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/ngspice.png -------------------------------------------------------------------------------- /static/logos/opencores.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/opencores.png -------------------------------------------------------------------------------- /static/logos/openroad.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/openroad.png -------------------------------------------------------------------------------- /static/logos/orconf.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/orconf.png -------------------------------------------------------------------------------- /static/logos/osvvm.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/osvvm.png -------------------------------------------------------------------------------- /static/logos/pipelinec.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/pipelinec.png -------------------------------------------------------------------------------- /static/logos/pp4fpgas.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/pp4fpgas.png -------------------------------------------------------------------------------- /static/logos/prga.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/prga.png -------------------------------------------------------------------------------- /static/logos/pyfpga.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/pyfpga.png -------------------------------------------------------------------------------- /static/logos/rapidwright.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/rapidwright.png -------------------------------------------------------------------------------- /static/logos/renode.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/renode.png -------------------------------------------------------------------------------- /static/logos/rggen.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/rggen.png -------------------------------------------------------------------------------- /static/logos/serial-studio.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/serial-studio.png -------------------------------------------------------------------------------- /static/logos/sigrok-cli.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/sigrok-cli.png -------------------------------------------------------------------------------- /static/logos/siliconcompiler.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/siliconcompiler.png -------------------------------------------------------------------------------- /static/logos/skidl.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/skidl.png -------------------------------------------------------------------------------- /static/logos/spinalhdl.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/spinalhdl.png -------------------------------------------------------------------------------- /static/logos/svunit.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/svunit.png -------------------------------------------------------------------------------- /static/logos/symbiflow.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/symbiflow.png -------------------------------------------------------------------------------- /static/logos/symbiyosys.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/symbiyosys.png -------------------------------------------------------------------------------- /static/logos/synthesijer.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/synthesijer.png -------------------------------------------------------------------------------- /static/logos/syzygy.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/syzygy.png -------------------------------------------------------------------------------- /static/logos/torc.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/torc.png -------------------------------------------------------------------------------- /static/logos/uvvm.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/uvvm.png -------------------------------------------------------------------------------- /static/logos/verible.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/verible.png -------------------------------------------------------------------------------- /static/logos/verilator.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/verilator.png -------------------------------------------------------------------------------- /static/logos/vhdl-extras.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/vhdl-extras.png -------------------------------------------------------------------------------- /static/logos/vtr.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/vtr.png -------------------------------------------------------------------------------- /static/logos/vunit.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/vunit.png -------------------------------------------------------------------------------- /static/logos/wavedrom.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/wavedrom.png -------------------------------------------------------------------------------- /static/logos/xeda.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/xeda.png -------------------------------------------------------------------------------- /static/logos/xls.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/xls.png -------------------------------------------------------------------------------- /static/logos/xschem.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/xschem.png -------------------------------------------------------------------------------- /static/logos/xyce.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/xyce.png -------------------------------------------------------------------------------- /static/logos/yosys.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/static/logos/yosys.png -------------------------------------------------------------------------------- /template.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/template.md -------------------------------------------------------------------------------- /todo/ben.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/todo/ben.md -------------------------------------------------------------------------------- /todo/map/map.dot: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/todo/map/map.dot -------------------------------------------------------------------------------- /todo/map/run.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/todo/map/run.sh -------------------------------------------------------------------------------- /todo/pending.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/hdl/awesome/HEAD/todo/pending.md -------------------------------------------------------------------------------- /todo/todo.md: -------------------------------------------------------------------------------- 1 | - Add JSON output(s) and provide a link to download. --------------------------------------------------------------------------------