├── Arlet6502 ├── ALU.v └── cpu.v ├── Makefile ├── PIA8255 └── pia8255.v ├── README.md ├── flashbin.py ├── flashmem └── icosoc_flashmem.v ├── m6522 └── m6522.v ├── miniatom.v ├── miniatom_hx8k.pcf ├── miniatom_icoboard.pcf ├── roms ├── akernel_patched.hex ├── basic.hex ├── floatingpoint.hex ├── kernel.hex ├── pcharme.hex └── sddos.hex ├── spi └── spi.v ├── splashscreen.hex ├── utils └── debounce.v └── vga ├── charGen.v ├── charmap.list └── vga.v /Arlet6502/ALU.v: -------------------------------------------------------------------------------- 1 | /* 2 | * ALU. 3 | * 4 | * AI and BI are 8 bit inputs. Result in OUT. 5 | * CI is Carry In. 6 | * CO is Carry Out. 7 | * 8 | * op[3:0] is defined as follows: 9 | * 10 | * 0011 AI + BI 11 | * 0111 AI - BI 12 | * 1011 AI + AI 13 | * 1100 AI | BI 14 | * 1101 AI & BI 15 | * 1110 AI ^ BI 16 | * 1111 AI 17 | * 18 | */ 19 | 20 | module ALU( clk, op, right, AI, BI, CI, CO, BCD, OUT, V, Z, N, HC, RDY ); 21 | input clk; 22 | input right; 23 | input [3:0] op; // operation 24 | input [7:0] AI; 25 | input [7:0] BI; 26 | input CI; 27 | input BCD; // BCD style carry 28 | output [7:0] OUT; 29 | output CO; 30 | output V; 31 | output Z; 32 | output N; 33 | output HC; 34 | input RDY; 35 | 36 | reg [7:0] OUT; 37 | reg CO; 38 | wire V; 39 | wire Z; 40 | reg N; 41 | reg HC; 42 | 43 | reg AI7; 44 | reg BI7; 45 | reg [8:0] temp_logic; 46 | reg [7:0] temp_BI; 47 | reg [4:0] temp_l; 48 | reg [4:0] temp_h; 49 | wire [8:0] temp = { temp_h, temp_l[3:0] }; 50 | wire adder_CI = (right | (op[3:2] == 2'b11)) ? 0 : CI; 51 | 52 | // calculate the logic operations. The 'case' can be done in 1 LUT per 53 | // bit. The 'right' shift is a simple mux that can be implemented by 54 | // F5MUX. 55 | always @* begin 56 | case( op[1:0] ) 57 | 2'b00: temp_logic = AI | BI; 58 | 2'b01: temp_logic = AI & BI; 59 | 2'b10: temp_logic = AI ^ BI; 60 | 2'b11: temp_logic = AI; 61 | endcase 62 | 63 | if( right ) 64 | temp_logic = { AI[0], CI, AI[7:1] }; 65 | end 66 | 67 | // Add logic result to BI input. This only makes sense when logic = AI. 68 | // This stage can be done in 1 LUT per bit, using carry chain logic. 69 | always @* begin 70 | case( op[3:2] ) 71 | 2'b00: temp_BI = BI; // A+B 72 | 2'b01: temp_BI = ~BI; // A-B 73 | 2'b10: temp_BI = temp_logic; // A+A 74 | 2'b11: temp_BI = 0; // A+0 75 | endcase 76 | end 77 | 78 | // HC9 is the half carry bit when doing BCD add 79 | wire HC9 = BCD & (temp_l[3:1] >= 3'd5); 80 | 81 | // CO9 is the carry-out bit when doing BCD add 82 | wire CO9 = BCD & (temp_h[3:1] >= 3'd5); 83 | 84 | // combined half carry bit 85 | wire temp_HC = temp_l[4] | HC9; 86 | 87 | // perform the addition as 2 separate nibble, so we get 88 | // access to the half carry flag 89 | always @* begin 90 | temp_l = temp_logic[3:0] + temp_BI[3:0] + adder_CI; 91 | temp_h = temp_logic[8:4] + temp_BI[7:4] + temp_HC; 92 | end 93 | 94 | // calculate the flags 95 | always @(posedge clk) 96 | if( RDY ) begin 97 | AI7 <= AI[7]; 98 | BI7 <= temp_BI[7]; 99 | OUT <= temp[7:0]; 100 | CO <= temp[8] | CO9; 101 | N <= temp[7]; 102 | HC <= temp_HC; 103 | end 104 | 105 | assign V = AI7 ^ BI7 ^ CO ^ N; 106 | assign Z = ~|OUT; 107 | 108 | endmodule 109 | -------------------------------------------------------------------------------- /Makefile: -------------------------------------------------------------------------------- 1 | PROJ = miniatom 2 | DEVICE = hx8k 3 | BOARD = icoboard 4 | PIN_DEF = $(PROJ)_$(BOARD).pcf 5 | END_SPEED = 33 6 | FOOTPRINT = ct256 7 | SEED=2458678799 8 | # 2458678799 // Timing estimate: 26.54 ns (37.68 MHz) 9 | # -retime -abc2 1031308875 1086239526 10 | 11 | 12 | all: $(PROJ).rpt $(PROJ).bin 13 | 14 | %.blif: %.v vga/vga.v 15 | yosys -p 'synth_ice40 -top top -blif $@' $< > YOSYS.LOG 16 | grep arning YOSYS.LOG 17 | 18 | %.asc: $(PIN_DEF) %.blif 19 | arachne-pnr -s $(SEED) -d $(subst hx,,$(subst lp,,$(DEVICE))) -P $(FOOTPRINT) -o $@ -p $^ > ARACHNE.LOG 20 | cat ARACHNE.LOG 21 | 22 | %.bin: %.asc 23 | icepack $< $@ 24 | 25 | %.rpt: %.asc 26 | icetime -d $(DEVICE) -tr $@ $< 27 | 28 | rewire: 29 | rm -f $(PROJ).asc $(PROJ).rpt $(PROJ).bin 30 | make 31 | 32 | appimage.bin: 33 | echo "@8000" > appimage.hex 34 | cat splashscreen.hex >> appimage.hex 35 | echo "@a000" >> appimage.hex 36 | cat roms/pcharme.hex >> appimage.hex 37 | echo "@c000" >> appimage.hex 38 | cat roms/basic.hex >> appimage.hex 39 | echo "@d000" >> appimage.hex 40 | cat roms/floatingpoint.hex >> appimage.hex 41 | echo "@e000" >> appimage.hex 42 | cat roms/sddos.hex >> appimage.hex 43 | echo "@f000" >> appimage.hex 44 | cat roms/akernel_patched.hex >> appimage.hex 45 | ./flashbin.py 46 | 47 | prog: $(PROJ).bin 48 | iceprog $< 49 | 50 | icoboard: $(PROJ).bin appimage.bin 51 | icoprog -f < $(PROJ).bin 52 | icoprog -O 4 -f < appimage.bin 53 | icoprog -b 54 | 55 | sudo-prog: $(PROJ).bin 56 | @echo 'Executing prog as root!!!' 57 | sudo iceprog $< 58 | 59 | clean: 60 | rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin appimage.bin 61 | 62 | .SECONDARY: 63 | .PHONY: all prog clean 64 | -------------------------------------------------------------------------------- /PIA8255/pia8255.v: -------------------------------------------------------------------------------- 1 | 2 | module PIA8255 ( 3 | input cs, 4 | input reset, 5 | input [1:0] address, 6 | input [7:0] Din, 7 | input we, 8 | output [7:0] PIAout, 9 | output [7:0] Port_A, 10 | input [7:0] Port_B, 11 | output [3:0] Port_C_low, 12 | input wire [3:0] Port_C_high 13 | ); 14 | 15 | 16 | 17 | 18 | // ------------------------------------------------------------------------------------ 19 | // 25.5 Input/Output Port Allocations 20 | // 21 | // The 8255 Programmable Peripheral Interface Adapter contains three 22 | // 8-bit ports, and all but one of these lines is used by the ATOM. 23 | // 24 | // Port A - #B000 25 | // Output bits: Function: 26 | // O -- 3 Keyboard row 27 | // 4 -- 7 Graphics mode 28 | // 29 | // Port B - #B001 30 | // Input bits: Function: 31 | // O -- 5 Keyboard column 32 | // 6 CTRL key (low when pressed) 33 | // 7 SHIFT keys {low when pressed) 34 | // 35 | // Port C - #B002 36 | // Output bits: Function: 37 | // O Tape output 38 | // 1 Enable 2.4 kHz to cassette output 39 | // 2 Loudspeaker 40 | // 3 Not used 41 | // 42 | // Input bits: Function: 43 | // 4 2.4 kHz input 44 | // 5 Cassette input 45 | // 6 REPT key (low when pressed) 46 | // 7 60 Hz sync signal (low during flyback) 47 | // 48 | // The port C output lines, bits O to 3, may be used for user 49 | // applications when the cassette interface is not being used. 50 | // ------------------------------------------------------------------------------------ 51 | 52 | reg [7:0] Port_A_r, Port_B_r; 53 | reg [3:0] Port_C_L; 54 | reg [7:0] PIAout_r; 55 | 56 | always@(posedge we, posedge reset) begin 57 | if (reset) begin 58 | Port_A_r <= 8'h0; 59 | Port_C_L <= 4'h0; 60 | end 61 | else 62 | begin 63 | // latch writes to PIO 64 | if (cs) begin 65 | case (address[1:0]) 66 | 2'b00: Port_A_r <= Din; 67 | 2'b10: Port_C_L <= Din[3:0]; 68 | 2'b11: if (!Din[7]) Port_C_L[Din[2:1]] <= Din[0]; 69 | endcase 70 | end 71 | end 72 | end 73 | 74 | always @(*) begin 75 | Port_B_r <= Port_B; 76 | end 77 | 78 | always @(*) begin 79 | case(address[1:0]) 80 | 2'b00: PIAout_r <= Port_A_r; 81 | 2'b01: PIAout_r <= Port_B_r; 82 | 2'b10: PIAout_r <= { Port_C_high ,Port_C_L}; 83 | default: PIAout_r <= 0; 84 | endcase 85 | end 86 | 87 | assign PIAout = PIAout_r; 88 | assign Port_C_low = Port_C_L; 89 | assign Port_A = Port_A_r; 90 | 91 | 92 | endmodule 93 | 94 | -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | # miniatom 2 | Acorn Atom in minimal configuration for iCE40 HX8K ICOboard 3 | 4 | The Acorn Atom is a 1980's home computer. It requires very little resources. 5 | It makes a very good candidate for a minimal implementation on the ICOboard. 6 | 7 | --- Work in Progress --- 8 | 9 | status: 10 | 11 | - VGA 1024x768 vga output for text and mode 4 scaled. 12 | - RGB 2:2:2 output, 4 entry LUT for colors 13 | - CPU runs at 32.5 MHz and the prompt is visible 14 | - fully functional keyboard. 15 | - BASIC,FP and P-Charme working. 16 | - SDcard access over SPI and SDDOS rom. 17 | 18 | requirements: 19 | 20 | - IceStorm tools for verilog compilation. 21 | - Arlet's 6502 in directory available in Arlet6502 22 | - GNU make 23 | - icotools for programming ICOboard 24 | - ICOboard with 1MB SRAM (128K should work too, untested) 25 | - SDcard connection 26 | - VGA connection PMOD-VGA. 27 | 28 | Memory map of this ATOM: 29 | 30 | F000 ROM MM52164 IC20 - onboard MOS 31 | E000 ROM - onboard SDDOS 32 | D000 ROM MM52132 IC21 - onboard FPROM 33 | C000 ROM MM52164 IC20 - onboard BASIC 34 | BD00 IO Extension - VGA colour table. 35 | B800 VIA 6522 IC1 - onboard VIA 6522 36 | B400 IO Extension - PL8 37 | B000 PPI INS8255 IC25 - keyboard 38 | A000 ROM MN52132 IC24 - onboard P-Charme 39 | 9xxx Video RAM - external SRAM 40 | 8xxx Video RAM - external SRAM 41 | 0000 - 7FFF RAM - external SRAM 42 | 43 | VGA output: 44 | 45 | # PMOD VGA (diligent) 46 | # connects to two PMOD connectors. 47 | hsync B8 48 | vsync A9 49 | red[0] A5 50 | red[1] A2 51 | red[2] C3 52 | red[3] B4 53 | blue[0] B7 54 | blue[1] B6 55 | blue[2] B3 56 | blue[3] B5 57 | green[0] D8 58 | green[1] B9 59 | green[2] B10 60 | green[3] B11 61 | 62 | Keyboard connection: 63 | 64 | set_io key_col[0] F11 65 | set_io key_col[1] E14 66 | set_io key_col[2] F12 67 | set_io key_col[3] E11 68 | set_io key_col[4] D11 69 | set_io key_col[5] D10 70 | set_io ctrl_key G11 71 | set_io shift_key E13 72 | set_io rept_key F9 73 | set_io key_reset E10 74 | 75 | set_io key_row[0] K13 76 | set_io key_row[1] J13 77 | set_io key_row[2] J11 78 | set_io key_row[3] M15 79 | set_io key_row[4] M16 80 | set_io key_row[5] H14 81 | set_io key_row[6] K15 82 | set_io key_row[7] G13 83 | set_io key_row[8] F14 84 | set_io key_row[9] T16 85 | 86 | SD Card connection: 87 | 88 | set_io ss T15 89 | set_io mosi T14 90 | set_io sclk T11 91 | set_io miso R10 92 | 93 | It still needs more attention to get it fully compatible. 94 | Next up will be timers and more screen modes. 95 | Hopefully some nice high res text modes too. 96 | 97 | Jan Rinze. 98 | -------------------------------------------------------------------------------- /flashbin.py: -------------------------------------------------------------------------------- 1 | #!/usr/bin/env python3 2 | 3 | import fileinput 4 | 5 | flash_data = list() 6 | 7 | def set_flash(addr, value): 8 | while len(flash_data) <= addr: 9 | flash_data.append(0) 10 | flash_data[addr] = value 11 | 12 | def set_data(addr, value): 13 | if 0x8000 <= addr < 0x100000: 14 | set_flash(addr - 0x8000, value) 15 | else: 16 | print("ADDR = %x" % addr) 17 | assert False 18 | 19 | cursor = 0 20 | 21 | with open("appimage.hex", "rt") as f: 22 | for line in f: 23 | if line.startswith("@"): 24 | cursor = int(line[1:], 16) 25 | continue 26 | for value in line.split(): 27 | set_data(cursor, int(value, 16)) 28 | cursor += 1 29 | 30 | with open("appimage.bin", "wb") as f: 31 | f.write(bytearray(flash_data)) 32 | 33 | -------------------------------------------------------------------------------- /flashmem/icosoc_flashmem.v: -------------------------------------------------------------------------------- 1 | module icosoc_flashmem ( 2 | input clk, resetn, 3 | 4 | input valid, 5 | output reg ready, 6 | input [23:0] addr, 7 | output reg [31:0] rdata, 8 | 9 | output reg spi_cs, 10 | output reg spi_sclk, 11 | output reg spi_mosi, 12 | input spi_miso 13 | ); 14 | reg [7:0] buffer; 15 | reg [3:0] xfer_cnt; 16 | reg [3:0] state; 17 | 18 | always @(posedge clk) begin 19 | ready <= 0; 20 | if (!resetn || !valid || ready) begin 21 | spi_cs <= 1; 22 | spi_sclk <= 1; 23 | xfer_cnt <= 0; 24 | state <= 0; 25 | end else begin 26 | spi_cs <= 0; 27 | if (xfer_cnt) begin 28 | if (spi_sclk) begin 29 | spi_sclk <= 0; 30 | spi_mosi <= buffer[7]; 31 | end else begin 32 | spi_sclk <= 1; 33 | buffer <= {buffer, spi_miso}; 34 | xfer_cnt <= xfer_cnt - 1; 35 | end 36 | end else 37 | case (state) 38 | 0: begin 39 | buffer <= 'h03; 40 | xfer_cnt <= 8; 41 | state <= 1; 42 | end 43 | 1: begin 44 | buffer <= addr[23:16]; 45 | xfer_cnt <= 8; 46 | state <= 2; 47 | end 48 | 2: begin 49 | buffer <= addr[15:8]; 50 | xfer_cnt <= 8; 51 | state <= 3; 52 | end 53 | 3: begin 54 | buffer <= addr[7:0]; 55 | xfer_cnt <= 8; 56 | state <= 4; 57 | end 58 | 4: begin 59 | xfer_cnt <= 8; 60 | state <= 5; 61 | end 62 | 5: begin 63 | rdata[7:0] <= buffer; 64 | xfer_cnt <= 8; 65 | state <= 6; 66 | end 67 | 6: begin 68 | rdata[15:8] <= buffer; 69 | xfer_cnt <= 8; 70 | state <= 7; 71 | end 72 | 7: begin 73 | rdata[23:16] <= buffer; 74 | xfer_cnt <= 8; 75 | state <= 8; 76 | end 77 | 8: begin 78 | rdata[31:24] <= buffer; 79 | ready <= 1; 80 | end 81 | endcase 82 | end 83 | end 84 | endmodule 85 | -------------------------------------------------------------------------------- /miniatom.v: -------------------------------------------------------------------------------- 1 | /* 2 | * verilog model of an Acorn ATOM. 3 | * 4 | * (C) Jan Rinze Peterzon, (janrinze@gmail.com) 5 | * 6 | * Feel free to use this code in any non-commercial project, as long as you 7 | * keep this message, and the copyright notice. This code is provided "as is", 8 | * without any warranties of any kind. 9 | * 10 | * 11 | * For commercial purposes please contact the author regarding licensing. 12 | * 13 | */ 14 | 15 | 16 | `include "vga/vga.v" 17 | `include "PIA8255/pia8255.v" 18 | `include "m6522/m6522.v" 19 | `include "utils/debounce.v" 20 | /* 21 | Include the sources from Arlet's 6502 verilog implementation. 22 | 23 | */ 24 | `include "Arlet6502/ALU.v" 25 | `include "Arlet6502/cpu.v" 26 | `include "spi/spi.v" 27 | `include "flashmem/icosoc_flashmem.v" 28 | 29 | /* TODO: 30 | 31 | - implement new 6502 32 | 33 | Memory map of this ATOM 34 | 35 | FFFF Top of memory 36 | 37 | 38 | F000 ROM MM52164 IC20 - onboard MOS 39 | E000 ROM - onboard SDDOS 40 | D000 ROM MM52132 IC21 - onboard FPROM 41 | C000 ROM MM52164 IC20 - onboard BASIC 42 | BC00 extension - VGA colour table. 43 | B800 VIA 6522 IC1 - onboard VIA 6522 44 | B400 Extension PL8 45 | B000 PPI INS8255 IC25 - keyboard 46 | A000 ROM MN52132 IC24 - onboard P-Charme 47 | 0-9FFF - onboard RAM 48 | 49 | General Idea: 50 | 51 | 1024x768 ATOM screen with 4x4 pixel size. 52 | 53 | General timing 54 | 55 | Screen refresh rate 60 Hz 56 | Vertical refresh 48.363095238095 kHz 57 | Pixel freq. 65.0 MHz 58 | Horizontal timing (line) 59 | 60 | Polarity of horizontal sync pulse is negative. 61 | Scanline part Pixels Time [µs] 62 | Visible area 1024 15.753846153846 63 | Front porch 24 0.36923076923077 64 | Sync pulse 136 2.0923076923077 65 | Back porch 160 2.4615384615385 66 | Whole line 1344 20.676923076923 67 | 68 | Vertical timing (frame) 69 | 70 | Polarity of vertical sync pulse is negative. 71 | Frame part Lines Time [ms] 72 | Visible area 768 15.879876923077 73 | Front porch 3 0.062030769230769 74 | Sync pulse 6 0.12406153846154 75 | Back porch 29 0.59963076923077 76 | Whole frame 806 16.6656 77 | 78 | 79 | 80 | Calculate 32.5 MHz: 81 | 82 | icepll -i 100 -o 32.5 83 | 84 | */ 85 | 86 | `define pull_up( source , type, dest) wire dest; SB_IO #( .PIN_TYPE(6'b0000_01),.PULLUP(1'b1) ) type (.PACKAGE_PIN(source),.D_IN_0(dest)); 87 | `define pull_N_up( source , type,num, dest) wire [num:0] dest; SB_IO #( .PIN_TYPE(6'b0000_01),.PULLUP(1'b1) ) type[num:0] (.PACKAGE_PIN(source),.D_IN_0(dest)); 88 | 89 | `define FAST_CPU 90 | 91 | module top ( 92 | // global clock 93 | input pclk, 94 | 95 | // vga RGB 4:4:4 output 96 | output hsync, 97 | output vsync, 98 | output reg [3:0] red, 99 | output reg [3:0] green, 100 | output reg [3:0] blue, 101 | 102 | // interface to ATOM keyboard 103 | input shift_key, 104 | input ctrl_key, 105 | input [5:0] key_col, 106 | input rept_key, 107 | input key_reset, 108 | output [9:0] key_row, 109 | 110 | // interface to 1MB SRAM icoboard 111 | output [18:0] SRAM_A, 112 | inout [15:0] SRAM_D, 113 | output SRAM_nCE, 114 | output SRAM_nWE, 115 | output SRAM_nOE, 116 | output SRAM_nLB, 117 | output SRAM_nUB, 118 | output led1, 119 | input miso, 120 | output mosi, 121 | output ss, 122 | output sclk, 123 | 124 | output SPI_FLASH_CS, 125 | output SPI_FLASH_SCLK , 126 | output SPI_FLASH_MOSI , 127 | input SPI_FLASH_MISO 128 | 129 | ); 130 | 131 | // clock signals 132 | wire fclk; // 100 MHz clock icoboard 133 | wire pll_locked; // signal when pll has reached lock 134 | reg reset=1; // global reset register 135 | 136 | reg clk,vidclk,spiclk; // 32.5 MHz derived system clock 137 | reg boot; 138 | wire ready; 139 | reg phi2_we; 140 | 141 | wire vga_req; 142 | 143 | // reset signals 144 | wire kbd_reset; 145 | wire cpu_reset = kbd_reset | ~pll_locked | boot; 146 | wire reboot_req; 147 | 148 | // CPU Bus signals 149 | wire [15:0] cpu_address; 150 | reg [7:0] D_in; 151 | wire [7:0] D_out; 152 | wire W_en; 153 | reg wg; 154 | 155 | reg IRQ,NMI,RDY; 156 | // Latched CPU signals 157 | reg [15:0] Lcpu_address; 158 | reg [7:0] LD_out; 159 | reg LW_en; 160 | 161 | // VGA bus and signals 162 | wire [12:0] vdu_address; 163 | reg [7:0] vid_data; 164 | reg [7:0] t_vid_data; 165 | wire [5:0] vga_rgb; 166 | wire vga_hsync_out,vga_vsync_out; 167 | wire [7:0] via_dout; 168 | wire via_irq_n; 169 | 170 | // ------------------------------------------------------------------------------------ 171 | // Clock generation 172 | // ------------------------------------------------------------------------------------ 173 | 174 | /* 65 MHz */ 175 | SB_PLL40_CORE #(.FEEDBACK_PATH("SIMPLE"), 176 | .PLLOUT_SELECT("GENCLK"), 177 | /* 178 | // 120 MHz 179 | .DIVR(4'b0100), // DIVR = 4 180 | .DIVF(7'b0101111), // DIVF = 47 181 | .DIVQ(3'b011), // DIVQ = 3 182 | .FILTER_RANGE(3'b010) // FILTER_RANGE = 2 183 | 184 | */ 185 | // 130 Mhz 186 | .DIVR(4'b0100), // DIVR = 4 187 | .DIVF(7'b0110011), // DIVF = 51 188 | .DIVQ(3'b011), // DIVQ = 3 189 | .FILTER_RANGE(3'b010) // FILTER_RANGE = 2 190 | 191 | /* 192 | // 150 MHz 193 | .FEEDBACK_PATH("SIMPLE"), 194 | .DIVR(4'b0000), // DIVR = 0 195 | .DIVF(7'b0000101), // DIVF = 5 196 | .DIVQ(3'b010), // DIVQ = 2 197 | .FILTER_RANGE(3'b101) // FILTER_RANGE = 5 198 | 199 | // 160 MHz 200 | .FEEDBACK_PATH("SIMPLE"), 201 | .DIVR(4'b0100), // DIVR = 4 202 | .DIVF(7'b0011111), // DIVF = 31 203 | .DIVQ(3'b010), // DIVQ = 2 204 | .FILTER_RANGE(3'b010) // FILTER_RANGE = 2 205 | */ 206 | 207 | ) uut ( 208 | .REFERENCECLK(pclk), 209 | .PLLOUTCORE(fclk), 210 | //.PLLOUTGLOBAL(clk), 211 | .LOCK(pll_locked), 212 | .RESETB(reset), 213 | .BYPASS(1'b0) 214 | ); 215 | 216 | // fclk is 120 MHz 217 | // spiclk is 60 Mhz 218 | // clk is 30 MHz 219 | // vidclk is 30 MHz 220 | 221 | `ifdef FAST_CPU 222 | // 30 MHz cpu 223 | reg [1:0] cnt; 224 | wire [1:0] nxtcnt; 225 | assign nxtcnt = cnt +1; 226 | reg vga_cycle; 227 | always@(posedge fclk) begin 228 | spiclk <= ~nxtcnt[0]; 229 | vidclk <= ~nxtcnt[1]; 230 | clk <= ~nxtcnt[1] | vga_req ; 231 | vga_cycle <= vga_req; 232 | // write gate and write cycle 233 | wg <= (~nxtcnt[1])|~(W_en | boot) | vga_req ; 234 | phi2_we <= (W_en | boot ) & (~vga_req); 235 | cnt<=nxtcnt; 236 | if (nxtcnt==0) begin 237 | Lcpu_address <= cpu_address; 238 | LD_out <= D_out; 239 | LW_en <= W_en; 240 | end 241 | end 242 | `else 243 | // 15Mhz cpu 244 | reg [4:0] cnt; 245 | wire [4:0] nxtcnt; 246 | assign nxtcnt = cnt +1; 247 | always@(posedge fclk) begin 248 | spiclk <= ~nxtcnt[2]; 249 | vidclk <= ~nxtcnt[1]; 250 | clk <= ~nxtcnt[4] ; 251 | // write gate and write cycle 252 | wg <= (nxtcnt!=5'b11111)|~(W_en | boot) ; 253 | phi2_we <= (nxtcnt[4:1]== 4'b1111) && (W_en | boot ); 254 | cnt<=nxtcnt; 255 | end 256 | `endif 257 | 258 | // ------------------------------------------------------------------------------------ 259 | // Main 6502 CPU 260 | // ------------------------------------------------------------------------------------ 261 | 262 | cpu main_cpu( 263 | .clk(clk), 264 | .reset(cpu_reset), 265 | .AB(cpu_address), 266 | .DI(D_in), 267 | .DO(D_out), 268 | .WE(W_en), 269 | .IRQ(!via_irq_n), 270 | .NMI(0), 271 | .RDY(1) ); 272 | 273 | // ------------------------------------------------------------------------------------ 274 | // IO_space 275 | // ------------------------------------------------------------------------------------ 276 | 277 | wire IO_select; 278 | wire PIO_select; 279 | wire Extension_select; 280 | wire VIA_select; 281 | wire ROMBank_select; 282 | wire VGAIO_select; 283 | wire IO_wr; 284 | wire SDcard_select; 285 | wire [7:0] IO_out; 286 | 287 | assign IO_select = (cpu_address[15:12]==4'hB) ? 1 : 0 ; // #BXXX address 288 | assign PIO_select = (cpu_address[11:10]==2'h0) ? IO_select : 0 ; // #B000 - #B3FF is PIO 289 | assign Extension_select = (cpu_address[11:10]==2'h1) ? IO_select : 0 ; // #B400 - #B7FF is Extension port 290 | assign VIA_select = (cpu_address[11:10]==2'h2) ? IO_select : 0 ; // #B800 - #BBFF is VIA 291 | assign ROMBank_select = (cpu_address[11:8]==4'hF) ? IO_select : 0 ; // #BF00 - #BFFF is ROMBank_select 292 | assign VGAIO_select = (cpu_address[11:8]==4'hD) ? IO_select : 0 ; // #BD00 - #BDFF is VGAIO 293 | assign SDcard_select = (cpu_address[15: 4] == 12'hbc0); // #BC00 - #BC0F is SDcard SPI 294 | 295 | assign IO_wr = ~wg; 296 | 297 | // ------------------------------------------------------------------------------------ 298 | // 25.5 Input/Output Port Allocations 299 | // 300 | // The 8255 Programmable Peripheral Interface Adapter contains three 301 | // 8-bit ports, and all but one of these lines is used by the ATOM. 302 | // 303 | // Port A - #B000 304 | // Output bits: Function: 305 | // O -- 3 Keyboard row 306 | // 4 -- 7 Graphics mode 307 | // 308 | // Port B - #B001 309 | // Input bits: Function: 310 | // O -- 5 Keyboard column 311 | // 6 CTRL key (low when pressed) 312 | // 7 SHIFT keys {low when pressed) 313 | // 314 | // Port C - #B002 315 | // Output bits: Function: 316 | // O Tape output 317 | // 1 Enable 2.4 kHz to cassette output 318 | // 2 Loudspeaker 319 | // 3 Not used 320 | // 321 | // Input bits: Function: 322 | // 4 2.4 kHz input 323 | // 5 Cassette input 324 | // 6 REPT key (low when pressed) 325 | // 7 60 Hz sync signal (low during flyback) 326 | // 327 | // The port C output lines, bits O to 3, may be used for user 328 | // applications when the cassette interface is not being used. 329 | // ------------------------------------------------------------------------------------ 330 | 331 | wire [7:0] piaPortA , PIO_out; 332 | wire unused,Speaker,CassOutEn,TapeOut; 333 | 334 | // interface to Keyboard 335 | wire [3:0] keyboard_row,graphics_mode; 336 | assign keyboard_row = piaPortA[3:0]; 337 | assign graphics_mode = piaPortA[7:4]; 338 | 339 | `pull_up(rept_key, rept_key_t, rept_keyp) 340 | `pull_up(shift_key, shift_keyt, shift_keyp) 341 | `pull_up(ctrl_key, ctrl_keyt, ctrl_keyp) 342 | `pull_N_up(key_col, key_colt,5, key_colp) 343 | `pull_up(key_reset, key_reset_t, key_reset_p) 344 | 345 | PushButton_Debouncer rstkey( 346 | .clk(vidclk), 347 | .PB(key_reset_p), 348 | .PB_state(kbd_reset)); 349 | 350 | // demux key row select 351 | reg[9:0] key_demux; 352 | assign key_row = key_demux; 353 | 354 | always@* 355 | begin 356 | case (keyboard_row) 357 | 4'h0: key_demux=10'b1111111110; 358 | 4'h1: key_demux=10'b1111111101; 359 | 4'h2: key_demux=10'b1111111011; 360 | 4'h3: key_demux=10'b1111110111; 361 | 4'h4: key_demux=10'b1111101111; 362 | 4'h5: key_demux=10'b1111011111; 363 | 4'h6: key_demux=10'b1110111111; 364 | 4'h7: key_demux=10'b1101111111; 365 | 4'h8: key_demux=10'b1011111111; 366 | 4'h9: key_demux=10'b0111111111; 367 | default: key_demux=10'b1111111111; 368 | endcase 369 | end 370 | 371 | assign reboot_req = ~pll_locked | (kbd_reset & ~rept_keyp); 372 | reg [3:0] Chigh; 373 | always @* 374 | Chigh <= {vga_vsync_out, rept_keyp, 2'b00}; 375 | 376 | // connect PIA to keyboard and VGA generator. 377 | PIA8255 pia ( 378 | //.clk(clk), 379 | .cs(PIO_select), 380 | .reset(cpu_reset), 381 | .address(cpu_address[1:0]), 382 | .Din(D_out), 383 | .we(wg), 384 | .PIAout(PIO_out), 385 | .Port_A(piaPortA), 386 | .Port_B({shift_keyp, ctrl_keyp, key_colp}), 387 | .Port_C_low({unused,Speaker,CassOutEn,TapeOut}), 388 | .Port_C_high(Chigh) 389 | ); 390 | 391 | 392 | 393 | wire [7:0] sd_out; 394 | assign IO_out = (SDcard_select)? sd_out: 395 | (VIA_select)? via_dout: PIO_out; 396 | `pull_up(miso, miso_t, miso_p) 397 | wire mosi_r; 398 | assign mosi= mosi_r; 399 | 400 | spi sdcard 401 | ( 402 | .clk(spiclk), 403 | .reset(cpu_reset), 404 | .enable(SDcard_select), 405 | .rnw(wg), 406 | .addr(cpu_address[2:0]), 407 | .din(D_out), 408 | .dout(sd_out), 409 | .miso(miso_p), 410 | .mosi(mosi_r), 411 | .ss(ss), 412 | .sclk(sclk) 413 | ); 414 | 415 | // =============================================================== 416 | // 6522 VIA at 0xB8xx 417 | // =============================================================== 418 | 419 | m6522 VIA 420 | ( 421 | .I_RS(cpu_address[3:0]), 422 | .I_DATA(D_out), 423 | .O_DATA(via_dout), 424 | .O_DATA_OE_L(), 425 | .I_RW_L(wg), 426 | .I_CS1(VIA_select), 427 | .I_CS2_L(1'b0), 428 | .O_IRQ_L(via_irq_n), 429 | .I_CA1(1'b0), 430 | .I_CA2(1'b0), 431 | .O_CA2(), 432 | .O_CA2_OE_L(), 433 | .I_PA(8'b0), 434 | .O_PA(), 435 | .O_PA_OE_L(), 436 | .I_CB1(1'b0), 437 | .O_CB1(), 438 | .O_CB1_OE_L(), 439 | .I_CB2(1'b0), 440 | .O_CB2(), 441 | .O_CB2_OE_L(), 442 | .I_PB(8'b0), 443 | .O_PB(), 444 | .O_PB_OE_L(), 445 | .I_P2_H(clk), 446 | .RESET_L(!cpu_reset), 447 | .ENA_4(clk), 448 | .CLK(clk) 449 | ); 450 | 451 | 452 | // ------------------------------------------------------------------------------------ 453 | // Bootloader 454 | // ------------------------------------------------------------------------------------ 455 | 456 | wire [31:0] flash_data; 457 | reg [23:0] flash_addr; 458 | reg flash_valid; 459 | wire flash_ready; 460 | 461 | reg [31:0] flash_copy; 462 | 463 | icosoc_flashmem flasmem( 464 | .clk(clk), 465 | .resetn( pll_locked), 466 | 467 | .valid( flash_valid), 468 | .ready( flash_ready), 469 | .addr( flash_addr), 470 | .rdata( flash_data), 471 | .spi_cs( SPI_FLASH_CS), 472 | .spi_sclk(SPI_FLASH_SCLK ), 473 | .spi_mosi(SPI_FLASH_MOSI ), 474 | .spi_miso(SPI_FLASH_MISO) 475 | ); 476 | //reg [16:0] dma_addr; 477 | reg [16:0] dma_addr; 478 | 479 | reg [2:0] bl_state; 480 | wire [16:0] next_dma_addr = dma_addr + 1; 481 | wire [23:0] next_flash_addr = flash_addr + 4; 482 | reg [7:0] boot_data; 483 | 484 | 485 | // Bootloader statemachine. 486 | `define BL_IDLE 0 487 | `define BL_SETUP 1 488 | `define BL_WAITFLASH 2 489 | `define BL_WRITE1 3 490 | `define BL_WRITE2 4 491 | `define BL_WRITE3 5 492 | `define BL_WRITE4 6 493 | `define BL_DONE 7 494 | 495 | always@(posedge clk) begin 496 | if (~pll_locked) begin 497 | boot <= 0; 498 | flash_valid <=0; 499 | bl_state <= `BL_SETUP; 500 | flash_addr <= 24'h40000; 501 | boot_data <= 8'h00; 502 | end 503 | else 504 | case (bl_state) 505 | `BL_IDLE: begin 506 | boot <= 0; 507 | flash_valid <=0; 508 | bl_state <= reboot_req ? `BL_SETUP : `BL_IDLE; 509 | end 510 | `BL_SETUP: begin 511 | flash_addr <= 24'h40000; 512 | dma_addr <= 17'h8000; 513 | flash_valid <=1; 514 | boot<=1; 515 | bl_state <= `BL_WAITFLASH; 516 | end 517 | `BL_WAITFLASH: if (flash_ready) begin 518 | flash_copy <= flash_data; 519 | flash_addr <= next_flash_addr; 520 | bl_state <= `BL_WRITE1; 521 | end 522 | `BL_WRITE1: begin 523 | boot_we<=1; 524 | boot_data <= flash_copy[7:0]; 525 | bl_state <= `BL_WRITE2; 526 | end 527 | `BL_WRITE2: begin 528 | dma_addr <= next_dma_addr; 529 | boot_data <= flash_copy[15:8]; 530 | bl_state <= `BL_WRITE3; 531 | end 532 | `BL_WRITE3: begin 533 | dma_addr <= next_dma_addr; 534 | boot_data <= flash_copy[23:16]; 535 | bl_state <= `BL_WRITE4; 536 | end 537 | `BL_WRITE4: begin 538 | dma_addr <= next_dma_addr; 539 | boot_data <= flash_copy[31:24]; 540 | bl_state <= `BL_DONE; 541 | end 542 | `BL_DONE: begin 543 | dma_addr <= next_dma_addr; 544 | bl_state <= next_dma_addr[16] ? `BL_IDLE : `BL_WAITFLASH; 545 | end 546 | endcase 547 | end 548 | 549 | // ------------------------------------------------------------------------------------ 550 | // VGA signal generation 551 | // ------------------------------------------------------------------------------------ 552 | 553 | 554 | vga display( 555 | .clk( vidclk ), 556 | .reset(kbd_reset | ~pll_locked), 557 | .address(vdu_address), 558 | .data(vid_data), 559 | .settings(graphics_mode), 560 | .rgb(vga_rgb), 561 | .hsync(vga_hsync_out), 562 | .vsync(vga_vsync_out), 563 | .req(vga_req), 564 | .cs(VGAIO_select), 565 | .we(wg), 566 | .cpu_address(cpu_address[3:0]), 567 | .Din(D_out) 568 | ); 569 | 570 | 571 | always@(posedge vidclk) begin 572 | red <= {vga_rgb[5:4],vga_rgb[5:4]}; 573 | green <= {vga_rgb[3:2],vga_rgb[3:2]}; 574 | blue <= {vga_rgb[1:0],vga_rgb[1:0]}; 575 | vsync <= vga_vsync_out; 576 | hsync <= vga_hsync_out; 577 | end 578 | 579 | // ------------------------------------------------------------------------------------ 580 | // interlace video and cpu on memory bus (should be okay up to 50 MHz with 100MHz SRAM) 581 | // vdu_address and cpu_addres are both latched on clk so this should be fine. 582 | // ------------------------------------------------------------------------------------ 583 | 584 | reg [1:0] sram_state; 585 | wire sram_wrlb, sram_wrub; 586 | wire [18:0] sram_addr; 587 | wire [15:0] sram_dout; 588 | wire [15:0] sram_din; 589 | 590 | SB_IO #( 591 | .PIN_TYPE(6'b 1010_01), 592 | .PULLUP(1'b 0) 593 | ) sram_io [15:0] ( 594 | .PACKAGE_PIN(SRAM_D), 595 | .OUTPUT_ENABLE(phi2_we), 596 | .D_OUT_0(sram_dout), 597 | .D_IN_0(sram_din) 598 | ); 599 | 600 | // redirect ROM write. 601 | // Perhaps in future we want to enable dynamic roms 602 | wire romwrite = W_en & cpu_address[15] & cpu_address[14];//|cpu_address[13]) ; 603 | 604 | assign SRAM_A = vga_req ? { 6'b000100, vdu_address[12:0] } :boot ? {2'b00,dma_addr } :{ romwrite,2'b00,cpu_address}; 605 | assign SRAM_nCE = 0; 606 | assign SRAM_nWE = wg ; 607 | assign SRAM_nOE = (phi2_we); 608 | assign SRAM_nLB = 0; 609 | assign SRAM_nUB = 0; 610 | assign sram_dout = { 8'd0, boot ? boot_data : D_out}; 611 | 612 | always@(posedge vidclk) begin 613 | if (vga_req) vid_data <=sram_din[7:0]; 614 | end 615 | 616 | // --------- data bus latch --------- 617 | always@(posedge clk) begin 618 | if (IO_select) 619 | D_in <= IO_out; 620 | else 621 | D_in <= sram_din[7:0]; 622 | end 623 | 624 | // shiftlock led 625 | reg lock; 626 | always@(posedge wg) if (cpu_address == 16'h00E7) lock <= D_out[5]; 627 | assign led1 = lock; 628 | 629 | endmodule 630 | -------------------------------------------------------------------------------- /miniatom_hx8k.pcf: -------------------------------------------------------------------------------- 1 | set_io LED[0] C8 2 | set_io LED[1] F7 3 | set_io LED[2] K9 4 | set_io LED[3] D8 5 | set_io LED[4] A11 6 | set_io LED[5] A10 7 | set_io LED[6] A9 8 | set_io LED[7] B8 9 | set_io pclk R9 10 | 11 | #set_io reset N11 12 | #set_io TXD B12 13 | #set_io RXD B10 14 | 15 | #set_io hsync C3 16 | #set_io vsync B3 17 | #set_io blue A2 18 | #set_io red B6 19 | #set_io green1 A5 20 | #set_io green2 B7 21 | 22 | set_io hsync B4 23 | set_io vsync B5 24 | set_io blue2 C3 25 | set_io blue1 B3 26 | set_io red2 A2 27 | set_io red1 B6 28 | set_io green2 A5 29 | set_io green1 B7 30 | 31 | 32 | set_io key_col[0] F11 33 | set_io key_col[1] E14 34 | set_io key_col[2] F12 35 | set_io key_col[3] E11 36 | set_io key_col[4] D11 37 | set_io key_col[5] D10 38 | set_io ctrl_key G11 39 | set_io shift_key E13 40 | set_io rept_key F9 41 | set_io key_reset E10 42 | 43 | set_io key_row[0] K13 44 | set_io key_row[1] J13 45 | set_io key_row[2] J11 46 | set_io key_row[3] M15 47 | set_io key_row[4] M16 48 | set_io key_row[5] H14 49 | set_io key_row[6] K15 50 | set_io key_row[7] G13 51 | set_io key_row[8] F14 52 | set_io key_row[9] T16 53 | 54 | 55 | set_io SRAM_A[0] N2 56 | set_io SRAM_A[1] K5 57 | set_io SRAM_A[2] J5 58 | set_io SRAM_A[3] M5 59 | set_io SRAM_A[4] P4 60 | set_io SRAM_A[5] N5 61 | set_io SRAM_A[6] P5 62 | set_io SRAM_A[7] P7 63 | set_io SRAM_A[8] M6 64 | set_io SRAM_A[9] P6 65 | set_io SRAM_A[10] T8 66 | set_io SRAM_A[11] T1 67 | set_io SRAM_A[12] P2 68 | set_io SRAM_A[13] R1 69 | set_io SRAM_A[14] N3 70 | set_io SRAM_A[15] P1 71 | set_io SRAM_A[16] M11 72 | set_io SRAM_A[17] P10 73 | set_io SRAM_A[18] P8 74 | 75 | set_io SRAM_D[0] T2 76 | set_io SRAM_D[1] R3 77 | set_io SRAM_D[2] T3 78 | set_io SRAM_D[3] R4 79 | set_io SRAM_D[4] R5 80 | set_io SRAM_D[5] T5 81 | set_io SRAM_D[6] R6 82 | set_io SRAM_D[7] T6 83 | set_io SRAM_D[8] N4 84 | set_io SRAM_D[9] M4 85 | set_io SRAM_D[10] L6 86 | set_io SRAM_D[11] M3 87 | set_io SRAM_D[12] L4 88 | set_io SRAM_D[13] L3 89 | set_io SRAM_D[14] K4 90 | set_io SRAM_D[15] K3 91 | 92 | set_io SRAM_CE M7 93 | set_io SRAM_WE T7 94 | set_io SRAM_OE L5 95 | set_io SRAM_LB J4 96 | set_io SRAM_UB J3 97 | 98 | 99 | #set_io SPI_FLASH_CS R12 100 | #set_io SPI_FLASH_SCLK R11 101 | #set_io SPI_FLASH_MOSI P12 102 | #set_io SPI_FLASH_MISO P11 103 | 104 | -------------------------------------------------------------------------------- /miniatom_icoboard.pcf: -------------------------------------------------------------------------------- 1 | # 2 | # I/O pins for ICOboard 3 | # 4 | set_io --warn-no-port led1 C8 5 | set_io --warn-no-port led2 F7 6 | set_io --warn-no-port led3 K9 7 | 8 | set_io pclk R9 9 | 10 | #set_io reset N11 11 | #set_io TXD B12 12 | #set_io RXD B10 13 | 14 | #set_io hsync B4 15 | #set_io vsync B5 16 | # RGB 2:2:2 17 | #set_io rgb[0] B3 18 | #set_io rgb[1] C3 19 | #set_io rgb[2] B7 20 | #set_io rgb[3] A5 21 | #set_io rgb[4] B6 22 | #set_io rgb[5] A2 23 | 24 | #set_io hsync N6 25 | #set_io vsync N7 26 | 27 | # RGB 2:2:2 28 | #set_io rgb[0] M8 29 | #set_io rgb[1] L7 30 | #set_io rgb[2] N9 31 | #set_io rgb[3] L9 32 | #set_io rgb[4] P9 33 | #set_io rgb[5] G5 34 | 35 | 36 | # PMOD vga 37 | set_io --warn-no-port hsync B8 38 | set_io --warn-no-port vsync A9 39 | set_io --warn-no-port red[0] A5 40 | set_io --warn-no-port red[1] A2 41 | set_io --warn-no-port red[2] C3 42 | set_io --warn-no-port red[3] B4 43 | set_io --warn-no-port blue[0] B7 44 | set_io --warn-no-port blue[1] B6 45 | set_io --warn-no-port blue[2] B3 46 | set_io --warn-no-port blue[3] B5 47 | set_io --warn-no-port green[0] D8 48 | set_io --warn-no-port green[1] B9 49 | set_io --warn-no-port green[2] B10 50 | set_io --warn-no-port green[3] B11 51 | 52 | 53 | set_io key_col[0] F11 54 | set_io key_col[1] E14 55 | set_io key_col[2] F12 56 | set_io key_col[3] E11 57 | set_io key_col[4] D11 58 | set_io key_col[5] D10 59 | set_io ctrl_key G11 60 | set_io shift_key E13 61 | set_io rept_key F9 62 | set_io key_reset E10 63 | 64 | set_io key_row[0] K13 65 | set_io key_row[1] J13 66 | set_io key_row[2] J11 67 | set_io key_row[3] M15 68 | set_io key_row[4] M16 69 | set_io key_row[5] H14 70 | set_io key_row[6] K15 71 | set_io key_row[7] G13 72 | set_io key_row[8] F14 73 | set_io key_row[9] T16 74 | 75 | 76 | set_io SRAM_A[0] N2 77 | set_io SRAM_A[1] K5 78 | set_io SRAM_A[2] J5 79 | set_io SRAM_A[3] M5 80 | set_io SRAM_A[4] P4 81 | set_io SRAM_A[5] N5 82 | set_io SRAM_A[6] P5 83 | set_io SRAM_A[7] P7 84 | set_io SRAM_A[8] M6 85 | set_io SRAM_A[9] P6 86 | set_io SRAM_A[10] T8 87 | set_io SRAM_A[11] T1 88 | set_io SRAM_A[12] P2 89 | set_io SRAM_A[13] R1 90 | set_io SRAM_A[14] N3 91 | set_io SRAM_A[15] P1 92 | set_io SRAM_A[16] M11 93 | set_io SRAM_A[17] P10 94 | set_io SRAM_A[18] P8 95 | 96 | set_io SRAM_D[0] T2 97 | set_io SRAM_D[1] R3 98 | set_io SRAM_D[2] T3 99 | set_io SRAM_D[3] R4 100 | set_io SRAM_D[4] R5 101 | set_io SRAM_D[5] T5 102 | set_io SRAM_D[6] R6 103 | set_io SRAM_D[7] T6 104 | set_io SRAM_D[8] N4 105 | set_io SRAM_D[9] M4 106 | set_io SRAM_D[10] L6 107 | set_io SRAM_D[11] M3 108 | set_io SRAM_D[12] L4 109 | set_io SRAM_D[13] L3 110 | set_io SRAM_D[14] K4 111 | set_io SRAM_D[15] K3 112 | 113 | set_io SRAM_nCE M7 114 | set_io SRAM_nWE T7 115 | set_io SRAM_nOE L5 116 | set_io SRAM_nLB J4 117 | set_io SRAM_nUB J3 118 | 119 | # SD Card 120 | #set_io ss D8 121 | #set_io mosi B9 122 | #set_io sclk B10 123 | #set_io miso B11 124 | 125 | 126 | # SD Card 127 | #set_io ss N9 128 | #set_io mosi P9 129 | #set_io sclk M8 130 | #set_io miso N7 131 | 132 | 133 | # SD Card 134 | set_io ss T15 135 | set_io mosi T14 136 | set_io sclk T11 137 | set_io miso R10 138 | 139 | 140 | set_io --warn-no-port SPI_FLASH_CS R12 141 | set_io --warn-no-port SPI_FLASH_SCLK R11 142 | set_io --warn-no-port SPI_FLASH_MOSI P12 143 | set_io --warn-no-port SPI_FLASH_MISO P11 144 | 145 | -------------------------------------------------------------------------------- /roms/basic.hex: -------------------------------------------------------------------------------- 1 | 3c 2 | 3d 3 | 3e 4 | fe 5 | 2d 6 | 2b 7 | c8 8 | 23 9 | 28 10 | 21 11 | 3f 12 | 52 13 | 54 14 | 4c 15 | 43 16 | 41 17 | 50 18 | 45 19 | 47 20 | 42 21 | 46 22 | f0 23 | 54 24 | ff 25 | 4f 26 | cb 27 | 53 28 | cb 29 | 54 30 | 45 31 | 50 32 | cb 33 | 54 34 | c3 35 | 48 36 | 45 37 | 4e 38 | c3 39 | 22 40 | 24 41 | ce 42 | ce 43 | cc 44 | 24 45 | 2c 46 | c5 47 | 24 48 | 26 49 | 3b 50 | 0d 51 | 2c 52 | c3 53 | c5 54 | c2 55 | 3e 56 | c7 57 | 3d 58 | c7 59 | c7 60 | c7 61 | 3d 62 | c7 63 | c7 64 | c8 65 | 52 66 | c7 67 | c7 68 | 4f 69 | 41 70 | fe 71 | 24 72 | c7 73 | 48 74 | c9 75 | 45 76 | 4e 77 | c9 78 | 4e 79 | 44 80 | c7 81 | c9 82 | c9 83 | c9 84 | c9 85 | 4e 86 | 44 87 | c9 88 | 4f 89 | 50 90 | c9 91 | 4f 92 | 55 93 | 4e 94 | 54 95 | c9 96 | 42 97 | 53 98 | c9 99 | 54 100 | 52 101 | cf 102 | 58 103 | 54 104 | cf 105 | 45 106 | 54 107 | cf 108 | 47 109 | 45 110 | 54 111 | cf 112 | 49 113 | 4e 114 | cf 115 | 4f 116 | 55 117 | 54 118 | cf 119 | c3 120 | c3 121 | 52 122 | 49 123 | 4e 124 | 54 125 | c3 126 | 4e 127 | 4c 128 | 55 129 | 4e 130 | 49 131 | 47 132 | 52 133 | 46 134 | 21 135 | 3f 136 | 24 137 | 50 138 | 44 139 | 4c 140 | 53 141 | 42 142 | 2a 143 | 45 144 | f0 145 | 41 146 | 56 147 | 45 148 | cf 149 | 45 150 | 57 151 | c2 152 | 4f 153 | cc 154 | 45 155 | 54 156 | c3 157 | 49 158 | 4e 159 | 4b 160 | c3 161 | 49 162 | 53 163 | 54 164 | ca 165 | 4f 166 | 41 167 | 44 168 | ce 169 | 4e 170 | 54 171 | 49 172 | 4c 173 | cc 174 | 45 175 | 58 176 | 54 177 | ca 178 | 46 179 | c5 180 | 4e 181 | 50 182 | 55 183 | 54 184 | cc 185 | 4f 186 | 53 187 | 55 188 | 42 189 | cb 190 | 4f 191 | 54 192 | 4f 193 | cc 194 | 45 195 | 54 196 | 55 197 | 52 198 | 4e 199 | cb 200 | 45 201 | 4d 202 | c5 203 | 55 204 | 4e 205 | f1 206 | 4f 207 | 52 208 | cb 209 | 4e 210 | 44 211 | cd 212 | 47 213 | 45 214 | 54 215 | cf 216 | 50 217 | 55 218 | 54 219 | cf 220 | 48 221 | 55 222 | 54 223 | cf 224 | 50 225 | 55 226 | 54 227 | cf 228 | 54 229 | 52 230 | cf 231 | 55 232 | 54 233 | cf 234 | c3 235 | c4 236 | cd 237 | c4 238 | 2c 239 | fe 240 | 36 241 | 3b 242 | 3c 243 | c0 244 | 3f 245 | 06 246 | dc 247 | 50 248 | 51 249 | 52 250 | 53 251 | 54 252 | 57 253 | 4a 254 | 5a 255 | 5f 256 | 62 257 | 65 258 | 68 259 | 6b 260 | 6f 261 | 2e 262 | 18 263 | ac 264 | 17 265 | 81 266 | 1c 267 | be 268 | 17 269 | 17 270 | 17 271 | a2 272 | 22 273 | 1b 274 | 17 275 | 17 276 | 17 277 | 1b 278 | 29 279 | 28 280 | b6 281 | bf 282 | b6 283 | 2a 284 | b7 285 | 58 286 | 76 287 | 77 288 | 34 289 | 34 290 | 7c 291 | 3f 292 | 4a 293 | 78 294 | 38 295 | 6d 296 | 3a 297 | 64 298 | 74 299 | 5b 300 | 3e 301 | 7b 302 | 82 303 | c1 304 | 45 305 | 22 306 | 31 307 | 40 308 | 4d 309 | 4d 310 | 42 311 | 53 312 | 15 313 | d2 314 | 15 315 | 15 316 | bd 317 | 45 318 | 45 319 | 14 320 | 0a 321 | 44 322 | 5f 323 | 4c 324 | 15 325 | 15 326 | 86 327 | 15 328 | 15 329 | 73 330 | 48 331 | 15 332 | 15 333 | 15 334 | 7a 335 | 15 336 | 15 337 | 02 338 | 15 339 | 15 340 | 29 341 | 15 342 | 15 343 | 28 344 | 15 345 | 15 346 | 66 347 | 15 348 | 15 349 | 15 350 | 5b 351 | 72 352 | 15 353 | a6 354 | 15 355 | 15 356 | 15 357 | a7 358 | 90 359 | 35 360 | e3 361 | 8f 362 | 8f 363 | 8f 364 | 34 365 | 94 366 | a0 367 | a8 368 | ad 369 | b1 370 | bd 371 | c1 372 | cd 373 | e9 374 | ea 375 | eb 376 | 78 377 | 97 378 | 99 379 | d3 380 | df 381 | ec 382 | d0 383 | 4b 384 | 8f 385 | 8f 386 | 8f 387 | 0a 388 | 8f 389 | ad 390 | ad 391 | 8f 392 | f0 393 | 9c 394 | 8f 395 | 25 396 | 8f 397 | 8f 398 | 8f 399 | b2 400 | a4 401 | 9c 402 | 8f 403 | 51 404 | 99 405 | 8f 406 | 8f 407 | ed 408 | 8f 409 | 8f 410 | 8f 411 | 8f 412 | d2 413 | 8f 414 | 8f 415 | 8f 416 | cd 417 | b3 418 | 66 419 | 8f 420 | 8f 421 | 8f 422 | 8f 423 | 81 424 | 8f 425 | 8f 426 | 8f 427 | 8f 428 | d2 429 | 8f 430 | b8 431 | 8f 432 | 05 433 | ca 434 | c7 435 | 8f 436 | 8f 437 | 8f 438 | ec 439 | 8f 440 | 8f 441 | 75 442 | 8f 443 | 8f 444 | 41 445 | 8f 446 | 8f 447 | 57 448 | 8f 449 | 8f 450 | 98 451 | d7 452 | 8f 453 | 8f 454 | e3 455 | db 456 | 8f 457 | 8f 458 | c5 459 | 90 460 | 8f 461 | 8f 462 | b6 463 | 8f 464 | 8f 465 | 8f 466 | 8f 467 | e6 468 | 8f 469 | 47 470 | 8f 471 | 8f 472 | 95 473 | ee 474 | 06 475 | 5c 476 | 0f 477 | 35 478 | 2d 479 | 2b 480 | 7c 481 | 3a 482 | fe 483 | 2a 484 | 2f 485 | 25 486 | 21 487 | 3f 488 | 26 489 | fe 490 | 29 491 | ff 492 | 3d 493 | ff 494 | 21 495 | 3f 496 | 24 497 | ff 498 | 3d 499 | 21 500 | 3f 501 | ff 502 | 27 503 | 22 504 | fe 505 | b7 506 | 9a 507 | d3 508 | ef 509 | ef 510 | 13 511 | 5e 512 | 70 513 | b3 514 | 9c 515 | 7b 516 | 7b 517 | 78 518 | 78 519 | 78 520 | 78 521 | ee 522 | 06 523 | 5c 524 | 5c 525 | e5 526 | 75 527 | 7b 528 | 7b 529 | 6f 530 | 7a 531 | c7 532 | c7 533 | c7 534 | c7 535 | c7 536 | c8 537 | c8 538 | c8 539 | c8 540 | c8 541 | c8 542 | c8 543 | c2 544 | c2 545 | c2 546 | c2 547 | c3 548 | c4 549 | cd 550 | cd 551 | c3 552 | cd 553 | cd 554 | cd 555 | c3 556 | c3 557 | 20 558 | 3e 559 | cf 560 | 84 561 | 0f 562 | a2 563 | ed 564 | a4 565 | 03 566 | 88 567 | c8 568 | b1 569 | 05 570 | c9 571 | 20 572 | f0 573 | f9 574 | 84 575 | 5e 576 | 85 577 | 52 578 | e8 579 | bd 580 | ff 581 | bf 582 | 30 583 | 24 584 | c5 585 | 52 586 | d0 587 | f6 588 | bd 589 | ee 590 | c0 591 | aa 592 | e8 593 | c8 594 | bd 595 | ff 596 | bf 597 | 30 598 | 15 599 | d1 600 | 05 601 | f0 602 | f5 603 | b1 604 | 05 605 | c9 606 | 2e 607 | f0 608 | 04 609 | a4 610 | 5e 611 | 10 612 | e7 613 | e8 614 | bd 615 | ff 616 | bf 617 | 10 618 | fa 619 | c8 620 | c9 621 | fe 622 | b0 623 | 3b 624 | 85 625 | 53 626 | bd 627 | ee 628 | c0 629 | 90 630 | 29 631 | a6 632 | 04 633 | 60 634 | a2 635 | 0e 636 | a4 637 | 03 638 | 88 639 | c8 640 | b1 641 | 05 642 | c9 643 | 20 644 | f0 645 | f9 646 | dd 647 | dd 648 | c1 649 | f0 650 | 0c 651 | 85 652 | 52 653 | e8 654 | bd 655 | dd 656 | c1 657 | 30 658 | 16 659 | c5 660 | 52 661 | d0 662 | f6 663 | bd 664 | 12 665 | c2 666 | 85 667 | 53 668 | bd 669 | f8 670 | c1 671 | c8 672 | 85 673 | 52 674 | 84 675 | 03 676 | a6 677 | 04 678 | 6c 679 | 52 680 | 00 681 | c9 682 | fe 683 | f0 684 | ca 685 | 00 686 | 20 687 | e4 688 | c4 689 | d0 690 | 04 691 | a9 692 | 29 693 | 85 694 | 12 695 | a9 696 | 0d 697 | a4 698 | 12 699 | 84 700 | 0e 701 | a0 702 | 00 703 | 84 704 | 0d 705 | 91 706 | 0d 707 | a9 708 | ff 709 | c8 710 | 91 711 | 0d 712 | c8 713 | 84 714 | 0d 715 | a9 716 | 08 717 | 8d 718 | 21 719 | 03 720 | a9 721 | 3e 722 | d8 723 | 20 724 | 0f 725 | cd 726 | a2 727 | 01 728 | 86 729 | 06 730 | ca 731 | 86 732 | 05 733 | 86 734 | 01 735 | 86 736 | 02 737 | a9 738 | d8 739 | 8d 740 | 02 741 | 02 742 | a9 743 | c9 744 | 8d 745 | 03 746 | 02 747 | a9 748 | e7 749 | 85 750 | 10 751 | a9 752 | c9 753 | 85 754 | 11 755 | a2 756 | ff 757 | 9a 758 | a9 759 | 00 760 | 85 761 | 04 762 | 85 763 | 03 764 | 85 765 | 15 766 | 85 767 | 13 768 | 85 769 | 14 770 | a2 771 | 34 772 | 9d 773 | 8c 774 | 03 775 | ca 776 | d0 777 | fa 778 | 20 779 | 34 780 | c4 781 | b0 782 | 21 783 | 20 784 | 6a 785 | c4 786 | 90 787 | 03 788 | 4c 789 | c9 790 | cd 791 | a2 792 | 7d 793 | 4c 794 | 33 795 | c2 796 | 20 797 | 34 798 | c4 799 | b0 800 | 0f 801 | a2 802 | 7f 803 | 4c 804 | 33 805 | c2 806 | 20 807 | 34 808 | c4 809 | b0 810 | 05 811 | a2 812 | 10 813 | 4c 814 | 7b 815 | c2 816 | a2 817 | 14 818 | 4c 819 | 7b 820 | c2 821 | 38 822 | 66 823 | 0f 824 | 20 825 | 72 826 | c3 827 | a2 828 | 2e 829 | 4c 830 | 33 831 | c2 832 | 20 833 | 8b 834 | c7 835 | 20 836 | cb 837 | c3 838 | a5 839 | 0f 840 | 30 841 | 21 842 | a2 843 | 00 844 | 86 845 | 27 846 | a0 847 | 00 848 | b9 849 | 52 850 | 00 851 | 48 852 | 29 853 | 0f 854 | 95 855 | 45 856 | 68 857 | 4a 858 | 4a 859 | 4a 860 | 4a 861 | e8 862 | 95 863 | 45 864 | e8 865 | c8 866 | c0 867 | 04 868 | 90 869 | ea 870 | 20 871 | c8 872 | c5 873 | 30 874 | cd 875 | 20 876 | 89 877 | c5 878 | 30 879 | c8 880 | 20 881 | 54 882 | cd 883 | a2 884 | 18 885 | 4c 886 | 7b 887 | c2 888 | 20 889 | 4c 890 | ca 891 | b1 892 | 05 893 | c8 894 | c9 895 | 0d 896 | f0 897 | 1c 898 | 84 899 | 03 900 | c9 901 | 22 902 | d0 903 | f0 904 | b1 905 | 05 906 | c9 907 | 22 908 | d0 909 | e5 910 | c8 911 | b0 912 | e7 913 | 20 914 | 8b 915 | c7 916 | 20 917 | cb 918 | c3 919 | 05 920 | 54 921 | 05 922 | 53 923 | f0 924 | 0e 925 | a0 926 | 00 927 | b1 928 | 52 929 | c9 930 | 0d 931 | f0 932 | 93 933 | 20 934 | 4c 935 | ca 936 | c8 937 | d0 938 | f4 939 | a5 940 | 52 941 | 20 942 | 4c 943 | ca 944 | 4c 945 | 37 946 | c3 947 | 20 948 | c8 949 | c3 950 | 20 951 | e4 952 | c4 953 | ad 954 | 22 955 | 03 956 | ae 957 | 39 958 | 03 959 | ac 960 | 3a 961 | 03 962 | 20 963 | a5 964 | c2 965 | d8 966 | 4c 967 | 5b 968 | c5 969 | 20 970 | bc 971 | c8 972 | a0 973 | 52 974 | ca 975 | 86 976 | 04 977 | b5 978 | 16 979 | 99 980 | 00 981 | 00 982 | b5 983 | 25 984 | 99 985 | 01 986 | 00 987 | b5 988 | 34 989 | 99 990 | 02 991 | 00 992 | b5 993 | 43 994 | 99 995 | 03 996 | 00 997 | 60 998 | 20 999 | e1 1000 | c4 1001 | 20 1002 | 2f 1003 | ca 1004 | 4c 1005 | 5b 1006 | c5 1007 | 20 1008 | bc 1009 | c8 1010 | 20 1011 | 93 1012 | ce 1013 | b5 1014 | 26 1015 | c8 1016 | 91 1017 | 52 1018 | c8 1019 | b5 1020 | 35 1021 | 91 1022 | 52 1023 | c8 1024 | b5 1025 | 44 1026 | 91 1027 | 52 1028 | 4c 1029 | 5b 1030 | c5 1031 | 20 1032 | bc 1033 | c8 1034 | 20 1035 | 93 1036 | ce 1037 | 4c 1038 | 5b 1039 | c5 1040 | a2 1041 | 00 1042 | b1 1043 | 05 1044 | 9d 1045 | 00 1046 | 01 1047 | 84 1048 | 03 1049 | c8 1050 | e8 1051 | c9 1052 | 0d 1053 | d0 1054 | f3 1055 | 20 1056 | f7 1057 | ff 1058 | 4c 1059 | 58 1060 | c5 1061 | ad 1062 | 00 1063 | d0 1064 | c9 1065 | aa 1066 | d0 1067 | 38 1068 | 4a 1069 | cd 1070 | 01 1071 | d0 1072 | d0 1073 | 32 1074 | a4 1075 | 5e 1076 | 60 1077 | a4 1078 | 03 1079 | 10 1080 | 03 1081 | c8 1082 | 84 1083 | 03 1084 | b1 1085 | 05 1086 | c9 1087 | 20 1088 | f0 1089 | f7 1090 | c9 1091 | 5b 1092 | b0 1093 | 1e 1094 | e9 1095 | 3f 1096 | 90 1097 | 1b 1098 | a6 1099 | 04 1100 | 95 1101 | 16 1102 | c8 1103 | b1 1104 | 05 1105 | c9 1106 | 2e 1107 | f0 1108 | 0f 1109 | c9 1110 | 5b 1111 | b0 1112 | 04 1113 | c9 1114 | 40 1115 | b0 1116 | 07 1117 | e8 1118 | 86 1119 | 04 1120 | 38 1121 | 84 1122 | 03 1123 | 60 1124 | 18 1125 | 60 1126 | 20 1127 | 34 1128 | c4 1129 | b0 1130 | bb 1131 | a2 1132 | 00 1133 | a4 1134 | 03 1135 | 86 1136 | 52 1137 | 86 1138 | 53 1139 | 86 1140 | 54 1141 | 86 1142 | 55 1143 | 88 1144 | c8 1145 | b1 1146 | 05 1147 | 38 1148 | e9 1149 | 30 1150 | 30 1151 | 54 1152 | c9 1153 | 0a 1154 | b0 1155 | 50 1156 | a6 1157 | 53 1158 | 48 1159 | a5 1160 | 55 1161 | 48 1162 | a5 1163 | 54 1164 | 48 1165 | a5 1166 | 52 1167 | 0a 1168 | 26 1169 | 53 1170 | 26 1171 | 54 1172 | 26 1173 | 55 1174 | 30 1175 | d4 1176 | 0a 1177 | 26 1178 | 53 1179 | 26 1180 | 54 1181 | 26 1182 | 55 1183 | 30 1184 | cb 1185 | 65 1186 | 52 1187 | 85 1188 | 52 1189 | 8a 1190 | 65 1191 | 53 1192 | 85 1193 | 53 1194 | 68 1195 | 65 1196 | 54 1197 | 85 1198 | 54 1199 | 68 1200 | 65 1201 | 55 1202 | 06 1203 | 52 1204 | 26 1205 | 53 1206 | 26 1207 | 54 1208 | 2a 1209 | 30 1210 | b1 1211 | 85 1212 | 55 1213 | 68 1214 | 65 1215 | 52 1216 | 85 1217 | 52 1218 | 90 1219 | 0c 1220 | e6 1221 | 53 1222 | d0 1223 | 08 1224 | e6 1225 | 54 1226 | d0 1227 | 04 1228 | e6 1229 | 55 1230 | 30 1231 | 9c 1232 | a2 1233 | ff 1234 | d0 1235 | a4 1236 | 8a 1237 | f0 1238 | 8d 1239 | 38 1240 | 84 1241 | 03 1242 | a0 1243 | 52 1244 | 4c 1245 | 9f 1246 | c9 1247 | 20 1248 | 79 1249 | c2 1250 | 20 1251 | 8b 1252 | c7 1253 | a4 1254 | 03 1255 | 88 1256 | c8 1257 | b1 1258 | 05 1259 | c9 1260 | 20 1261 | f0 1262 | f9 1263 | c9 1264 | 3b 1265 | f0 1266 | 04 1267 | c9 1268 | 0d 1269 | d0 1270 | 66 1271 | 18 1272 | 98 1273 | 65 1274 | 05 1275 | 85 1276 | 05 1277 | 90 1278 | 02 1279 | e6 1280 | 06 1281 | a0 1282 | 01 1283 | 84 1284 | 03 1285 | ad 1286 | 01 1287 | b0 1288 | 29 1289 | 20 1290 | f0 1291 | 3c 1292 | 60 1293 | 20 1294 | e4 1295 | c4 1296 | 88 1297 | b1 1298 | 05 1299 | c9 1300 | 3b 1301 | f0 1302 | f5 1303 | a5 1304 | 06 1305 | c9 1306 | 01 1307 | f0 1308 | 7a 1309 | c8 1310 | b1 1311 | 05 1312 | 30 1313 | 3b 1314 | 85 1315 | 02 1316 | c8 1317 | b1 1318 | 05 1319 | 85 1320 | 01 1321 | c8 1322 | b1 1323 | 05 1324 | 88 1325 | c9 1326 | 61 1327 | 90 1328 | c7 1329 | e9 1330 | 61 1331 | c9 1332 | 1b 1333 | b0 1334 | c0 1335 | c8 1336 | 0a 1337 | aa 1338 | 20 1339 | f6 1340 | c4 1341 | a5 1342 | 05 1343 | 9d 1344 | 8d 1345 | 03 1346 | a5 1347 | 06 1348 | 9d 1349 | 8e 1350 | 03 1351 | 60 1352 | 4c 1353 | cf 1354 | c2 1355 | 88 1356 | 20 1357 | f6 1358 | c4 1359 | d0 1360 | 0b 1361 | 20 1362 | 24 1363 | c4 1364 | 90 1365 | 03 1366 | 6c 1367 | 02 1368 | d0 1369 | 20 1370 | e4 1371 | c4 1372 | a0 1373 | 00 1374 | b1 1375 | 05 1376 | c9 1377 | 3b 1378 | d0 1379 | 1a 1380 | 4c 1381 | 1b 1382 | c3 1383 | 20 1384 | 0c 1385 | c7 1386 | ca 1387 | 86 1388 | 04 1389 | b5 1390 | 16 1391 | f0 1392 | 05 1393 | a2 1394 | 20 1395 | 4c 1396 | 33 1397 | c2 1398 | a9 1399 | 0d 1400 | 88 1401 | c8 1402 | d1 1403 | 05 1404 | d0 1405 | fb 1406 | a5 1407 | 06 1408 | c9 1409 | 01 1410 | f0 1411 | c4 1412 | 20 1413 | 1c 1414 | c5 1415 | 4c 1416 | 1b 1417 | c3 1418 | a5 1419 | 43 1420 | 85 1421 | 27 1422 | 10 1423 | 04 1424 | e8 1425 | 20 1426 | c4 1427 | c8 1428 | a2 1429 | 09 1430 | a9 1431 | 00 1432 | 95 1433 | 45 1434 | 38 1435 | a5 1436 | 16 1437 | fd 1438 | 08 1439 | c6 1440 | 48 1441 | a5 1442 | 25 1443 | fd 1444 | 10 1445 | c6 1446 | 48 1447 | a5 1448 | 34 1449 | fd 1450 | 1a 1451 | c6 1452 | a8 1453 | a5 1454 | 43 1455 | fd 1456 | 24 1457 | c6 1458 | 90 1459 | 0e 1460 | 85 1461 | 43 1462 | 84 1463 | 34 1464 | 68 1465 | 85 1466 | 25 1467 | 68 1468 | 85 1469 | 16 1470 | f6 1471 | 45 1472 | d0 1473 | d8 1474 | 68 1475 | 68 1476 | ca 1477 | 10 1478 | cf 1479 | a2 1480 | 0a 1481 | ca 1482 | f0 1483 | 04 1484 | b5 1485 | 45 1486 | f0 1487 | f9 1488 | 86 1489 | 52 1490 | 24 1491 | 27 1492 | 10 1493 | 02 1494 | e6 1495 | 52 1496 | 38 1497 | ad 1498 | 21 1499 | 03 1500 | f0 1501 | 02 1502 | e9 1503 | 01 1504 | e5 1505 | 52 1506 | f0 1507 | 0b 1508 | 90 1509 | 09 1510 | a8 1511 | a9 1512 | 20 1513 | 20 1514 | 4c 1515 | ca 1516 | 88 1517 | d0 1518 | f8 1519 | 24 1520 | 27 1521 | 10 1522 | 05 1523 | a9 1524 | 2d 1525 | 20 1526 | 4c 1527 | ca 1528 | b5 1529 | 45 1530 | c9 1531 | 0a 1532 | 90 1533 | 02 1534 | 69 1535 | 06 1536 | 69 1537 | 30 1538 | 20 1539 | 4c 1540 | ca 1541 | ca 1542 | 10 1543 | f0 1544 | 60 1545 | 01 1546 | 0a 1547 | 64 1548 | e8 1549 | 10 1550 | a0 1551 | 40 1552 | 80 1553 | 00 1554 | 00 1555 | 00 1556 | 03 1557 | 27 1558 | 86 1559 | 42 1560 | 96 1561 | e1 1562 | ca 1563 | 00 1564 | 00 1565 | 00 1566 | 00 1567 | 00 1568 | 01 1569 | 0f 1570 | 98 1571 | f5 1572 | 9a 1573 | 00 1574 | 00 1575 | 00 1576 | 00 1577 | 00 1578 | 00 1579 | 00 1580 | 00 1581 | 05 1582 | 3b 1583 | c6 1584 | 04 1585 | a6 1586 | 04 1587 | a0 1588 | 00 1589 | 84 1590 | 58 1591 | a5 1592 | 12 1593 | 85 1594 | 59 1595 | 88 1596 | a9 1597 | 0d 1598 | c8 1599 | d1 1600 | 58 1601 | d0 1602 | fb 1603 | 20 1604 | a1 1605 | ce 1606 | b1 1607 | 58 1608 | c8 1609 | d5 1610 | 25 1611 | 90 1612 | ef 1613 | d0 1614 | 12 1615 | b1 1616 | 58 1617 | d5 1618 | 16 1619 | 90 1620 | e7 1621 | d0 1622 | 0a 1623 | 85 1624 | 01 1625 | b5 1626 | 25 1627 | 85 1628 | 02 1629 | 20 1630 | a1 1631 | ce 1632 | 18 1633 | 60 1634 | 20 1635 | bc 1636 | c8 1637 | b5 1638 | 42 1639 | 55 1640 | 41 1641 | 85 1642 | 52 1643 | 20 1644 | 05 1645 | c9 1646 | a0 1647 | 53 1648 | 20 1649 | cd 1650 | c3 1651 | b5 1652 | 42 1653 | 95 1654 | 43 1655 | 20 1656 | 07 1657 | c9 1658 | a0 1659 | 57 1660 | 20 1661 | cd 1662 | c3 1663 | a0 1664 | 00 1665 | 84 1666 | 5b 1667 | 84 1668 | 5c 1669 | 84 1670 | 5d 1671 | 84 1672 | 5e 1673 | 60 1674 | 20 1675 | 61 1676 | c6 1677 | a5 1678 | 54 1679 | 20 1680 | 05 1681 | c7 1682 | f0 1683 | ec 1684 | a0 1685 | 20 1686 | 88 1687 | f0 1688 | 41 1689 | 06 1690 | 57 1691 | 26 1692 | 58 1693 | 26 1694 | 59 1695 | 26 1696 | 5a 1697 | 10 1698 | f3 1699 | 26 1700 | 57 1701 | 26 1702 | 58 1703 | 26 1704 | 59 1705 | 26 1706 | 5a 1707 | 26 1708 | 5b 1709 | 26 1710 | 5c 1711 | 26 1712 | 5d 1713 | 26 1714 | 5e 1715 | 38 1716 | a5 1717 | 5b 1718 | e5 1719 | 53 1720 | 48 1721 | a5 1722 | 5c 1723 | e5 1724 | 54 1725 | 48 1726 | a5 1727 | 5d 1728 | e5 1729 | 55 1730 | aa 1731 | a5 1732 | 5e 1733 | e5 1734 | 56 1735 | 90 1736 | 0c 1737 | 85 1738 | 5e 1739 | 86 1740 | 5d 1741 | 68 1742 | 85 1743 | 5c 1744 | 68 1745 | 85 1746 | 5b 1747 | b0 1748 | 02 1749 | 68 1750 | 68 1751 | 88 1752 | d0 1753 | c9 1754 | 60 1755 | 20 1756 | 8b 1757 | c7 1758 | ca 1759 | 86 1760 | 04 1761 | b5 1762 | 42 1763 | 49 1764 | 80 1765 | 85 1766 | 52 1767 | b5 1768 | 43 1769 | 49 1770 | 80 1771 | 85 1772 | 54 1773 | a0 1774 | 00 1775 | 38 1776 | b5 1777 | 15 1778 | f5 1779 | 16 1780 | 85 1781 | 53 1782 | b5 1783 | 24 1784 | f5 1785 | 25 1786 | 85 1787 | 55 1788 | b5 1789 | 33 1790 | f5 1791 | 34 1792 | 85 1793 | 56 1794 | a5 1795 | 52 1796 | e5 1797 | 54 1798 | 05 1799 | 53 1800 | 05 1801 | 55 1802 | 05 1803 | 56 1804 | 60 1805 | 20 1806 | 2c 1807 | c7 1808 | a2 1809 | 43 1810 | 4c 1811 | 33 1812 | c2 1813 | 20 1814 | 2c 1815 | c7 1816 | b5 1817 | 14 1818 | 35 1819 | 15 1820 | 95 1821 | 14 1822 | c6 1823 | 04 1824 | 4c 1825 | 0f 1826 | c7 1827 | 20 1828 | 2c 1829 | c7 1830 | b5 1831 | 14 1832 | 15 1833 | 15 1834 | 4c 1835 | 1b 1836 | c7 1837 | a2 1838 | 46 1839 | 4c 1840 | 33 1841 | c2 1842 | 20 1843 | 8b 1844 | c7 1845 | 20 1846 | ae 1847 | ce 1848 | b5 1849 | 15 1850 | 85 1851 | 54 1852 | b5 1853 | 24 1854 | 85 1855 | 55 1856 | a0 1857 | ff 1858 | c8 1859 | b1 1860 | 54 1861 | d1 1862 | 52 1863 | d0 1864 | 07 1865 | 49 1866 | 0d 1867 | d0 1868 | f5 1869 | a8 1870 | f0 1871 | 11 1872 | a0 1873 | 00 1874 | f0 1875 | 0e 1876 | 20 1877 | 8b 1878 | c7 1879 | a2 1880 | 00 1881 | 4c 1882 | 33 1883 | c2 1884 | 20 1885 | da 1886 | c6 1887 | d0 1888 | 01 1889 | c8 1890 | 94 1891 | 15 1892 | 60 1893 | 20 1894 | da 1895 | c6 1896 | f0 1897 | f7 1898 | 90 1899 | f5 1900 | b0 1901 | f4 1902 | 20 1903 | da 1904 | c6 1905 | d0 1906 | ee 1907 | f0 1908 | ed 1909 | 20 1910 | da 1911 | c6 1912 | 90 1913 | e7 1914 | b0 1915 | e6 1916 | 20 1917 | da 1918 | c6 1919 | b0 1920 | e0 1921 | 90 1922 | df 1923 | 20 1924 | da 1925 | c6 1926 | f0 1927 | da 1928 | b0 1929 | d7 1930 | 90 1931 | d6 1932 | 20 1933 | 0b 1934 | c8 1935 | 4c 1936 | 95 1937 | c7 1938 | 95 1939 | 41 1940 | c6 1941 | 04 1942 | a2 1943 | 00 1944 | 4c 1945 | 7b 1946 | c2 1947 | 20 1948 | 0b 1949 | c8 1950 | 18 1951 | b5 1952 | 14 1953 | 75 1954 | 15 1955 | 95 1956 | 14 1957 | b5 1958 | 23 1959 | 75 1960 | 24 1961 | 95 1962 | 23 1963 | b5 1964 | 32 1965 | 75 1966 | 33 1967 | 95 1968 | 32 1969 | b5 1970 | 41 1971 | 75 1972 | 42 1973 | 4c 1974 | 91 1975 | c7 1976 | 20 1977 | 0b 1978 | c8 1979 | b5 1980 | 14 1981 | f5 1982 | 15 1983 | 95 1984 | 14 1985 | b5 1986 | 23 1987 | f5 1988 | 24 1989 | 95 1990 | 23 1991 | b5 1992 | 32 1993 | f5 1994 | 33 1995 | 95 1996 | 32 1997 | b5 1998 | 41 1999 | f5 2000 | 42 2001 | 4c 2002 | 91 2003 | c7 2004 | 20 2005 | 0b 2006 | c8 2007 | b5 2008 | 14 2009 | 15 2010 | 15 2011 | 95 2012 | 14 2013 | b5 2014 | 23 2015 | 15 2016 | 24 2017 | 95 2018 | 23 2019 | b5 2020 | 32 2021 | 15 2022 | 33 2023 | 95 2024 | 32 2025 | b5 2026 | 41 2027 | 15 2028 | 42 2029 | 4c 2030 | 91 2031 | c7 2032 | 20 2033 | 0b 2034 | c8 2035 | b5 2036 | 14 2037 | 55 2038 | 15 2039 | 95 2040 | 14 2041 | b5 2042 | 23 2043 | 55 2044 | 24 2045 | 95 2046 | 23 2047 | b5 2048 | 32 2049 | 55 2050 | 33 2051 | 95 2052 | 32 2053 | b5 2054 | 41 2055 | 55 2056 | 42 2057 | 4c 2058 | 91 2059 | c7 2060 | 20 2061 | bc 2062 | c8 2063 | a2 2064 | 05 2065 | 4c 2066 | 7b 2067 | c2 2068 | 20 2069 | 61 2070 | c6 2071 | 46 2072 | 5a 2073 | 66 2074 | 59 2075 | 66 2076 | 58 2077 | 66 2078 | 57 2079 | 90 2080 | 19 2081 | 18 2082 | 98 2083 | 65 2084 | 53 2085 | a8 2086 | a5 2087 | 5c 2088 | 65 2089 | 54 2090 | 85 2091 | 5c 2092 | a5 2093 | 5d 2094 | 65 2095 | 55 2096 | 85 2097 | 5d 2098 | a5 2099 | 5e 2100 | 65 2101 | 56 2102 | 29 2103 | 7f 2104 | 85 2105 | 5e 2106 | 06 2107 | 53 2108 | 26 2109 | 54 2110 | 26 2111 | 55 2112 | 26 2113 | 56 2114 | a5 2115 | 57 2116 | 05 2117 | 58 2118 | 05 2119 | 59 2120 | 05 2121 | 5a 2122 | d0 2123 | cb 2124 | 84 2125 | 5b 2126 | a5 2127 | 52 2128 | 08 2129 | a0 2130 | 5b 2131 | 20 2132 | 9f 2133 | c9 2134 | 28 2135 | 10 2136 | 03 2137 | 20 2138 | c4 2139 | c8 2140 | 4c 2141 | 0e 2142 | c8 2143 | 20 2144 | 89 2145 | c6 2146 | 26 2147 | 57 2148 | 26 2149 | 58 2150 | 26 2151 | 59 2152 | 26 2153 | 5a 2154 | 24 2155 | 52 2156 | 08 2157 | a0 2158 | 57 2159 | d0 2160 | e2 2161 | 20 2162 | 89 2163 | c6 2164 | a6 2165 | 04 2166 | b5 2167 | 44 2168 | 08 2169 | 4c 2170 | 50 2171 | c8 2172 | 20 2173 | bc 2174 | c8 2175 | ca 2176 | 86 2177 | 04 2178 | b5 2179 | 15 2180 | 35 2181 | 16 2182 | 95 2183 | 15 2184 | b5 2185 | 24 2186 | 35 2187 | 25 2188 | 95 2189 | 24 2190 | b5 2191 | 33 2192 | 35 2193 | 34 2194 | 95 2195 | 33 2196 | b5 2197 | 42 2198 | 35 2199 | 43 2200 | 95 2201 | 42 2202 | 4c 2203 | 0e 2204 | c8 2205 | 20 2206 | a2 2207 | c8 2208 | 4c 2209 | 0e 2210 | c8 2211 | 20 2212 | bc 2213 | c8 2214 | 18 2215 | b5 2216 | 15 2217 | 75 2218 | 14 2219 | a8 2220 | b5 2221 | 24 2222 | 75 2223 | 23 2224 | ca 2225 | 4c 2226 | 53 2227 | c9 2228 | 20 2229 | a2 2230 | c8 2231 | 20 2232 | 62 2233 | c9 2234 | 4c 2235 | 0e 2236 | c8 2237 | a2 2238 | 04 2239 | 4c 2240 | 33 2241 | c2 2242 | 20 2243 | dc 2244 | c8 2245 | 38 2246 | a9 2247 | 00 2248 | a8 2249 | f5 2250 | 15 2251 | 95 2252 | 15 2253 | 98 2254 | f5 2255 | 24 2256 | 95 2257 | 24 2258 | 98 2259 | f5 2260 | 33 2261 | 95 2262 | 33 2263 | 98 2264 | f5 2265 | 42 2266 | 95 2267 | 42 2268 | 60 2269 | 20 2270 | 34 2271 | c4 2272 | 90 2273 | 17 2274 | b4 2275 | 15 2276 | b9 2277 | 21 2278 | 03 2279 | 95 2280 | 15 2281 | b9 2282 | 57 2283 | 03 2284 | 95 2285 | 33 2286 | b9 2287 | 3c 2288 | 03 2289 | 95 2290 | 24 2291 | b9 2292 | 72 2293 | 03 2294 | 95 2295 | 42 2296 | 60 2297 | 20 2298 | 6a 2299 | c4 2300 | b0 2301 | fa 2302 | a2 2303 | 07 2304 | 4c 2305 | 33 2306 | c2 2307 | 20 2308 | bc 2309 | c8 2310 | b5 2311 | 42 2312 | 30 2313 | bb 2314 | 60 2315 | a2 2316 | 00 2317 | 86 2318 | 52 2319 | 86 2320 | 53 2321 | 86 2322 | 54 2323 | 86 2324 | 55 2325 | 88 2326 | c8 2327 | b1 2328 | 05 2329 | c9 2330 | 30 2331 | 90 2332 | 22 2333 | c9 2334 | 3a 2335 | 90 2336 | 0a 2337 | e9 2338 | 37 2339 | c9 2340 | 0a 2341 | 90 2342 | 18 2343 | c9 2344 | 10 2345 | b0 2346 | 14 2347 | 0a 2348 | 0a 2349 | 0a 2350 | 0a 2351 | a2 2352 | 03 2353 | 0a 2354 | 26 2355 | 52 2356 | 26 2357 | 53 2358 | 26 2359 | 54 2360 | 26 2361 | 55 2362 | ca 2363 | 10 2364 | f4 2365 | 30 2366 | d7 2367 | 8a 2368 | 10 2369 | 18 2370 | 4c 2371 | d6 2372 | c4 2373 | 20 2374 | 0c 2375 | c7 2376 | a2 2377 | 0c 2378 | 4c 2379 | 7b 2380 | c2 2381 | 20 2382 | bc 2383 | c8 2384 | b4 2385 | 15 2386 | b5 2387 | 24 2388 | 85 2389 | 53 2390 | 84 2391 | 52 2392 | ca 2393 | a0 2394 | 00 2395 | b1 2396 | 52 2397 | 4c 2398 | 7c 2399 | c9 2400 | 20 2401 | 4c 2402 | c9 2403 | a0 2404 | 01 2405 | b1 2406 | 52 2407 | 95 2408 | 24 2409 | c8 2410 | b1 2411 | 52 2412 | 95 2413 | 33 2414 | c8 2415 | b1 2416 | 52 2417 | 95 2418 | 42 2419 | 60 2420 | a0 2421 | 0d 2422 | 20 2423 | a1 2424 | c9 2425 | f0 2426 | 07 2427 | a5 2428 | 07 2429 | 20 2430 | b3 2431 | c9 2432 | 95 2433 | 24 2434 | 95 2435 | 33 2436 | 95 2437 | 42 2438 | 60 2439 | a0 2440 | 20 2441 | a5 2442 | 0a 2443 | 4a 2444 | 4a 2445 | 4a 2446 | 45 2447 | 0c 2448 | 6a 2449 | 26 2450 | 08 2451 | 26 2452 | 09 2453 | 26 2454 | 0a 2455 | 26 2456 | 0b 2457 | 26 2458 | 0c 2459 | 88 2460 | d0 2461 | eb 2462 | a0 2463 | 08 2464 | a6 2465 | 04 2466 | b9 2467 | 01 2468 | 00 2469 | 95 2470 | 25 2471 | b9 2472 | 02 2473 | 00 2474 | 95 2475 | 34 2476 | b9 2477 | 03 2478 | 00 2479 | 95 2480 | 43 2481 | b9 2482 | 00 2483 | 00 2484 | 95 2485 | 16 2486 | e8 2487 | 86 2488 | 04 2489 | a4 2490 | 03 2491 | a9 2492 | 00 2493 | 60 2494 | 20 2495 | bc 2496 | c8 2497 | 20 2498 | cb 2499 | c3 2500 | a0 2501 | 00 2502 | a9 2503 | 0d 2504 | d1 2505 | 52 2506 | f0 2507 | 03 2508 | c8 2509 | d0 2510 | f9 2511 | 98 2512 | 4c 2513 | 7c 2514 | c9 2515 | 20 2516 | b1 2517 | ce 2518 | 4c 2519 | 58 2520 | c9 2521 | 68 2522 | 68 2523 | 85 2524 | 00 2525 | a5 2526 | 10 2527 | 85 2528 | 05 2529 | a5 2530 | 11 2531 | 85 2532 | 06 2533 | 4c 2534 | f2 2535 | c2 2536 | 40 2537 | 3d 2538 | 31 2539 | 3b 2540 | 50 2541 | 2e 2542 | 24 2543 | 36 2544 | 24 2545 | 37 2546 | 27 2547 | 22 2548 | 45 2549 | 52 2550 | 52 2551 | 4f 2552 | 52 2553 | 20 2554 | 22 2555 | 3f 2556 | 30 2557 | 3b 2558 | 40 2559 | 3d 2560 | 38 2561 | 3b 2562 | 49 2563 | 46 2564 | 3f 2565 | 31 2566 | 7c 2567 | 3f 2568 | 32 2569 | 50 2570 | 2e 2571 | 22 2572 | 20 2573 | 4c 2574 | 49 2575 | 4e 2576 | 45 2577 | 22 2578 | 21 2579 | 31 2580 | 26 2581 | 20 2582 | 23 2583 | 46 2584 | 46 2585 | 46 2586 | 46 2587 | 0d 2588 | 00 2589 | 00 2590 | 50 2591 | 2e 2592 | 27 2593 | 3b 2594 | 45 2595 | 2e 2596 | 0d 2597 | 20 2598 | 24 2599 | c4 2600 | 90 2601 | f2 2602 | 6c 2603 | 04 2604 | d0 2605 | 20 2606 | 8b 2607 | c7 2608 | a6 2609 | 04 2610 | ca 2611 | ca 2612 | 86 2613 | 04 2614 | b4 2615 | 16 2616 | b5 2617 | 17 2618 | 99 2619 | 21 2620 | 03 2621 | b5 2622 | 26 2623 | 99 2624 | 3c 2625 | 03 2626 | b5 2627 | 35 2628 | 99 2629 | 57 2630 | 03 2631 | b5 2632 | 44 2633 | 99 2634 | 72 2635 | 03 2636 | 60 2637 | e6 2638 | 07 2639 | 6c 2640 | 08 2641 | 02 2642 | a9 2643 | 00 2644 | 20 2645 | 7c 2646 | c9 2647 | a9 2648 | ff 2649 | 20 2650 | 7c 2651 | c9 2652 | 85 2653 | 04 2654 | a0 2655 | 7f 2656 | 84 2657 | 26 2658 | 20 2659 | 65 2660 | c4 2661 | 90 2662 | 52 2663 | 20 2664 | 31 2665 | c2 2666 | b0 2667 | 58 2668 | 20 2669 | 65 2670 | c4 2671 | a2 2672 | 01 2673 | 86 2674 | 04 2675 | 20 2676 | e4 2677 | c4 2678 | 20 2679 | 2e 2680 | c6 2681 | 90 2682 | 30 2683 | 88 2684 | b0 2685 | 21 2686 | a9 2687 | 05 2688 | 8d 2689 | 21 2690 | 03 2691 | 20 2692 | 89 2693 | c5 2694 | a9 2695 | 08 2696 | 8d 2697 | 21 2698 | 03 2699 | a4 2700 | 03 2701 | b1 2702 | 58 2703 | c9 2704 | 0d 2705 | f0 2706 | 06 2707 | 20 2708 | 4c 2709 | ca 2710 | c8 2711 | d0 2712 | f4 2713 | 20 2714 | 54 2715 | cd 2716 | 20 2717 | a1 2718 | ce 2719 | b1 2720 | 58 2721 | 85 2722 | 25 2723 | c8 2724 | b1 2725 | 58 2726 | 85 2727 | 16 2728 | c8 2729 | 84 2730 | 03 2731 | a5 2732 | 16 2733 | 18 2734 | e5 2735 | 17 2736 | a5 2737 | 25 2738 | e5 2739 | 26 2740 | 90 2741 | c8 2742 | 4c 2743 | cf 2744 | c2 2745 | 20 2746 | 31 2747 | c2 2748 | e6 2749 | 04 2750 | 20 2751 | 65 2752 | c4 2753 | 4c 2754 | 6e 2755 | ca 2756 | a5 2757 | 16 2758 | a4 2759 | 25 2760 | 85 2761 | 17 2762 | 84 2763 | 26 2764 | b0 2765 | a1 2766 | 20 2767 | 34 2768 | c4 2769 | a4 2770 | 15 2771 | f0 2772 | 10 2773 | 90 2774 | 0f 2775 | c6 2776 | 04 2777 | b5 2778 | 15 2779 | d9 2780 | 3f 2781 | 02 2782 | f0 2783 | 06 2784 | 88 2785 | 84 2786 | 15 2787 | d0 2788 | f6 2789 | 00 2790 | be 2791 | 3f 2792 | 02 2793 | 18 2794 | bd 2795 | 21 2796 | 03 2797 | 79 2798 | 4a 2799 | 02 2800 | 9d 2801 | 21 2802 | 03 2803 | 85 2804 | 52 2805 | bd 2806 | 3c 2807 | 03 2808 | 79 2809 | 55 2810 | 02 2811 | 9d 2812 | 3c 2813 | 03 2814 | 85 2815 | 53 2816 | bd 2817 | 57 2818 | 03 2819 | 79 2820 | 60 2821 | 02 2822 | 9d 2823 | 57 2824 | 03 2825 | 85 2826 | 54 2827 | bd 2828 | 72 2829 | 03 2830 | 79 2831 | 6b 2832 | 02 2833 | 9d 2834 | 72 2835 | 03 2836 | aa 2837 | a5 2838 | 52 2839 | 38 2840 | f9 2841 | 76 2842 | 02 2843 | 85 2844 | 52 2845 | a5 2846 | 53 2847 | f9 2848 | 81 2849 | 02 2850 | 85 2851 | 53 2852 | a5 2853 | 54 2854 | f9 2855 | 8c 2856 | 02 2857 | 85 2858 | 54 2859 | 8a 2860 | f9 2861 | 97 2862 | 02 2863 | 05 2864 | 52 2865 | 05 2866 | 53 2867 | 05 2868 | 54 2869 | f0 2870 | 0f 2871 | 8a 2872 | 59 2873 | 6b 2874 | 02 2875 | 59 2876 | 97 2877 | 02 2878 | 10 2879 | 04 2880 | b0 2881 | 04 2882 | 90 2883 | 0f 2884 | b0 2885 | 0d 2886 | b9 2887 | a2 2888 | 02 2889 | 85 2890 | 05 2891 | b9 2892 | ad 2893 | 02 2894 | 85 2895 | 06 2896 | 4c 2897 | ff 2898 | cb 2899 | c6 2900 | 15 2901 | 4c 2902 | 58 2903 | c5 2904 | 20 2905 | 34 2906 | c4 2907 | 90 2908 | 11 2909 | 20 2910 | 79 2911 | c2 2912 | 20 2913 | 2c 2914 | ca 2915 | 98 2916 | a4 2917 | 15 2918 | c0 2919 | 0b 2920 | b0 2921 | 04 2922 | 99 2923 | 40 2924 | 02 2925 | a9 2926 | 00 2927 | 99 2928 | 6c 2929 | 02 2930 | 99 2931 | 61 2932 | 02 2933 | 99 2934 | 56 2935 | 02 2936 | a9 2937 | 01 2938 | 99 2939 | 4b 2940 | 02 2941 | a2 2942 | 16 2943 | 4c 2944 | 33 2945 | c2 2946 | 20 2947 | 8b 2948 | c7 2949 | a4 2950 | 15 2951 | ca 2952 | 86 2953 | 04 2954 | b5 2955 | 16 2956 | 99 2957 | 77 2958 | 02 2959 | b5 2960 | 25 2961 | 99 2962 | 82 2963 | 02 2964 | b5 2965 | 34 2966 | 99 2967 | 8d 2968 | 02 2969 | b5 2970 | 43 2971 | 99 2972 | 98 2973 | 02 2974 | a2 2975 | 1a 2976 | 4c 2977 | 33 2978 | c2 2979 | 20 2980 | 8b 2981 | c7 2982 | a4 2983 | 15 2984 | ca 2985 | 86 2986 | 04 2987 | b5 2988 | 16 2989 | 99 2990 | 4b 2991 | 02 2992 | b5 2993 | 25 2994 | 99 2995 | 56 2996 | 02 2997 | b5 2998 | 34 2999 | 99 3000 | 61 3001 | 02 3002 | b5 3003 | 43 3004 | 99 3005 | 6c 3006 | 02 3007 | 20 3008 | 0c 3009 | c5 3010 | a4 3011 | 15 3012 | a5 3013 | 05 3014 | 99 3015 | a3 3016 | 02 3017 | a5 3018 | 06 3019 | 99 3020 | ae 3021 | 02 3022 | e6 3023 | 15 3024 | 4c 3025 | 1b 3026 | c3 3027 | 20 3028 | 1f 3029 | cc 3030 | 20 3031 | 0c 3032 | c5 3033 | a4 3034 | 14 3035 | c0 3036 | 0e 3037 | b0 3038 | 22 3039 | a5 3040 | 05 3041 | 99 3042 | cf 3043 | 02 3044 | a5 3045 | 06 3046 | 99 3047 | dd 3048 | 02 3049 | e6 3050 | 14 3051 | 90 3052 | 1f 3053 | 20 3054 | e4 3055 | c4 3056 | a4 3057 | 14 3058 | f0 3059 | 2a 3060 | c6 3061 | 14 3062 | b9 3063 | ce 3064 | 02 3065 | 85 3066 | 05 3067 | b9 3068 | dc 3069 | 02 3070 | 85 3071 | 06 3072 | 20 3073 | 00 3074 | c5 3075 | 4c 3076 | 1b 3077 | c3 3078 | 20 3079 | 1f 3080 | cc 3081 | 20 3082 | e4 3083 | c4 3084 | a5 3085 | 57 3086 | d0 3087 | 05 3088 | 20 3089 | 2e 3090 | c6 3091 | b0 3092 | 69 3093 | a4 3094 | 58 3095 | a5 3096 | 59 3097 | 84 3098 | 05 3099 | 4c 3100 | fd 3101 | cb 3102 | 00 3103 | c8 3104 | b1 3105 | 05 3106 | c9 3107 | 20 3108 | f0 3109 | f9 3110 | c9 3111 | 61 3112 | 90 3113 | 50 3114 | 85 3115 | 57 3116 | e9 3117 | 61 3118 | c9 3119 | 1b 3120 | b0 3121 | 48 3122 | 0a 3123 | aa 3124 | bd 3125 | 8d 3126 | 03 3127 | 85 3128 | 58 3129 | 20 3130 | f6 3131 | c4 3132 | bd 3133 | 8e 3134 | 03 3135 | 85 3136 | 59 3137 | 05 3138 | 58 3139 | d0 3140 | 34 3141 | a8 3142 | a5 3143 | 12 3144 | 85 3145 | 59 3146 | 88 3147 | a9 3148 | 0d 3149 | c8 3150 | d1 3151 | 58 3152 | d0 3153 | fb 3154 | c8 3155 | b1 3156 | 58 3157 | 30 3158 | 45 3159 | 85 3160 | 02 3161 | c8 3162 | b1 3163 | 58 3164 | 85 3165 | 01 3166 | c8 3167 | b1 3168 | 58 3169 | 88 3170 | c5 3171 | 57 3172 | f0 3173 | 06 3174 | 20 3175 | a1 3176 | ce 3177 | 4c 3178 | 4a 3179 | cc 3180 | 20 3181 | a2 3182 | ce 3183 | a5 3184 | 58 3185 | 9d 3186 | 8d 3187 | 03 3188 | a5 3189 | 59 3190 | 9d 3191 | 8e 3192 | 03 3193 | 60 3194 | 20 3195 | bc 3196 | c8 3197 | a9 3198 | 00 3199 | 85 3200 | 57 3201 | 60 3202 | 20 3203 | 72 3204 | c3 3205 | 20 3206 | 34 3207 | c4 3208 | b0 3209 | 05 3210 | a2 3211 | 2b 3212 | 4c 3213 | 33 3214 | c2 3215 | 20 3216 | 09 3217 | cd 3218 | a5 3219 | 05 3220 | 48 3221 | a5 3222 | 06 3223 | 48 3224 | a5 3225 | 03 3226 | 48 3227 | a0 3228 | 00 3229 | 84 3230 | 03 3231 | c8 3232 | 84 3233 | 06 3234 | a0 3235 | 40 3236 | 84 3237 | 05 3238 | 20 3239 | 2c 3240 | ca 3241 | 68 3242 | 85 3243 | 03 3244 | 68 3245 | 85 3246 | 06 3247 | 68 3248 | 85 3249 | 05 3250 | a2 3251 | 2c 3252 | 4c 3253 | 33 3254 | c2 3255 | 20 3256 | 8b 3257 | c7 3258 | a0 3259 | 54 3260 | 20 3261 | cd 3262 | c3 3263 | 20 3264 | 09 3265 | cd 3266 | a2 3267 | 40 3268 | a0 3269 | 00 3270 | bd 3271 | 00 3272 | 01 3273 | 91 3274 | 54 3275 | c9 3276 | 0d 3277 | f0 3278 | b3 3279 | e8 3280 | c8 3281 | d0 3282 | f3 3283 | 20 3284 | 0c 3285 | c7 3286 | a4 3287 | 13 3288 | f0 3289 | eb 3290 | ca 3291 | 86 3292 | 04 3293 | b5 3294 | 16 3295 | f0 3296 | 05 3297 | c6 3298 | 13 3299 | 4c 3300 | 58 3301 | c5 3302 | b9 3303 | b8 3304 | 02 3305 | 85 3306 | 05 3307 | b9 3308 | c3 3309 | 02 3310 | 4c 3311 | fd 3312 | cb 3313 | a6 3314 | 13 3315 | e0 3316 | 0b 3317 | b0 3318 | 1a 3319 | 88 3320 | 20 3321 | f6 3322 | c4 3323 | a5 3324 | 05 3325 | 9d 3326 | b9 3327 | 02 3328 | a5 3329 | 06 3330 | 9d 3331 | c4 3332 | 02 3333 | e6 3334 | 13 3335 | 4c 3336 | 1b 3337 | c3 3338 | a9 3339 | 3f 3340 | a0 3341 | 40 3342 | d0 3343 | 02 3344 | a0 3345 | 00 3346 | 20 3347 | 4c 3348 | ca 3349 | 84 3350 | 52 3351 | a4 3352 | 52 3353 | 20 3354 | e6 3355 | ff 3356 | c9 3357 | 7f 3358 | d0 3359 | 07 3360 | 88 3361 | c4 3362 | 52 3363 | 10 3364 | f4 3365 | 30 3366 | f0 3367 | c9 3368 | 18 3369 | d0 3370 | 06 3371 | 20 3372 | 54 3373 | cd 3374 | 4c 3375 | 16 3376 | cd 3377 | c9 3378 | 1b 3379 | d0 3380 | 03 3381 | 4c 3382 | cf 3383 | c2 3384 | 99 3385 | 00 3386 | 01 3387 | c9 3388 | 0d 3389 | f0 3390 | 19 3391 | c8 3392 | 98 3393 | 38 3394 | e5 3395 | 52 3396 | c9 3397 | 40 3398 | 90 3399 | d1 3400 | 20 3401 | e3 3402 | ff 3403 | c9 3404 | 7f 3405 | d0 3406 | f9 3407 | 20 3408 | f4 3409 | ff 3410 | 4c 3411 | 1f 3412 | cd 3413 | 20 3414 | ed 3415 | ff 3416 | a9 3417 | 00 3418 | 85 3419 | 07 3420 | 60 3421 | 20 3422 | 8b 3423 | c7 3424 | 20 3425 | ae 3426 | ce 3427 | a0 3428 | 54 3429 | 20 3430 | cd 3431 | c3 3432 | a0 3433 | ff 3434 | c8 3435 | b1 3436 | 52 3437 | 91 3438 | 54 3439 | c9 3440 | 0d 3441 | d0 3442 | f7 3443 | 4c 3444 | 58 3445 | c5 3446 | 20 3447 | 81 3448 | cd 3449 | 4c 3450 | f1 3451 | c3 3452 | 20 3453 | 81 3454 | cd 3455 | 4c 3456 | 09 3457 | c4 3458 | 20 3459 | e1 3460 | c8 3461 | 20 3462 | bc 3463 | c8 3464 | ca 3465 | 18 3466 | b5 3467 | 16 3468 | 75 3469 | 15 3470 | 95 3471 | 15 3472 | b5 3473 | 25 3474 | 75 3475 | 24 3476 | 95 3477 | 24 3478 | 86 3479 | 04 3480 | 60 3481 | 20 3482 | e4 3483 | c4 3484 | a5 3485 | 12 3486 | 85 3487 | 0e 3488 | a0 3489 | 00 3490 | 84 3491 | 0d 3492 | 88 3493 | c8 3494 | b1 3495 | 0d 3496 | c9 3497 | 0d 3498 | d0 3499 | f9 3500 | 20 3501 | bc 3502 | cd 3503 | b1 3504 | 0d 3505 | 30 3506 | 03 3507 | c8 3508 | d0 3509 | ef 3510 | c8 3511 | 20 3512 | bc 3513 | cd 3514 | 4c 3515 | cf 3516 | c2 3517 | 18 3518 | 98 3519 | 65 3520 | 0d 3521 | 85 3522 | 0d 3523 | 90 3524 | 02 3525 | e6 3526 | 0e 3527 | a0 3528 | 01 3529 | 60 3530 | 84 3531 | 56 3532 | 20 3533 | 2e 3534 | c6 3535 | b0 3536 | 48 3537 | a5 3538 | 58 3539 | 85 3540 | 52 3541 | e9 3542 | 01 3543 | 85 3544 | 58 3545 | 85 3546 | 0d 3547 | a5 3548 | 59 3549 | 85 3550 | 53 3551 | e9 3552 | 00 3553 | 85 3554 | 0e 3555 | 85 3556 | 59 3557 | a9 3558 | 0d 3559 | c8 3560 | d1 3561 | 52 3562 | d0 3563 | fb 3564 | 18 3565 | 98 3566 | 65 3567 | 52 3568 | 85 3569 | 52 3570 | 90 3571 | 02 3572 | e6 3573 | 53 3574 | a0 3575 | 00 3576 | b1 3577 | 52 3578 | 91 3579 | 0d 3580 | c9 3581 | 0d 3582 | f0 3583 | 09 3584 | c8 3585 | d0 3586 | f5 3587 | e6 3588 | 53 3589 | e6 3590 | 0e 3591 | d0 3592 | ef 3593 | c8 3594 | d0 3595 | 04 3596 | e6 3597 | 53 3598 | e6 3599 | 0e 3600 | b1 3601 | 52 3602 | 91 3603 | 0d 3604 | 10 3605 | ea 3606 | 20 3607 | bd 3608 | cd 3609 | a0 3610 | 01 3611 | 84 3612 | 57 3613 | 88 3614 | a9 3615 | 0d 3616 | d1 3617 | 56 3618 | f0 3619 | 5d 3620 | c8 3621 | d1 3622 | 56 3623 | d0 3624 | fb 3625 | c8 3626 | c8 3627 | a5 3628 | 0d 3629 | 85 3630 | 54 3631 | a5 3632 | 0e 3633 | 85 3634 | 55 3635 | 20 3636 | bd 3637 | cd 3638 | 85 3639 | 52 3640 | a5 3641 | 0e 3642 | 85 3643 | 53 3644 | 88 3645 | a9 3646 | 55 3647 | 91 3648 | 0d 3649 | d1 3650 | 0d 3651 | d0 3652 | b2 3653 | 0a 3654 | 91 3655 | 0d 3656 | d1 3657 | 0d 3658 | d0 3659 | ab 3660 | b1 3661 | 54 3662 | 91 3663 | 52 3664 | 98 3665 | d0 3666 | 04 3667 | c6 3668 | 55 3669 | c6 3670 | 53 3671 | 88 3672 | 98 3673 | 65 3674 | 54 3675 | a6 3676 | 55 3677 | 90 3678 | 01 3679 | e8 3680 | c5 3681 | 58 3682 | 8a 3683 | e5 3684 | 59 3685 | b0 3686 | e5 3687 | a0 3688 | 01 3689 | a5 3690 | 25 3691 | 91 3692 | 58 3693 | c8 3694 | a5 3695 | 16 3696 | 91 3697 | 58 3698 | 38 3699 | 20 3700 | a2 3701 | ce 3702 | a0 3703 | ff 3704 | c8 3705 | b1 3706 | 56 3707 | 91 3708 | 58 3709 | c9 3710 | 0d 3711 | d0 3712 | f7 3713 | 4c 3714 | cf 3715 | c2 3716 | 20 3717 | e4 3718 | c4 3719 | a0 3720 | 00 3721 | 84 3722 | 05 3723 | 84 3724 | 03 3725 | a5 3726 | 12 3727 | 85 3728 | 06 3729 | 4c 3730 | 5b 3731 | c5 3732 | 20 3733 | de 3734 | c4 3735 | ca 3736 | 20 3737 | cb 3738 | c3 3739 | a0 3740 | 00 3741 | b5 3742 | 17 3743 | 91 3744 | 52 3745 | 60 3746 | 18 3747 | 98 3748 | 65 3749 | 58 3750 | 85 3751 | 58 3752 | 90 3753 | 02 3754 | e6 3755 | 59 3756 | 4c 3757 | 00 3758 | c5 3759 | 20 3760 | 79 3761 | c2 3762 | a2 3763 | 26 3764 | 4c 3765 | 33 3766 | c2 3767 | 20 3768 | 8b 3769 | c7 3770 | 20 3771 | cb 3772 | c3 3773 | a4 3774 | 03 3775 | 60 3776 | 20 3777 | f6 3778 | c4 3779 | 84 3780 | 53 3781 | 88 3782 | a2 3783 | 00 3784 | b1 3785 | 05 3786 | c9 3787 | 0d 3788 | f0 3789 | f9 3790 | 9d 3791 | 40 3792 | 01 3793 | e8 3794 | c8 3795 | c9 3796 | 22 3797 | d0 3798 | f1 3799 | b1 3800 | 05 3801 | c9 3802 | 22 3803 | f0 3804 | 0e 3805 | a9 3806 | 0d 3807 | 9d 3808 | 3f 3809 | 01 3810 | 84 3811 | 03 3812 | a9 3813 | 40 3814 | 85 3815 | 52 3816 | a6 3817 | 04 3818 | 60 3819 | c8 3820 | b0 3821 | da 3822 | 20 3823 | fa 3824 | ce 3825 | 88 3826 | 84 3827 | 56 3828 | 38 3829 | 20 3830 | e0 3831 | ff 3832 | 4c 3833 | 9b 3834 | cd 3835 | 20 3836 | b1 3837 | ce 3838 | 20 3839 | e4 3840 | c4 3841 | 88 3842 | 84 3843 | 54 3844 | a5 3845 | 12 3846 | 85 3847 | 55 3848 | a2 3849 | 52 3850 | 60 3851 | 20 3852 | fa 3853 | ce 3854 | 84 3855 | 58 3856 | 85 3857 | 59 3858 | a5 3859 | 0d 3860 | 85 3861 | 5a 3862 | a5 3863 | 0e 3864 | 85 3865 | 5b 3866 | a9 3867 | b2 3868 | 85 3869 | 56 3870 | a9 3871 | c2 3872 | 85 3873 | 57 3874 | 18 3875 | 20 3876 | dd 3877 | ff 3878 | 4c 3879 | 5b 3880 | c5 3881 | 38 3882 | a9 3883 | 00 3884 | 2a 3885 | 48 3886 | 20 3887 | 3e 3888 | cf 3889 | a2 3890 | 52 3891 | 68 3892 | 20 3893 | da 3894 | ff 3895 | a0 3896 | 52 3897 | 20 3898 | 9f 3899 | c9 3900 | 95 3901 | 42 3902 | 60 3903 | 20 3904 | bc 3905 | c8 3906 | b4 3907 | 15 3908 | ca 3909 | 86 3910 | 04 3911 | 60 3912 | 20 3913 | bc 3914 | c8 3915 | 20 3916 | de 3917 | c4 3918 | 20 3919 | cb 3920 | c3 3921 | 20 3922 | 41 3923 | cf 3924 | a2 3925 | 52 3926 | 20 3927 | d7 3928 | ff 3929 | 4c 3930 | 5b 3931 | c5 3932 | 20 3933 | 3e 3934 | cf 3935 | 84 3936 | 52 3937 | 20 3938 | d4 3939 | ff 3940 | 4c 3941 | 7c 3942 | c9 3943 | 20 3944 | 5b 3945 | cf 3946 | a4 3947 | 52 3948 | 20 3949 | d4 3950 | ff 3951 | 95 3952 | 24 3953 | 20 3954 | d4 3955 | ff 3956 | 95 3957 | 33 3958 | 20 3959 | d4 3960 | ff 3961 | 95 3962 | 42 3963 | 60 3964 | 20 3965 | bc 3966 | c8 3967 | 20 3968 | 31 3969 | c2 3970 | 20 3971 | e1 3972 | c4 3973 | 20 3974 | cb 3975 | c3 3976 | 20 3977 | 41 3978 | cf 3979 | a5 3980 | 52 3981 | 6c 3982 | 16 3983 | 02 3984 | 20 3985 | 7b 3986 | cf 3987 | 4c 3988 | 5b 3989 | c5 3990 | 20 3991 | 7b 3992 | cf 3993 | a2 3994 | 01 3995 | b5 3996 | 52 3997 | 20 3998 | d1 3999 | ff 4000 | e8 4001 | e0 4002 | 04 4003 | 90 4004 | f6 4005 | b0 4006 | ec 4007 | 38 4008 | 08 4009 | 20 4010 | b1 4011 | ce 4012 | a2 4013 | 52 4014 | 28 4015 | 20 4016 | ce 4017 | ff 4018 | a6 4019 | 04 4020 | 4c 4021 | 7c 4022 | c9 4023 | 20 4024 | bc 4025 | c8 4026 | 20 4027 | e4 4028 | c4 4029 | 20 4030 | 41 4031 | cf 4032 | 20 4033 | cb 4034 | ff 4035 | 4c 4036 | 5b 4037 | c5 4038 | 20 4039 | 2c 4040 | c2 4041 | 20 4042 | b1 4043 | ce 4044 | 20 4045 | e4 4046 | c4 4047 | 88 4048 | b1 4049 | 52 4050 | 84 4051 | 55 4052 | a4 4053 | 0f 4054 | 48 4055 | 20 4056 | d1 4057 | ff 4058 | 68 4059 | c9 4060 | 0d 4061 | f0 4062 | e4 4063 | a4 4064 | 55 4065 | c8 4066 | d0 4067 | ec 4068 | 20 4069 | 2c 4070 | c2 4071 | 20 4072 | e1 4073 | c4 4074 | 20 4075 | cb 4076 | c3 4077 | a0 4078 | 00 4079 | 84 4080 | 55 4081 | a4 4082 | 0f 4083 | 20 4084 | d4 4085 | ff 4086 | a4 4087 | 55 4088 | 91 4089 | 52 4090 | c8 4091 | c9 4092 | 0d 4093 | d0 4094 | f0 4095 | f0 4096 | c2 4097 | -------------------------------------------------------------------------------- /roms/kernel.hex: -------------------------------------------------------------------------------- 1 | 50 2 | 4c 3 | 4f 4 | 54 5 | f5 6 | 4e 7 | 44 8 | 52 9 | 41 10 | 57 11 | f5 12 | 42 13 | 4d 14 | 4f 15 | 56 16 | 45 17 | f5 18 | 46 19 | 43 20 | 4c 21 | 45 22 | 41 23 | 52 24 | f6 25 | 7b 26 | 44 27 | 49 28 | 4d 29 | f0 30 | ae 31 | 5b 32 | f2 33 | a1 34 | 4f 35 | 4c 36 | 44 37 | f5 38 | 31 39 | 57 40 | 41 41 | 49 42 | 54 43 | f1 44 | 4c 45 | c5 46 | 50 47 | a4 48 | 5e 49 | b1 50 | 05 51 | c9 52 | 40 53 | 90 54 | 12 55 | c9 56 | 5b 57 | b0 58 | 0e 59 | c8 60 | d1 61 | 05 62 | d0 63 | 09 64 | 20 65 | 8b 66 | f0 67 | 20 68 | 4f 69 | c9 70 | 4c 71 | 62 72 | c9 73 | 4c 74 | 24 75 | ca 76 | a2 77 | ff 78 | a4 79 | 5e 80 | c6 81 | 5e 82 | b1 83 | 05 84 | c9 85 | 40 86 | 90 87 | 09 88 | c9 89 | 5b 90 | b0 91 | 05 92 | c8 93 | d1 94 | 05 95 | f0 96 | 25 97 | a4 98 | 5e 99 | e8 100 | c8 101 | bd 102 | 00 103 | f0 104 | 30 105 | 0c 106 | d1 107 | 05 108 | f0 109 | f5 110 | e8 111 | bd 112 | ff 113 | ef 114 | 10 115 | fa 116 | d0 117 | eb 118 | 85 119 | 53 120 | bd 121 | 01 122 | f0 123 | 85 124 | 52 125 | 84 126 | 03 127 | a6 128 | 04 129 | e6 130 | 5e 131 | 6c 132 | 52 133 | 00 134 | 20 135 | 8b 136 | f0 137 | 4c 138 | f1 139 | c3 140 | c8 141 | 84 142 | 03 143 | e9 144 | 40 145 | 48 146 | 20 147 | bc 148 | c8 149 | 68 150 | a8 151 | b5 152 | 15 153 | 0a 154 | 36 155 | 24 156 | 0a 157 | 36 158 | 24 159 | 18 160 | 79 161 | eb 162 | 02 163 | 95 164 | 15 165 | b5 166 | 24 167 | 79 168 | 06 169 | 03 170 | 95 171 | 24 172 | b0 173 | d7 174 | 60 175 | a5 176 | 01 177 | 05 178 | 02 179 | f0 180 | 22 181 | 20 182 | 34 183 | c4 184 | 90 185 | 1e 186 | 20 187 | bc 188 | c8 189 | ca 190 | ca 191 | 86 192 | 04 193 | b4 194 | 16 195 | 38 196 | a5 197 | 23 198 | 99 199 | 21 200 | 03 201 | 75 202 | 17 203 | 85 204 | 23 205 | a5 206 | 24 207 | 99 208 | 3c 209 | 03 210 | 75 211 | 26 212 | 4c 213 | 19 214 | f1 215 | 00 216 | a4 217 | 03 218 | b1 219 | 05 220 | c9 221 | 40 222 | 90 223 | f7 224 | c9 225 | 5b 226 | b0 227 | f3 228 | c8 229 | d1 230 | 05 231 | d0 232 | ee 233 | e9 234 | 40 235 | 48 236 | c8 237 | 84 238 | 03 239 | 20 240 | bc 241 | c8 242 | 68 243 | a8 244 | a5 245 | 23 246 | 99 247 | eb 248 | 02 249 | a5 250 | 24 251 | 99 252 | 06 253 | 03 254 | ca 255 | 86 256 | 04 257 | b4 258 | 16 259 | c8 260 | d0 261 | 02 262 | f6 263 | 25 264 | 98 265 | 0a 266 | 36 267 | 25 268 | 0a 269 | 36 270 | 25 271 | 18 272 | 65 273 | 23 274 | 85 275 | 23 276 | b5 277 | 25 278 | 65 279 | 24 280 | b0 281 | bd 282 | 85 283 | 24 284 | a0 285 | 00 286 | a9 287 | aa 288 | 91 289 | 23 290 | d1 291 | 23 292 | d0 293 | f7 294 | 4a 295 | 91 296 | 23 297 | d1 298 | 23 299 | d0 300 | f0 301 | 20 302 | 34 303 | c4 304 | b0 305 | a5 306 | a4 307 | 03 308 | b1 309 | 05 310 | c9 311 | 2c 312 | d0 313 | 05 314 | e6 315 | 03 316 | 4c 317 | ae 318 | f0 319 | 4c 320 | 58 321 | c5 322 | a5 323 | 0d 324 | 85 325 | 23 326 | a5 327 | 0e 328 | 85 329 | 24 330 | 4c 331 | 83 332 | ce 333 | 20 334 | e4 335 | c4 336 | 20 337 | 66 338 | fe 339 | 4c 340 | 5b 341 | c5 342 | 1c 343 | 8a 344 | 1c 345 | 23 346 | 5d 347 | 8b 348 | 1b 349 | a1 350 | 9d 351 | 8a 352 | 1d 353 | 23 354 | 9d 355 | 8b 356 | 1d 357 | a1 358 | 00 359 | 29 360 | 19 361 | ae 362 | 69 363 | a8 364 | 19 365 | 23 366 | 24 367 | 53 368 | 1b 369 | 23 370 | 24 371 | 53 372 | 19 373 | a1 374 | 00 375 | 1a 376 | 5b 377 | 5b 378 | a5 379 | 69 380 | 24 381 | 24 382 | ae 383 | ae 384 | a8 385 | ad 386 | 29 387 | 00 388 | 7c 389 | 00 390 | 15 391 | 9c 392 | 6d 393 | 9c 394 | a5 395 | 69 396 | 29 397 | 53 398 | 84 399 | 13 400 | 34 401 | 11 402 | a5 403 | 69 404 | 23 405 | a0 406 | d8 407 | 62 408 | 5a 409 | 48 410 | 26 411 | 62 412 | 94 413 | 88 414 | 54 415 | 44 416 | c8 417 | 54 418 | 68 419 | 44 420 | e8 421 | 94 422 | 00 423 | b4 424 | 08 425 | 84 426 | 74 427 | b4 428 | 28 429 | 6e 430 | 74 431 | f4 432 | cc 433 | 4a 434 | 72 435 | f2 436 | a4 437 | 8a 438 | 00 439 | aa 440 | a2 441 | a2 442 | 74 443 | 74 444 | 74 445 | 72 446 | 44 447 | 68 448 | b2 449 | 32 450 | b2 451 | 00 452 | 22 453 | 00 454 | 1a 455 | 1a 456 | 26 457 | 26 458 | 72 459 | 72 460 | 88 461 | c8 462 | c4 463 | ca 464 | 26 465 | 48 466 | 44 467 | 44 468 | a2 469 | c8 470 | 00 471 | 02 472 | 00 473 | 08 474 | f2 475 | ff 476 | 80 477 | 01 478 | c0 479 | e2 480 | c0 481 | c0 482 | ff 483 | 00 484 | 00 485 | 08 486 | 00 487 | 10 488 | 80 489 | 40 490 | c0 491 | 00 492 | c0 493 | 00 494 | 40 495 | 00 496 | 00 497 | e4 498 | 20 499 | 80 500 | 00 501 | fc 502 | 00 503 | 08 504 | 08 505 | f8 506 | fc 507 | f4 508 | 0c 509 | 10 510 | 04 511 | f4 512 | 00 513 | 20 514 | 10 515 | 00 516 | 00 517 | 0f 518 | 01 519 | 01 520 | 01 521 | 11 522 | 11 523 | 02 524 | 02 525 | 11 526 | 11 527 | 02 528 | 12 529 | 02 530 | 00 531 | 08 532 | 10 533 | 18 534 | 20 535 | 28 536 | 30 537 | 38 538 | 40 539 | 48 540 | 50 541 | 58 542 | 60 543 | 68 544 | 70 545 | 78 546 | 80 547 | 88 548 | 90 549 | 98 550 | a0 551 | a8 552 | b0 553 | b8 554 | c0 555 | c8 556 | d0 557 | d8 558 | e0 559 | e8 560 | f0 561 | f8 562 | 0c 563 | 2c 564 | 4c 565 | 4c 566 | 8c 567 | ac 568 | cc 569 | ec 570 | 8a 571 | 9a 572 | aa 573 | ba 574 | ca 575 | da 576 | ea 577 | fa 578 | 0e 579 | 2e 580 | 4e 581 | 6e 582 | 8e 583 | ae 584 | ce 585 | ee 586 | 0d 587 | 2d 588 | 4d 589 | 6d 590 | 8d 591 | ad 592 | cd 593 | ed 594 | 0d 595 | 0d 596 | 0c 597 | 0d 598 | 0e 599 | 0d 600 | 0c 601 | 0d 602 | 0d 603 | 0d 604 | 0c 605 | 0d 606 | 0d 607 | 0d 608 | 0c 609 | 0d 610 | 0f 611 | 0d 612 | 0c 613 | 0d 614 | 09 615 | 0d 616 | 0c 617 | 0d 618 | 08 619 | 0d 620 | 0c 621 | 0d 622 | 08 623 | 0d 624 | 0c 625 | 0d 626 | 0f 627 | 06 628 | 0b 629 | 0b 630 | 04 631 | 0a 632 | 08 633 | 08 634 | 0d 635 | 0d 636 | 0d 637 | 0d 638 | 0d 639 | 0f 640 | 0d 641 | 0f 642 | 07 643 | 07 644 | 07 645 | 07 646 | 05 647 | 09 648 | 03 649 | 03 650 | 01 651 | 01 652 | 01 653 | 01 654 | 02 655 | 01 656 | 01 657 | 01 658 | a4 659 | 03 660 | b1 661 | 05 662 | e6 663 | 03 664 | c9 665 | 20 666 | f0 667 | f6 668 | 60 669 | e6 670 | 03 671 | 4c 672 | 1b 673 | c3 674 | b1 675 | 05 676 | c9 677 | 5d 678 | f0 679 | f5 680 | 20 681 | f6 682 | c4 683 | c6 684 | 03 685 | 20 686 | 8e 687 | f3 688 | c6 689 | 03 690 | a5 691 | 52 692 | 48 693 | a5 694 | 53 695 | 48 696 | ad 697 | 21 698 | 03 699 | 48 700 | a9 701 | 00 702 | 85 703 | 34 704 | 85 705 | 43 706 | a9 707 | 05 708 | 8d 709 | 21 710 | 03 711 | a5 712 | 01 713 | 85 714 | 16 715 | a5 716 | 02 717 | 85 718 | 25 719 | 20 720 | 89 721 | c5 722 | 20 723 | 79 724 | f3 725 | 68 726 | 8d 727 | 21 728 | 03 729 | 68 730 | 20 731 | 7e 732 | f3 733 | 68 734 | 20 735 | 76 736 | f3 737 | a0 738 | 00 739 | c4 740 | 00 741 | f0 742 | 09 743 | b9 744 | 66 745 | 00 746 | 20 747 | 76 748 | f3 749 | c8 750 | d0 751 | f3 752 | c0 753 | 03 754 | f0 755 | 0c 756 | 20 757 | 79 758 | f3 759 | 20 760 | 4c 761 | ca 762 | 20 763 | 4c 764 | ca 765 | c8 766 | d0 767 | f0 768 | a0 769 | 00 770 | b1 771 | 05 772 | c9 773 | 3b 774 | f0 775 | 0a 776 | c9 777 | 0d 778 | f0 779 | 06 780 | 20 781 | 4c 782 | ca 783 | c8 784 | d0 785 | f0 786 | 20 787 | 54 788 | cd 789 | 20 790 | e4 791 | c4 792 | 88 793 | b1 794 | 05 795 | c8 796 | c9 797 | 3b 798 | f0 799 | 0c 800 | a5 801 | 06 802 | c9 803 | 01 804 | d0 805 | 03 806 | 4c 807 | cf 808 | c2 809 | 20 810 | 1d 811 | c5 812 | 4c 813 | a1 814 | f2 815 | 20 816 | 91 817 | f2 818 | 85 819 | 66 820 | 20 821 | 91 822 | f2 823 | c5 824 | 66 825 | d0 826 | 10 827 | c9 828 | 40 829 | 90 830 | 0c 831 | c9 832 | 5b 833 | b0 834 | 08 835 | 38 836 | 20 837 | 8e 838 | f0 839 | 20 840 | cb 841 | c3 842 | a0 843 | 00 844 | ad 845 | 31 846 | 03 847 | 91 848 | 52 849 | ad 850 | 4c 851 | 03 852 | c8 853 | 91 854 | 52 855 | a9 856 | 00 857 | c8 858 | 91 859 | 52 860 | c8 861 | 91 862 | 52 863 | d0 864 | 36 865 | 20 866 | 91 867 | f2 868 | c9 869 | 3b 870 | f0 871 | 04 872 | c9 873 | 0d 874 | d0 875 | f5 876 | ad 877 | 31 878 | 03 879 | 85 880 | 52 881 | ad 882 | 4c 883 | 03 884 | 85 885 | 53 886 | 60 887 | 20 888 | 7e 889 | f3 890 | a9 891 | 20 892 | 4c 893 | 4c 894 | ca 895 | a2 896 | ff 897 | 48 898 | 4a 899 | 4a 900 | 4a 901 | 4a 902 | 20 903 | f9 904 | c5 905 | 68 906 | 29 907 | 0f 908 | 4c 909 | f9 910 | c5 911 | a2 912 | 00 913 | 86 914 | 00 915 | 86 916 | 64 917 | 86 918 | 65 919 | 20 920 | 91 921 | f2 922 | c9 923 | 3a 924 | f0 925 | 91 926 | c9 927 | 3b 928 | f0 929 | ca 930 | c9 931 | 0d 932 | f0 933 | c6 934 | c9 935 | 5c 936 | f0 937 | b7 938 | a0 939 | 05 940 | 38 941 | 69 942 | 00 943 | 0a 944 | 0a 945 | 0a 946 | 0a 947 | 26 948 | 6a 949 | 26 950 | 69 951 | 88 952 | d0 953 | f8 954 | e8 955 | e0 956 | 03 957 | d0 958 | d8 959 | 06 960 | 6a 961 | 26 962 | 69 963 | a2 964 | 40 965 | a5 966 | 69 967 | dd 968 | 54 969 | f1 970 | f0 971 | 04 972 | ca 973 | d0 974 | f8 975 | 00 976 | bc 977 | 94 978 | f1 979 | c4 980 | 6a 981 | d0 982 | f5 983 | bd 984 | 10 985 | f2 986 | 85 987 | 66 988 | bc 989 | 50 990 | f2 991 | 84 992 | 0f 993 | 66 994 | 64 995 | 66 996 | 65 997 | 88 998 | d0 999 | f9 1000 | a4 1001 | 0f 1002 | c0 1003 | 0d 1004 | d0 1005 | 05 1006 | a2 1007 | 00 1008 | 4c 1009 | 9b 1010 | f4 1011 | 20 1012 | 91 1013 | f2 1014 | c9 1015 | 40 1016 | f0 1017 | 5b 1018 | c9 1019 | 28 1020 | f0 1021 | 65 1022 | a2 1023 | 01 1024 | c9 1025 | 41 1026 | f0 1027 | ec 1028 | c6 1029 | 03 1030 | 20 1031 | 8b 1032 | c7 1033 | 20 1034 | 91 1035 | f2 1036 | c9 1037 | 2c 1038 | d0 1039 | 31 1040 | 20 1041 | 91 1042 | f2 1043 | a4 1044 | 25 1045 | f0 1046 | 15 1047 | a2 1048 | 09 1049 | c9 1050 | 58 1051 | f0 1052 | 7f 1053 | ca 1054 | c9 1055 | 59 1056 | d0 1057 | 79 1058 | a5 1059 | 0f 1060 | c9 1061 | 09 1062 | d0 1063 | 74 1064 | a2 1065 | 0e 1066 | d0 1067 | 70 1068 | a2 1069 | 04 1070 | c9 1071 | 58 1072 | f0 1073 | 6a 1074 | c9 1075 | 59 1076 | d0 1077 | 65 1078 | ca 1079 | a4 1080 | 0f 1081 | c0 1082 | 03 1083 | b0 1084 | 5f 1085 | a2 1086 | 08 1087 | d0 1088 | 5b 1089 | c6 1090 | 03 1091 | a2 1092 | 02 1093 | a4 1094 | 0f 1095 | c0 1096 | 0c 1097 | f0 1098 | 51 1099 | a2 1100 | 05 1101 | a5 1102 | 25 1103 | f0 1104 | 4b 1105 | a2 1106 | 0c 1107 | d0 1108 | 47 1109 | 20 1110 | 8b 1111 | c7 1112 | a5 1113 | 0f 1114 | a2 1115 | 06 1116 | c9 1117 | 01 1118 | f0 1119 | 3c 1120 | e8 1121 | d0 1122 | 39 1123 | 20 1124 | 8b 1125 | c7 1126 | 20 1127 | 91 1128 | f2 1129 | c9 1130 | 29 1131 | f0 1132 | 16 1133 | c9 1134 | 2c 1135 | d0 1136 | 2a 1137 | 20 1138 | 91 1139 | f2 1140 | c9 1141 | 58 1142 | d0 1143 | 23 1144 | 20 1145 | 91 1146 | f2 1147 | c9 1148 | 29 1149 | d0 1150 | 1c 1151 | a2 1152 | 0b 1153 | d0 1154 | 19 1155 | a2 1156 | 0d 1157 | a5 1158 | 0f 1159 | c9 1160 | 0b 1161 | f0 1162 | 11 1163 | a2 1164 | 0a 1165 | 20 1166 | 91 1167 | f2 1168 | c9 1169 | 2c 1170 | d0 1171 | 07 1172 | 20 1173 | 91 1174 | f2 1175 | c9 1176 | 59 1177 | f0 1178 | 01 1179 | 00 1180 | 20 1181 | 60 1182 | f3 1183 | bd 1184 | d5 1185 | f1 1186 | f0 1187 | 04 1188 | 25 1189 | 64 1190 | d0 1191 | 07 1192 | bd 1193 | e4 1194 | f1 1195 | 25 1196 | 65 1197 | f0 1198 | ec 1199 | 18 1200 | bd 1201 | f3 1202 | f1 1203 | 65 1204 | 66 1205 | 85 1206 | 66 1207 | bd 1208 | 02 1209 | f2 1210 | a2 1211 | 00 1212 | 86 1213 | 04 1214 | a4 1215 | 16 1216 | 84 1217 | 67 1218 | a4 1219 | 25 1220 | 84 1221 | 68 1222 | c9 1223 | 0f 1224 | f0 1225 | 23 1226 | 29 1227 | 0f 1228 | a8 1229 | c8 1230 | 84 1231 | 00 1232 | c0 1233 | 02 1234 | d0 1235 | 04 1236 | a4 1237 | 68 1238 | d0 1239 | c3 1240 | a0 1241 | 00 1242 | b9 1243 | 66 1244 | 00 1245 | 91 1246 | 52 1247 | c8 1248 | ee 1249 | 31 1250 | 03 1251 | d0 1252 | 03 1253 | ee 1254 | 4c 1255 | 03 1256 | c4 1257 | 00 1258 | d0 1259 | ee 1260 | 60 1261 | a9 1262 | 02 1263 | 85 1264 | 00 1265 | 38 1266 | a5 1267 | 67 1268 | ed 1269 | 31 1270 | 03 1271 | 85 1272 | 67 1273 | a5 1274 | 68 1275 | ed 1276 | 4c 1277 | 03 1278 | 85 1279 | 68 1280 | 38 1281 | a5 1282 | 67 1283 | e9 1284 | 02 1285 | 85 1286 | 67 1287 | a8 1288 | a5 1289 | 68 1290 | e9 1291 | 00 1292 | f0 1293 | 1f 1294 | c9 1295 | ff 1296 | f0 1297 | 16 1298 | 20 1299 | d1 1300 | f7 1301 | 4f 1302 | 55 1303 | 54 1304 | 20 1305 | 4f 1306 | 46 1307 | 20 1308 | 52 1309 | 41 1310 | 4e 1311 | 47 1312 | 45 1313 | 3a 1314 | 0a 1315 | 0d 1316 | 84 1317 | 67 1318 | 30 1319 | b0 1320 | 98 1321 | 30 1322 | ad 1323 | 10 1324 | e5 1325 | 98 1326 | 10 1327 | a8 1328 | 30 1329 | e0 1330 | 20 1331 | e4 1332 | c4 1333 | 88 1334 | 84 1335 | 52 1336 | a5 1337 | 12 1338 | 85 1339 | 53 1340 | 98 1341 | c8 1342 | 91 1343 | 52 1344 | 4c 1345 | 9b 1346 | cd 1347 | a2 1348 | 05 1349 | d0 1350 | 02 1351 | a2 1352 | 0c 1353 | 86 1354 | 16 1355 | e6 1356 | 04 1357 | d0 1358 | 06 1359 | 20 1360 | bc 1361 | c8 1362 | 20 1363 | 31 1364 | c2 1365 | 20 1366 | bc 1367 | c8 1368 | 20 1369 | 31 1370 | c2 1371 | 20 1372 | bc 1373 | c8 1374 | 20 1375 | e4 1376 | c4 1377 | b5 1378 | 15 1379 | 85 1380 | 5c 1381 | b5 1382 | 24 1383 | 85 1384 | 5d 1385 | b5 1386 | 14 1387 | 85 1388 | 5a 1389 | b5 1390 | 23 1391 | 85 1392 | 5b 1393 | a2 1394 | 00 1395 | 86 1396 | 04 1397 | a2 1398 | 03 1399 | bd 1400 | c1 1401 | 03 1402 | 95 1403 | 52 1404 | ca 1405 | 10 1406 | f8 1407 | a5 1408 | 16 1409 | 29 1410 | 04 1411 | d0 1412 | 13 1413 | a2 1414 | 02 1415 | 18 1416 | b5 1417 | 5a 1418 | 75 1419 | 52 1420 | 95 1421 | 5a 1422 | b5 1423 | 5b 1424 | 75 1425 | 53 1426 | 95 1427 | 5b 1428 | ca 1429 | ca 1430 | 10 1431 | ef 1432 | a2 1433 | 03 1434 | b5 1435 | 5a 1436 | 9d 1437 | c1 1438 | 03 1439 | ca 1440 | 10 1441 | f8 1442 | a5 1443 | 16 1444 | 29 1445 | 03 1446 | f0 1447 | 0b 1448 | 85 1449 | 5e 1450 | a5 1451 | 16 1452 | 29 1453 | 08 1454 | f0 1455 | 06 1456 | 20 1457 | 78 1458 | f6 1459 | 4c 1460 | 5b 1461 | c5 1462 | a2 1463 | 02 1464 | 38 1465 | b5 1466 | 5a 1467 | f5 1468 | 52 1469 | b4 1470 | 52 1471 | 94 1472 | 5a 1473 | 95 1474 | 52 1475 | b4 1476 | 53 1477 | b5 1478 | 5b 1479 | f5 1480 | 53 1481 | 94 1482 | 5b 1483 | 95 1484 | 53 1485 | 95 1486 | 56 1487 | 10 1488 | 0d 1489 | a9 1490 | 00 1491 | 38 1492 | f5 1493 | 52 1494 | 95 1495 | 52 1496 | a9 1497 | 00 1498 | f5 1499 | 53 1500 | 95 1501 | 53 1502 | ca 1503 | ca 1504 | 10 1505 | d6 1506 | a5 1507 | 54 1508 | c5 1509 | 52 1510 | a5 1511 | 55 1512 | e5 1513 | 53 1514 | 90 1515 | 31 1516 | a9 1517 | 00 1518 | e5 1519 | 54 1520 | 85 1521 | 57 1522 | a9 1523 | 00 1524 | e5 1525 | 55 1526 | 38 1527 | 6a 1528 | 85 1529 | 59 1530 | 66 1531 | 57 1532 | 20 1533 | 78 1534 | f6 1535 | a5 1536 | 5c 1537 | cd 1538 | c3 1539 | 03 1540 | d0 1541 | 0a 1542 | a5 1543 | 5d 1544 | cd 1545 | c4 1546 | 03 1547 | d0 1548 | 03 1549 | 4c 1550 | 5b 1551 | c5 1552 | 20 1553 | 55 1554 | f6 1555 | a5 1556 | 59 1557 | 30 1558 | e5 1559 | 20 1560 | 44 1561 | f6 1562 | 4c 1563 | fb 1564 | f5 1565 | a5 1566 | 53 1567 | 4a 1568 | 85 1569 | 59 1570 | a5 1571 | 52 1572 | 6a 1573 | 85 1574 | 57 1575 | 20 1576 | 78 1577 | f6 1578 | a5 1579 | 5a 1580 | cd 1581 | c1 1582 | 03 1583 | d0 1584 | 07 1585 | a5 1586 | 5b 1587 | cd 1588 | c2 1589 | 03 1590 | f0 1591 | d5 1592 | 20 1593 | 44 1594 | f6 1595 | a5 1596 | 59 1597 | 10 1598 | e8 1599 | 20 1600 | 55 1601 | f6 1602 | 4c 1603 | 26 1604 | f6 1605 | 38 1606 | a5 1607 | 57 1608 | e5 1609 | 54 1610 | 85 1611 | 57 1612 | a5 1613 | 59 1614 | e5 1615 | 55 1616 | 85 1617 | 59 1618 | a2 1619 | 00 1620 | f0 1621 | 0f 1622 | 18 1623 | a5 1624 | 57 1625 | 65 1626 | 52 1627 | 85 1628 | 57 1629 | a5 1630 | 59 1631 | 65 1632 | 53 1633 | 85 1634 | 59 1635 | a2 1636 | 02 1637 | b5 1638 | 56 1639 | 10 1640 | 09 1641 | b5 1642 | 5a 1643 | d0 1644 | 02 1645 | d6 1646 | 5b 1647 | d6 1648 | 5a 1649 | 60 1650 | f6 1651 | 5a 1652 | d0 1653 | fb 1654 | f6 1655 | 5b 1656 | 60 1657 | 6c 1658 | fe 1659 | 03 1660 | 20 1661 | c8 1662 | c3 1663 | a0 1664 | 00 1665 | a5 1666 | 52 1667 | f0 1668 | 3e 1669 | c9 1670 | 05 1671 | 90 1672 | 02 1673 | a9 1674 | 04 1675 | a2 1676 | 80 1677 | 86 1678 | 54 1679 | 84 1680 | 53 1681 | 85 1682 | 52 1683 | aa 1684 | bd 1685 | ce 1686 | f6 1687 | a6 1688 | 12 1689 | 10 1690 | 04 1691 | c5 1692 | 12 1693 | b0 1694 | e1 1695 | aa 1696 | 98 1697 | 91 1698 | 53 1699 | 88 1700 | d0 1701 | fb 1702 | e6 1703 | 54 1704 | e4 1705 | 54 1706 | d0 1707 | f5 1708 | a4 1709 | 52 1710 | b9 1711 | d8 1712 | f6 1713 | 8d 1714 | ff 1715 | 03 1716 | b9 1717 | d3 1718 | f6 1719 | 8d 1720 | fe 1721 | 03 1722 | b9 1723 | dd 1724 | f6 1725 | 8d 1726 | 00 1727 | b0 1728 | 4c 1729 | 58 1730 | c5 1731 | a9 1732 | 40 1733 | 99 1734 | 00 1735 | 80 1736 | 99 1737 | 00 1738 | 81 1739 | 88 1740 | d0 1741 | f7 1742 | f0 1743 | dc 1744 | 84 1745 | 86 1746 | 8c 1747 | 98 1748 | e2 1749 | 3b 1750 | 54 1751 | 6d 1752 | aa 1753 | f6 1754 | f7 1755 | f7 1756 | f7 1757 | f7 1758 | 00 1759 | 30 1760 | 70 1761 | b0 1762 | f0 1763 | a5 1764 | 5b 1765 | 05 1766 | 5d 1767 | d0 1768 | 52 1769 | a5 1770 | 5a 1771 | c9 1772 | 40 1773 | b0 1774 | 4c 1775 | 4a 1776 | 85 1777 | 5f 1778 | a9 1779 | 2f 1780 | 38 1781 | e5 1782 | 5c 1783 | c9 1784 | 30 1785 | b0 1786 | 40 1787 | a2 1788 | ff 1789 | 38 1790 | e8 1791 | e9 1792 | 03 1793 | b0 1794 | fb 1795 | 69 1796 | 03 1797 | 85 1798 | 61 1799 | 8a 1800 | 0a 1801 | 0a 1802 | 0a 1803 | 0a 1804 | 0a 1805 | 05 1806 | 5f 1807 | 85 1808 | 5f 1809 | a9 1810 | 80 1811 | 69 1812 | 00 1813 | 85 1814 | 60 1815 | a5 1816 | 5a 1817 | 4a 1818 | a5 1819 | 61 1820 | 2a 1821 | a8 1822 | b9 1823 | cb 1824 | f7 1825 | a0 1826 | 00 1827 | a6 1828 | 5e 1829 | ca 1830 | f0 1831 | 0f 1832 | ca 1833 | f0 1834 | 07 1835 | 49 1836 | ff 1837 | 31 1838 | 5f 1839 | 91 1840 | 5f 1841 | 60 1842 | 51 1843 | 5f 1844 | 91 1845 | 5f 1846 | 60 1847 | 11 1848 | 5f 1849 | 91 1850 | 5f 1851 | 60 1852 | a5 1853 | 5b 1854 | 05 1855 | 5d 1856 | d0 1857 | f9 1858 | a5 1859 | 5a 1860 | 30 1861 | f5 1862 | 4a 1863 | 4a 1864 | 4a 1865 | 85 1866 | 5f 1867 | a9 1868 | 3f 1869 | 38 1870 | e5 1871 | 5c 1872 | c9 1873 | 40 1874 | 90 1875 | 32 1876 | 60 1877 | a5 1878 | 5b 1879 | 05 1880 | 5d 1881 | d0 1882 | e0 1883 | a5 1884 | 5a 1885 | 30 1886 | dc 1887 | 4a 1888 | 4a 1889 | 4a 1890 | 85 1891 | 5f 1892 | a9 1893 | 5f 1894 | 38 1895 | e5 1896 | 5c 1897 | c9 1898 | 60 1899 | 90 1900 | 19 1901 | 60 1902 | a5 1903 | 5b 1904 | 05 1905 | 5d 1906 | d0 1907 | c7 1908 | a5 1909 | 5a 1910 | 30 1911 | c3 1912 | 4a 1913 | 4a 1914 | 4a 1915 | 85 1916 | 5f 1917 | a9 1918 | bf 1919 | 38 1920 | e5 1921 | 5c 1922 | c9 1923 | c0 1924 | b0 1925 | b5 1926 | a0 1927 | 00 1928 | 84 1929 | 60 1930 | 0a 1931 | 26 1932 | 60 1933 | 0a 1934 | 26 1935 | 60 1936 | 0a 1937 | 26 1938 | 60 1939 | 0a 1940 | 26 1941 | 60 1942 | 65 1943 | 5f 1944 | 85 1945 | 5f 1946 | a5 1947 | 60 1948 | 69 1949 | 80 1950 | 85 1951 | 60 1952 | a5 1953 | 5a 1954 | 29 1955 | 07 1956 | a8 1957 | b9 1958 | c9 1959 | f7 1960 | 4c 1961 | 20 1962 | f7 1963 | a5 1964 | 5b 1965 | 05 1966 | 5d 1967 | d0 1968 | bc 1969 | a5 1970 | 5a 1971 | 4a 1972 | 4a 1973 | 4a 1974 | 85 1975 | 5f 1976 | a9 1977 | bf 1978 | 38 1979 | e5 1980 | 5c 1981 | c9 1982 | c0 1983 | b0 1984 | ac 1985 | a0 1986 | 00 1987 | 84 1988 | 60 1989 | 0a 1990 | 26 1991 | 60 1992 | 10 1993 | c0 1994 | 80 1995 | 40 1996 | 20 1997 | 10 1998 | 08 1999 | 04 2000 | 02 2001 | 01 2002 | 68 2003 | 85 2004 | e8 2005 | 68 2006 | 85 2007 | e9 2008 | a0 2009 | 00 2010 | e6 2011 | e8 2012 | d0 2013 | 02 2014 | e6 2015 | e9 2016 | b1 2017 | e8 2018 | 30 2019 | 06 2020 | 20 2021 | f4 2022 | ff 2023 | 4c 2024 | d7 2025 | f7 2026 | 6c 2027 | e8 2028 | 00 2029 | a2 2030 | d4 2031 | 20 2032 | f1 2033 | f7 2034 | b5 2035 | 01 2036 | 20 2037 | 02 2038 | f8 2039 | e8 2040 | e8 2041 | b5 2042 | fe 2043 | 20 2044 | 02 2045 | f8 2046 | a9 2047 | 20 2048 | 4c 2049 | f4 2050 | ff 2051 | 48 2052 | 4a 2053 | 4a 2054 | 4a 2055 | 4a 2056 | 20 2057 | 0b 2058 | f8 2059 | 68 2060 | 29 2061 | 0f 2062 | c9 2063 | 0a 2064 | 90 2065 | 02 2066 | 69 2067 | 06 2068 | 69 2069 | 30 2070 | 4c 2071 | f4 2072 | ff 2073 | 20 2074 | 76 2075 | f8 2076 | a2 2077 | 00 2078 | c9 2079 | 22 2080 | f0 2081 | 06 2082 | e8 2083 | d0 2084 | 1b 2085 | 4c 2086 | 7d 2087 | fa 2088 | c8 2089 | b9 2090 | 00 2091 | 01 2092 | c9 2093 | 0d 2094 | f0 2095 | f5 2096 | 9d 2097 | 40 2098 | 01 2099 | e8 2100 | c9 2101 | 22 2102 | d0 2103 | f0 2104 | c8 2105 | b9 2106 | 00 2107 | 01 2108 | c9 2109 | 22 2110 | f0 2111 | e8 2112 | a9 2113 | 0d 2114 | 9d 2115 | 3f 2116 | 01 2117 | a9 2118 | 40 2119 | 85 2120 | c9 2121 | a9 2122 | 01 2123 | 85 2124 | ca 2125 | a2 2126 | c9 2127 | 60 2128 | a0 2129 | 00 2130 | b5 2131 | 00 2132 | 99 2133 | c9 2134 | 00 2135 | e8 2136 | c8 2137 | c0 2138 | 0a 2139 | 90 2140 | f5 2141 | a0 2142 | ff 2143 | a9 2144 | 0d 2145 | c8 2146 | c0 2147 | 0e 2148 | b0 2149 | 07 2150 | d1 2151 | c9 2152 | d0 2153 | f7 2154 | c0 2155 | 00 2156 | 60 2157 | 20 2158 | d1 2159 | f7 2160 | 4e 2161 | 41 2162 | 4d 2163 | 45 2164 | ea 2165 | 00 2166 | c8 2167 | b9 2168 | 00 2169 | 01 2170 | c9 2171 | 20 2172 | f0 2173 | f8 2174 | 60 2175 | c9 2176 | 30 2177 | 90 2178 | 0f 2179 | c9 2180 | 3a 2181 | 90 2182 | 08 2183 | e9 2184 | 07 2185 | 90 2186 | 07 2187 | c9 2188 | 40 2189 | b0 2190 | 02 2191 | 29 2192 | 0f 2193 | 60 2194 | 38 2195 | 60 2196 | a9 2197 | 00 2198 | 95 2199 | 00 2200 | 95 2201 | 01 2202 | 95 2203 | 02 2204 | 20 2205 | 76 2206 | f8 2207 | b9 2208 | 00 2209 | 01 2210 | 20 2211 | 7e 2212 | f8 2213 | b0 2214 | 15 2215 | 0a 2216 | 0a 2217 | 0a 2218 | 0a 2219 | 94 2220 | 02 2221 | a0 2222 | 04 2223 | 0a 2224 | 36 2225 | 00 2226 | 36 2227 | 01 2228 | 88 2229 | d0 2230 | f8 2231 | b4 2232 | 02 2233 | c8 2234 | d0 2235 | e3 2236 | b5 2237 | 02 2238 | 60 2239 | 43 2240 | 41 2241 | 54 2242 | fa 2243 | 2a 2244 | 4c 2245 | 4f 2246 | 41 2247 | 44 2248 | f9 2249 | 58 2250 | 53 2251 | 41 2252 | 56 2253 | 45 2254 | fa 2255 | bb 2256 | 52 2257 | 55 2258 | 4e 2259 | fa 2260 | 20 2261 | 4d 2262 | 4f 2263 | 4e 2264 | fa 2265 | 1a 2266 | 4e 2267 | 4f 2268 | 4d 2269 | 4f 2270 | 4e 2271 | fa 2272 | 19 2273 | 46 2274 | 4c 2275 | 4f 2276 | 41 2277 | 44 2278 | f9 2279 | 55 2280 | 44 2281 | 4f 2282 | 53 2283 | 0d 2284 | e0 2285 | 00 2286 | f9 2287 | 26 2288 | a2 2289 | ff 2290 | d8 2291 | a0 2292 | 00 2293 | 84 2294 | dd 2295 | 20 2296 | 76 2297 | f8 2298 | 88 2299 | c8 2300 | e8 2301 | bd 2302 | be 2303 | f8 2304 | 30 2305 | 18 2306 | d9 2307 | 00 2308 | 01 2309 | f0 2310 | f4 2311 | ca 2312 | e8 2313 | bd 2314 | be 2315 | f8 2316 | 10 2317 | fa 2318 | e8 2319 | b9 2320 | 00 2321 | 01 2322 | c9 2323 | 2e 2324 | d0 2325 | dd 2326 | c8 2327 | ca 2328 | b0 2329 | e3 2330 | 85 2331 | ca 2332 | bd 2333 | bf 2334 | f8 2335 | 85 2336 | c9 2337 | 18 2338 | a2 2339 | 00 2340 | 6c 2341 | c9 2342 | 00 2343 | 20 2344 | d1 2345 | f7 2346 | 43 2347 | 4f 2348 | 4d 2349 | 3f 2350 | ea 2351 | 00 2352 | 20 2353 | 8e 2354 | fb 2355 | 50 2356 | fa 2357 | f0 2358 | f9 2359 | 20 2360 | 2b 2361 | fc 2362 | a0 2363 | 00 2364 | 20 2365 | d4 2366 | ff 2367 | 91 2368 | cb 2369 | e6 2370 | cb 2371 | d0 2372 | 02 2373 | e6 2374 | cc 2375 | a2 2376 | d4 2377 | 20 2378 | 08 2379 | fa 2380 | d0 2381 | ee 2382 | 38 2383 | 66 2384 | dd 2385 | 18 2386 | 66 2387 | dd 2388 | 28 2389 | 60 2390 | 38 2391 | 66 2392 | dd 2393 | 20 2394 | 18 2395 | f8 2396 | a2 2397 | cb 2398 | 20 2399 | 93 2400 | f8 2401 | f0 2402 | 04 2403 | a9 2404 | ff 2405 | 85 2406 | cd 2407 | 20 2408 | 76 2409 | fa 2410 | a2 2411 | c9 2412 | 6c 2413 | 0c 2414 | 02 2415 | 08 2416 | 78 2417 | 20 2418 | 4f 2419 | f8 2420 | 08 2421 | 20 2422 | 3e 2423 | fc 2424 | 28 2425 | f0 2426 | b5 2427 | a9 2428 | 00 2429 | 85 2430 | d0 2431 | 85 2432 | d1 2433 | 20 2434 | a2 2435 | f9 2436 | 90 2437 | c9 2438 | e6 2439 | d0 2440 | e6 2441 | cc 2442 | d0 2443 | f5 2444 | 18 2445 | 90 2446 | c0 2447 | 20 2448 | f4 2449 | ff 2450 | c8 2451 | b9 2452 | ed 2453 | 00 2454 | c9 2455 | 0d 2456 | d0 2457 | f5 2458 | c8 2459 | 20 2460 | fd 2461 | f7 2462 | c0 2463 | 0e 2464 | 90 2465 | f8 2466 | 60 2467 | a9 2468 | 00 2469 | 85 2470 | dc 2471 | 20 2472 | 8e 2473 | fb 2474 | 50 2475 | f8 2476 | d0 2477 | f5 2478 | 20 2479 | c9 2480 | fb 2481 | 08 2482 | 20 2483 | e2 2484 | fb 2485 | 28 2486 | f0 2487 | 10 2488 | a5 2489 | db 2490 | 29 2491 | 20 2492 | 05 2493 | ea 2494 | d0 2495 | e3 2496 | 20 2497 | 92 2498 | f9 2499 | 20 2500 | ed 2501 | ff 2502 | d0 2503 | db 2504 | a2 2505 | 02 2506 | a5 2507 | dd 2508 | 30 2509 | 13 2510 | b5 2511 | cf 2512 | d5 2513 | d8 2514 | b0 2515 | 08 2516 | a9 2517 | 05 2518 | 20 2519 | 40 2520 | fc 2521 | 20 2522 | 3e 2523 | fc 2524 | d0 2525 | c5 2526 | ca 2527 | d0 2528 | ed 2529 | 20 2530 | 2b 2531 | fc 2532 | 24 2533 | db 2534 | 50 2535 | 0b 2536 | 88 2537 | c8 2538 | 20 2539 | d4 2540 | ff 2541 | 91 2542 | cb 2543 | c4 2544 | d8 2545 | d0 2546 | f6 2547 | a5 2548 | dc 2549 | 85 2550 | ce 2551 | 20 2552 | d4 2553 | ff 2554 | c5 2555 | ce 2556 | f0 2557 | 08 2558 | 20 2559 | d1 2560 | f7 2561 | 53 2562 | 55 2563 | 4d 2564 | ea 2565 | 00 2566 | 26 2567 | db 2568 | 60 2569 | f6 2570 | 00 2571 | d0 2572 | 02 2573 | f6 2574 | 01 2575 | b5 2576 | 00 2577 | d5 2578 | 02 2579 | d0 2580 | 04 2581 | b5 2582 | 01 2583 | d5 2584 | 03 2585 | 60 2586 | ca 2587 | 20 2588 | 76 2589 | fa 2590 | 86 2591 | ea 2592 | 60 2593 | 20 2594 | 58 2595 | f9 2596 | 24 2597 | dd 2598 | 70 2599 | 4c 2600 | 6c 2601 | d6 2602 | 00 2603 | 08 2604 | 20 2605 | 76 2606 | fa 2607 | 20 2608 | 3e 2609 | fc 2610 | 20 2611 | 8e 2612 | fb 2613 | 70 2614 | 02 2615 | 28 2616 | 60 2617 | f0 2618 | 0a 2619 | a0 2620 | 00 2621 | 20 2622 | 99 2623 | f9 2624 | 20 2625 | ec 2626 | f7 2627 | d0 2628 | 19 2629 | 20 2630 | c9 2631 | fb 2632 | 20 2633 | e2 2634 | fb 2635 | 20 2636 | 92 2637 | f9 2638 | 20 2639 | ec 2640 | f7 2641 | 26 2642 | db 2643 | 10 2644 | 09 2645 | e8 2646 | 20 2647 | f1 2648 | f7 2649 | b5 2650 | fd 2651 | 20 2652 | 02 2653 | f8 2654 | 20 2655 | ed 2656 | ff 2657 | d0 2658 | cf 2659 | 4c 2660 | ed 2661 | ff 2662 | 20 2663 | 93 2664 | f8 2665 | f0 2666 | 13 2667 | 60 2668 | a2 2669 | cb 2670 | 20 2671 | 65 2672 | fa 2673 | 20 2674 | 76 2675 | fa 2676 | 6c 2677 | cb 2678 | 00 2679 | 20 2680 | 76 2681 | f8 2682 | c9 2683 | 0d 2684 | f0 2685 | a2 2686 | 20 2687 | d1 2688 | f7 2689 | 53 2690 | 59 2691 | 4e 2692 | 3f 2693 | ea 2694 | 00 2695 | 38 2696 | a5 2697 | d1 2698 | e5 2699 | cf 2700 | 48 2701 | a5 2702 | d2 2703 | e5 2704 | d0 2705 | a8 2706 | 68 2707 | 18 2708 | 65 2709 | cb 2710 | 85 2711 | cd 2712 | 98 2713 | 65 2714 | cc 2715 | 85 2716 | ce 2717 | a0 2718 | 04 2719 | b9 2720 | ca 2721 | 00 2722 | 20 2723 | d1 2724 | ff 2725 | 88 2726 | d0 2727 | f7 2728 | b1 2729 | cf 2730 | 20 2731 | d1 2732 | ff 2733 | e6 2734 | cf 2735 | d0 2736 | 02 2737 | e6 2738 | d0 2739 | a2 2740 | cb 2741 | 20 2742 | 08 2743 | fa 2744 | d0 2745 | ee 2746 | 28 2747 | 60 2748 | 20 2749 | 18 2750 | f8 2751 | a2 2752 | cb 2753 | 20 2754 | 65 2755 | fa 2756 | a2 2757 | d1 2758 | 20 2759 | 65 2760 | fa 2761 | a2 2762 | cd 2763 | 20 2764 | 93 2765 | f8 2766 | 08 2767 | a5 2768 | cb 2769 | a6 2770 | cc 2771 | 28 2772 | d0 2773 | 04 2774 | 85 2775 | cd 2776 | 86 2777 | ce 2778 | 85 2779 | cf 2780 | 86 2781 | d0 2782 | 20 2783 | 76 2784 | fa 2785 | a2 2786 | c9 2787 | 6c 2788 | 0e 2789 | 02 2790 | 08 2791 | 78 2792 | 20 2793 | 4f 2794 | f8 2795 | 08 2796 | a9 2797 | 06 2798 | 20 2799 | 40 2800 | fc 2801 | a2 2802 | 07 2803 | 20 2804 | 7a 2805 | fb 2806 | 28 2807 | f0 2808 | 8e 2809 | a2 2810 | 04 2811 | b5 2812 | ce 2813 | 95 2814 | d2 2815 | ca 2816 | d0 2817 | f9 2818 | 86 2819 | d0 2820 | 86 2821 | d1 2822 | a5 2823 | d5 2824 | d0 2825 | 02 2826 | c6 2827 | d6 2828 | c6 2829 | d5 2830 | 18 2831 | 66 2832 | d2 2833 | 38 2834 | a2 2835 | ff 2836 | a5 2837 | d5 2838 | e5 2839 | d3 2840 | 85 2841 | cf 2842 | a5 2843 | d6 2844 | e5 2845 | d4 2846 | 08 2847 | 66 2848 | d2 2849 | 28 2850 | 90 2851 | 06 2852 | 18 2853 | f0 2854 | 03 2855 | 86 2856 | cf 2857 | 38 2858 | 66 2859 | d2 2860 | e8 2861 | 20 2862 | 3b 2863 | fb 2864 | e6 2865 | d0 2866 | e6 2867 | d4 2868 | e6 2869 | cc 2870 | 26 2871 | d2 2872 | b0 2873 | d5 2874 | 28 2875 | 60 2876 | a2 2877 | 07 2878 | 20 2879 | 7a 2880 | fb 2881 | 86 2882 | dc 2883 | a0 2884 | 04 2885 | a9 2886 | 2a 2887 | 20 2888 | d1 2889 | ff 2890 | 88 2891 | d0 2892 | f8 2893 | b1 2894 | c9 2895 | 20 2896 | d1 2897 | ff 2898 | c8 2899 | c9 2900 | 0d 2901 | d0 2902 | f6 2903 | a0 2904 | 08 2905 | b9 2906 | ca 2907 | 00 2908 | 20 2909 | d1 2910 | ff 2911 | 88 2912 | d0 2913 | f7 2914 | 20 2915 | 81 2916 | fb 2917 | 24 2918 | d2 2919 | 50 2920 | 0b 2921 | 88 2922 | c8 2923 | b1 2924 | d3 2925 | 20 2926 | d1 2927 | ff 2928 | c4 2929 | cf 2930 | d0 2931 | f6 2932 | a5 2933 | dc 2934 | 20 2935 | d1 2936 | ff 2937 | a2 2938 | 04 2939 | 8e 2940 | 02 2941 | b0 2942 | a2 2943 | 78 2944 | d0 2945 | 02 2946 | a2 2947 | 1e 2948 | 20 2949 | 66 2950 | fe 2951 | ca 2952 | d0 2953 | fa 2954 | 60 2955 | a2 2956 | 06 2957 | d0 2958 | f5 2959 | 2c 2960 | 01 2961 | b0 2962 | 10 2963 | fb 2964 | 50 2965 | f9 2966 | a0 2967 | 00 2968 | 85 2969 | c3 2970 | a9 2971 | 10 2972 | 85 2973 | c2 2974 | 2c 2975 | 01 2976 | b0 2977 | 10 2978 | 0f 2979 | 50 2980 | 0d 2981 | 20 2982 | bd 2983 | fc 2984 | b0 2985 | ec 2986 | c6 2987 | c3 2988 | d0 2989 | f0 2990 | c6 2991 | c2 2992 | d0 2993 | ec 2994 | 70 2995 | 01 2996 | 60 2997 | a0 2998 | 04 2999 | 08 3000 | 20 3001 | e4 3002 | fb 3003 | 28 3004 | a0 3005 | 04 3006 | a9 3007 | 2a 3008 | d9 3009 | d3 3010 | 00 3011 | d0 3012 | 03 3013 | 88 3014 | d0 3015 | f8 3016 | 60 3017 | c8 3018 | 20 3019 | d4 3020 | ff 3021 | 99 3022 | ed 3023 | 00 3024 | c9 3025 | 0d 3026 | d0 3027 | f5 3028 | a0 3029 | ff 3030 | c8 3031 | b1 3032 | c9 3033 | d9 3034 | ed 3035 | 00 3036 | d0 3037 | ea 3038 | c9 3039 | 0d 3040 | d0 3041 | f4 3042 | 60 3043 | a0 3044 | 08 3045 | 20 3046 | d4 3047 | ff 3048 | 99 3049 | d3 3050 | 00 3051 | 88 3052 | d0 3053 | f7 3054 | 60 3055 | 86 3056 | ec 3057 | 84 3058 | c3 3059 | 08 3060 | 78 3061 | a9 3062 | 78 3063 | 85 3064 | c0 3065 | 20 3066 | bd 3067 | fc 3068 | 90 3069 | f7 3070 | e6 3071 | c0 3072 | 10 3073 | f7 3074 | a9 3075 | 53 3076 | 85 3077 | c4 3078 | a2 3079 | 00 3080 | ac 3081 | 02 3082 | b0 3083 | 20 3084 | cd 3085 | fc 3086 | f0 3087 | 00 3088 | f0 3089 | 01 3090 | e8 3091 | c6 3092 | c4 3093 | d0 3094 | f4 3095 | e0 3096 | 0c 3097 | 66 3098 | c0 3099 | 90 3100 | e5 3101 | a5 3102 | c0 3103 | 28 3104 | a4 3105 | c3 3106 | a6 3107 | ec 3108 | 48 3109 | 18 3110 | 65 3111 | dc 3112 | 85 3113 | dc 3114 | 68 3115 | 60 3116 | a5 3117 | cd 3118 | 30 3119 | 08 3120 | a5 3121 | d4 3122 | 85 3123 | cb 3124 | a5 3125 | d5 3126 | 85 3127 | cc 3128 | 60 3129 | b0 3130 | 04 3131 | a9 3132 | 06 3133 | d0 3134 | 02 3135 | a9 3136 | 04 3137 | a2 3138 | 07 3139 | 8e 3140 | 02 3141 | b0 3142 | 24 3143 | ea 3144 | d0 3145 | 2d 3146 | c9 3147 | 05 3148 | f0 3149 | 16 3150 | b0 3151 | 09 3152 | 20 3153 | d1 3154 | f7 3155 | 50 3156 | 4c 3157 | 41 3158 | 59 3159 | d0 3160 | 15 3161 | 20 3162 | d1 3163 | f7 3164 | 52 3165 | 45 3166 | 43 3167 | 4f 3168 | 52 3169 | 44 3170 | d0 3171 | 0a 3172 | 20 3173 | d1 3174 | f7 3175 | 52 3176 | 45 3177 | 57 3178 | 49 3179 | 4e 3180 | 44 3181 | ea 3182 | 20 3183 | d1 3184 | f7 3185 | 20 3186 | 54 3187 | 41 3188 | 50 3189 | 45 3190 | ea 3191 | 20 3192 | e3 3193 | ff 3194 | 4c 3195 | ed 3196 | ff 3197 | 86 3198 | ec 3199 | 84 3200 | c3 3201 | 08 3202 | 78 3203 | 48 3204 | 20 3205 | 23 3206 | fc 3207 | 85 3208 | c0 3209 | 20 3210 | d8 3211 | fc 3212 | a9 3213 | 0a 3214 | 85 3215 | c1 3216 | 18 3217 | 90 3218 | 0a 3219 | a2 3220 | 07 3221 | 8e 3222 | 02 3223 | b0 3224 | 20 3225 | da 3226 | fc 3227 | 30 3228 | 13 3229 | a0 3230 | 04 3231 | a9 3232 | 04 3233 | 8d 3234 | 02 3235 | b0 3236 | 20 3237 | d8 3238 | fc 3239 | ee 3240 | 02 3241 | b0 3242 | 20 3243 | d8 3244 | fc 3245 | 88 3246 | d0 3247 | ef 3248 | 38 3249 | 66 3250 | c0 3251 | c6 3252 | c1 3253 | d0 3254 | da 3255 | a4 3256 | c3 3257 | a6 3258 | ec 3259 | 68 3260 | 28 3261 | 60 3262 | a2 3263 | 00 3264 | ac 3265 | 02 3266 | b0 3267 | e8 3268 | f0 3269 | 07 3270 | 20 3271 | cd 3272 | fc 3273 | f0 3274 | f8 3275 | e0 3276 | 08 3277 | 60 3278 | 84 3279 | c5 3280 | ad 3281 | 02 3282 | b0 3283 | a8 3284 | 45 3285 | c5 3286 | 29 3287 | 20 3288 | 60 3289 | a2 3290 | 00 3291 | a9 3292 | 10 3293 | 2c 3294 | 02 3295 | b0 3296 | f0 3297 | fb 3298 | 2c 3299 | 02 3300 | b0 3301 | d0 3302 | fb 3303 | ca 3304 | 10 3305 | f3 3306 | 60 3307 | c9 3308 | 06 3309 | f0 3310 | 1d 3311 | c9 3312 | 15 3313 | f0 3314 | 1f 3315 | a4 3316 | e0 3317 | 30 3318 | 23 3319 | c9 3320 | 1b 3321 | f0 3322 | 11 3323 | c9 3324 | 07 3325 | f0 3326 | 1c 3327 | 20 3328 | 44 3329 | fd 3330 | a2 3331 | 0a 3332 | 20 3333 | c5 3334 | fe 3335 | d0 3336 | 21 3337 | 4c 3338 | b7 3339 | fe 3340 | 18 3341 | a2 3342 | 00 3343 | 8e 3344 | 00 3345 | b0 3346 | a2 3347 | 02 3348 | 08 3349 | 16 3350 | de 3351 | 28 3352 | 76 3353 | de 3354 | 60 3355 | a9 3356 | 05 3357 | a8 3358 | 8d 3359 | 03 3360 | b0 3361 | ca 3362 | d0 3363 | fd 3364 | 49 3365 | 01 3366 | c8 3367 | 10 3368 | f5 3369 | 60 3370 | c9 3371 | 20 3372 | 90 3373 | 17 3374 | 69 3375 | 1f 3376 | 30 3377 | 02 3378 | 49 3379 | 60 3380 | 20 3381 | 6b 3382 | fe 3383 | 91 3384 | de 3385 | c8 3386 | c0 3387 | 20 3388 | 90 3389 | 05 3390 | 20 3391 | ec 3392 | fd 3393 | a0 3394 | 00 3395 | 84 3396 | e0 3397 | 48 3398 | 20 3399 | 6b 3400 | fe 3401 | b1 3402 | de 3403 | 45 3404 | e1 3405 | 91 3406 | de 3407 | 68 3408 | 60 3409 | 20 3410 | 35 3411 | fe 3412 | a9 3413 | 20 3414 | 20 3415 | 6b 3416 | fe 3417 | 91 3418 | de 3419 | 10 3420 | e6 3421 | 20 3422 | 35 3423 | fe 3424 | 4c 3425 | 42 3426 | fd 3427 | 20 3428 | ec 3429 | fd 3430 | a4 3431 | e0 3432 | 10 3433 | d9 3434 | a0 3435 | 80 3436 | 84 3437 | e1 3438 | a0 3439 | 00 3440 | 8c 3441 | 00 3442 | b0 3443 | a9 3444 | 20 3445 | 99 3446 | 00 3447 | 80 3448 | 99 3449 | 00 3450 | 81 3451 | c8 3452 | d0 3453 | f7 3454 | a9 3455 | 80 3456 | a0 3457 | 00 3458 | 85 3459 | df 3460 | 84 3461 | de 3462 | f0 3463 | bb 3464 | 20 3465 | 3a 3466 | fe 3467 | 4c 3468 | 42 3469 | fd 3470 | 18 3471 | a9 3472 | 10 3473 | 85 3474 | e6 3475 | a2 3476 | 08 3477 | 20 3478 | 13 3479 | fd 3480 | 4c 3481 | 44 3482 | fd 3483 | a5 3484 | e7 3485 | 49 3486 | 60 3487 | 85 3488 | e7 3489 | b0 3490 | 09 3491 | 29 3492 | 05 3493 | 2e 3494 | 01 3495 | b0 3496 | 2a 3497 | 20 3498 | ea 3499 | fc 3500 | 4c 3501 | 9a 3502 | fe 3503 | a4 3504 | e0 3505 | 20 3506 | 6b 3507 | fe 3508 | b1 3509 | de 3510 | 45 3511 | e1 3512 | 30 3513 | 02 3514 | 49 3515 | 60 3516 | e9 3517 | 20 3518 | 4c 3519 | e9 3520 | fd 3521 | a9 3522 | 5f 3523 | 49 3524 | 20 3525 | d0 3526 | 23 3527 | 45 3528 | e7 3529 | 2c 3530 | 01 3531 | b0 3532 | 30 3533 | 02 3534 | 49 3535 | 60 3536 | 4c 3537 | df 3538 | fd 3539 | 69 3540 | 39 3541 | 90 3542 | f2 3543 | 49 3544 | 10 3545 | 2c 3546 | 01 3547 | b0 3548 | 30 3549 | 02 3550 | 49 3551 | 10 3552 | 18 3553 | 69 3554 | 20 3555 | 2c 3556 | 01 3557 | b0 3558 | 70 3559 | 02 3560 | 29 3561 | 1f 3562 | 4c 3563 | 60 3564 | fe 3565 | a5 3566 | de 3567 | a4 3568 | df 3569 | c0 3570 | 81 3571 | 90 3572 | 38 3573 | c9 3574 | e0 3575 | 90 3576 | 34 3577 | a4 3578 | e6 3579 | 30 3580 | 0c 3581 | 88 3582 | d0 3583 | 07 3584 | 20 3585 | 71 3586 | fe 3587 | b0 3588 | fb 3589 | a0 3590 | 10 3591 | 84 3592 | e6 3593 | a0 3594 | 20 3595 | 20 3596 | 66 3597 | fe 3598 | b9 3599 | 00 3600 | 80 3601 | 99 3602 | e0 3603 | 7f 3604 | c8 3605 | d0 3606 | f7 3607 | 20 3608 | 6b 3609 | fe 3610 | b9 3611 | 00 3612 | 81 3613 | 99 3614 | e0 3615 | 80 3616 | c8 3617 | d0 3618 | f7 3619 | a0 3620 | 1f 3621 | a9 3622 | 20 3623 | 91 3624 | de 3625 | 88 3626 | 10 3627 | fb 3628 | 60 3629 | 69 3630 | 20 3631 | 85 3632 | de 3633 | d0 3634 | 02 3635 | e6 3636 | df 3637 | 60 3638 | 88 3639 | 10 3640 | 19 3641 | a0 3642 | 1f 3643 | a5 3644 | de 3645 | d0 3646 | 0b 3647 | a6 3648 | df 3649 | e0 3650 | 80 3651 | d0 3652 | 05 3653 | 68 3654 | 68 3655 | 4c 3656 | 65 3657 | fd 3658 | e9 3659 | 20 3660 | 85 3661 | de 3662 | b0 3663 | 02 3664 | c6 3665 | df 3666 | 60 3667 | 20 3668 | fb 3669 | fe 3670 | 08 3671 | 48 3672 | d8 3673 | 84 3674 | e5 3675 | 86 3676 | e4 3677 | 20 3678 | ea 3679 | fc 3680 | 68 3681 | a6 3682 | e4 3683 | a4 3684 | e5 3685 | 28 3686 | 60 3687 | 2c 3688 | 02 3689 | b0 3690 | 10 3691 | fb 3692 | 2c 3693 | 02 3694 | b0 3695 | 30 3696 | fb 3697 | 60 3698 | a0 3699 | 3b 3700 | 18 3701 | a9 3702 | 20 3703 | a2 3704 | 0a 3705 | 2c 3706 | 01 3707 | b0 3708 | f0 3709 | 08 3710 | ee 3711 | 00 3712 | b0 3713 | 88 3714 | ca 3715 | d0 3716 | f4 3717 | 4a 3718 | 08 3719 | 48 3720 | ad 3721 | 00 3722 | b0 3723 | 29 3724 | f0 3725 | 8d 3726 | 00 3727 | b0 3728 | 68 3729 | 28 3730 | d0 3731 | e3 3732 | 60 3733 | 08 3734 | d8 3735 | 86 3736 | e4 3737 | 84 3738 | e5 3739 | 2c 3740 | 02 3741 | b0 3742 | 50 3743 | 05 3744 | 20 3745 | 71 3746 | fe 3747 | 90 3748 | f6 3749 | 20 3750 | 8a 3751 | fb 3752 | 20 3753 | 71 3754 | fe 3755 | b0 3756 | fb 3757 | 20 3758 | 71 3759 | fe 3760 | b0 3761 | f6 3762 | 98 3763 | a2 3764 | 17 3765 | 20 3766 | c5 3767 | fe 3768 | bd 3769 | e3 3770 | fe 3771 | 85 3772 | e2 3773 | a9 3774 | fd 3775 | 85 3776 | e3 3777 | 98 3778 | 6c 3779 | e2 3780 | 00 3781 | ca 3782 | dd 3783 | cb 3784 | fe 3785 | 90 3786 | fa 3787 | 60 3788 | 00 3789 | 08 3790 | 09 3791 | 0a 3792 | 0b 3793 | 0c 3794 | 0d 3795 | 0e 3796 | 0f 3797 | 1e 3798 | 7f 3799 | 00 3800 | 01 3801 | 05 3802 | 06 3803 | 08 3804 | 0e 3805 | 0f 3806 | 10 3807 | 11 3808 | 1c 3809 | 20 3810 | 21 3811 | 3b 3812 | 44 3813 | 5c 3814 | 38 3815 | 62 3816 | 87 3817 | 69 3818 | 40 3819 | 8d 3820 | 92 3821 | 7d 3822 | 50 3823 | df 3824 | d2 3825 | 9a 3826 | a2 3827 | e2 3828 | ae 3829 | c0 3830 | df 3831 | d8 3832 | d6 3833 | c8 3834 | c6 3835 | c2 3836 | 48 3837 | c9 3838 | 02 3839 | f0 3840 | 27 3841 | c9 3842 | 03 3843 | f0 3844 | 34 3845 | c5 3846 | fe 3847 | f0 3848 | 2e 3849 | ad 3850 | 0c 3851 | b8 3852 | 29 3853 | 0e 3854 | f0 3855 | 27 3856 | 68 3857 | 2c 3858 | 01 3859 | b8 3860 | 30 3861 | fb 3862 | 8d 3863 | 01 3864 | b8 3865 | 48 3866 | ad 3867 | 0c 3868 | b8 3869 | 29 3870 | f0 3871 | 09 3872 | 0c 3873 | 8d 3874 | 0c 3875 | b8 3876 | 09 3877 | 02 3878 | d0 3879 | 0c 3880 | a9 3881 | 7f 3882 | 8d 3883 | 03 3884 | b8 3885 | ad 3886 | 0c 3887 | b8 3888 | 29 3889 | f0 3890 | 09 3891 | 0e 3892 | 8d 3893 | 0c 3894 | b8 3895 | 68 3896 | 60 3897 | ad 3898 | 0c 3899 | b8 3900 | 29 3901 | f0 3902 | b0 3903 | f4 3904 | a2 3905 | 17 3906 | bd 3907 | 9a 3908 | ff 3909 | 9d 3910 | 04 3911 | 02 3912 | ca 3913 | 10 3914 | f7 3915 | 9a 3916 | 8a 3917 | e8 3918 | 86 3919 | ea 3920 | 86 3921 | e1 3922 | 86 3923 | e7 3924 | a2 3925 | 33 3926 | 9d 3927 | eb 3928 | 02 3929 | ca 3930 | 10 3931 | fa 3932 | a9 3933 | 0a 3934 | 85 3935 | fe 3936 | a9 3937 | 8a 3938 | 8d 3939 | 03 3940 | b0 3941 | a9 3942 | 07 3943 | 8d 3944 | 02 3945 | b0 3946 | 20 3947 | d1 3948 | f7 3949 | 06 3950 | 0c 3951 | 0f 3952 | 41 3953 | 43 3954 | 4f 3955 | 52 3956 | 4e 3957 | 20 3958 | 41 3959 | 54 3960 | 4f 3961 | 4d 3962 | 0a 3963 | 0a 3964 | 0d 3965 | a9 3966 | 82 3967 | 85 3968 | 12 3969 | 58 3970 | a9 3971 | 55 3972 | 8d 3973 | 01 3974 | 29 3975 | cd 3976 | 01 3977 | 29 3978 | d0 3979 | 0c 3980 | 0a 3981 | 8d 3982 | 01 3983 | 29 3984 | cd 3985 | 01 3986 | 29 3987 | d0 3988 | 03 3989 | 4c 3990 | b2 3991 | c2 3992 | 4c 3993 | b6 3994 | c2 3995 | 00 3996 | a0 3997 | ef 3998 | f8 3999 | 52 4000 | fe 4001 | 94 4002 | fe 4003 | 6e 4004 | f9 4005 | e5 4006 | fa 4007 | ac 4008 | c2 4009 | ac 4010 | c2 4011 | ee 4012 | fb 4013 | 7c 4014 | fc 4015 | 38 4016 | fc 4017 | 78 4018 | c2 4019 | 85 4020 | ff 4021 | 68 4022 | 48 4023 | 29 4024 | 10 4025 | d0 4026 | 06 4027 | a5 4028 | ff 4029 | 48 4030 | 6c 4031 | 04 4032 | 02 4033 | a5 4034 | ff 4035 | 28 4036 | 08 4037 | 6c 4038 | 02 4039 | 02 4040 | 48 4041 | 6c 4042 | 00 4043 | 02 4044 | 6c 4045 | 1a 4046 | 02 4047 | 6c 4048 | 18 4049 | 02 4050 | 6c 4051 | 16 4052 | 02 4053 | 6c 4054 | 14 4055 | 02 4056 | 6c 4057 | 12 4058 | 02 4059 | 6c 4060 | 10 4061 | 02 4062 | 6c 4063 | 0e 4064 | 02 4065 | 6c 4066 | 0c 4067 | 02 4068 | 6c 4069 | 0a 4070 | 02 4071 | 20 4072 | e3 4073 | ff 4074 | c9 4075 | 0d 4076 | d0 4077 | 07 4078 | a9 4079 | 0a 4080 | 20 4081 | f4 4082 | ff 4083 | a9 4084 | 0d 4085 | 6c 4086 | 08 4087 | 02 4088 | 6c 4089 | 06 4090 | 02 4091 | c7 4092 | ff 4093 | 3f 4094 | ff 4095 | b2 4096 | ff 4097 | -------------------------------------------------------------------------------- /roms/sddos.hex: -------------------------------------------------------------------------------- 1 | 2C 2 | 01 3 | B0 4 | 70 5 | 03 6 | 4C 7 | B2 8 | C2 9 | 98 10 | 48 11 | 8A 12 | 48 13 | A2 14 | 00 15 | 8E 16 | CA 17 | 03 18 | A9 19 | 2B 20 | 8D 21 | 0B 22 | 80 23 | BD 24 | 74 25 | EB 26 | 29 27 | BF 28 | 9D 29 | 0D 30 | 80 31 | E8 32 | C9 33 | 20 34 | D0 35 | F3 36 | 20 37 | C0 38 | E7 39 | 2C 40 | 01 41 | B0 42 | 30 43 | 0A 44 | A9 45 | 3C 46 | 8D 47 | 0A 48 | 02 49 | A9 50 | E0 51 | 8D 52 | 0B 53 | 02 54 | 68 55 | AA 56 | 68 57 | A8 58 | 4C 59 | B2 60 | C2 61 | 08 62 | D8 63 | 86 64 | E4 65 | 84 66 | E5 67 | AE 68 | CA 69 | 03 70 | BD 71 | 66 72 | E0 73 | C9 74 | 0D 75 | F0 76 | 0A 77 | E8 78 | 8E 79 | CA 80 | 03 81 | A6 82 | E4 83 | A4 84 | E5 85 | 28 86 | 60 87 | A9 88 | 94 89 | 8D 90 | 0A 91 | 02 92 | A9 93 | FE 94 | 8D 95 | 0B 96 | 02 97 | A9 98 | 0D 99 | 48 100 | 4C 101 | 5C 102 | FE 103 | 2A 104 | 4D 105 | 45 106 | 4E 107 | 55 108 | 0D 109 | 00 110 | 6C 111 | 52 112 | 00 113 | A2 114 | FF 115 | D8 116 | A0 117 | 00 118 | 20 119 | 76 120 | F8 121 | 88 122 | C8 123 | E8 124 | BD 125 | CD 126 | E0 127 | 30 128 | 18 129 | D9 130 | 00 131 | 01 132 | F0 133 | F4 134 | CA 135 | E8 136 | BD 137 | CD 138 | E0 139 | 10 140 | FA 141 | E8 142 | B9 143 | 00 144 | 01 145 | C9 146 | 2E 147 | D0 148 | DF 149 | C8 150 | CA 151 | B0 152 | E3 153 | 84 154 | 9A 155 | A4 156 | 03 157 | 84 158 | D5 159 | A4 160 | 05 161 | 84 162 | D6 163 | A4 164 | 06 165 | 84 166 | D7 167 | A0 168 | 00 169 | 84 170 | 05 171 | A0 172 | 01 173 | 84 174 | 06 175 | A4 176 | 9A 177 | 84 178 | 03 179 | 85 180 | 53 181 | BD 182 | CE 183 | E0 184 | 85 185 | 52 186 | 20 187 | 6D 188 | E0 189 | A4 190 | D6 191 | 84 192 | 05 193 | A4 194 | D7 195 | 84 196 | 06 197 | A4 198 | D5 199 | 84 200 | 03 201 | A9 202 | 0D 203 | 91 204 | 05 205 | 60 206 | 43 207 | 41 208 | 54 209 | E1 210 | 8A 211 | 44 212 | 45 213 | 4C 214 | 45 215 | 54 216 | 45 217 | E2 218 | B7 219 | 44 220 | 49 221 | 52 222 | E2 223 | DA 224 | 44 225 | 52 226 | 49 227 | 56 228 | 45 229 | E2 230 | E0 231 | 49 232 | 4E 233 | 46 234 | 4F 235 | E2 236 | F5 237 | 49 238 | 4E 239 | 46 240 | 41 241 | 4C 242 | 4C 243 | E2 244 | FB 245 | 4C 246 | 4F 247 | 41 248 | 44 249 | E3 250 | 12 251 | 4C 252 | 4F 253 | 43 254 | 4B 255 | E3 256 | BD 257 | 4D 258 | 4F 259 | 4E 260 | E3 261 | C1 262 | 4E 263 | 4F 264 | 4D 265 | 4F 266 | 4E 267 | E3 268 | CB 269 | 52 270 | 55 271 | 4E 272 | E3 273 | D0 274 | 53 275 | 41 276 | 56 277 | 45 278 | E3 279 | DB 280 | 53 281 | 45 282 | 54 283 | E5 284 | 79 285 | 54 286 | 49 287 | 54 288 | 4C 289 | 45 290 | E5 291 | 87 292 | 55 293 | 4E 294 | 4C 295 | 4F 296 | 43 297 | 4B 298 | E5 299 | F1 300 | 55 301 | 53 302 | 45 303 | E6 304 | 10 305 | 44 306 | 49 307 | 4E 308 | E8 309 | 1B 310 | 44 311 | 43 312 | 41 313 | 54 314 | E8 315 | 7E 316 | 44 317 | 44 318 | 49 319 | 53 320 | 4B 321 | 53 322 | E9 323 | 29 324 | 44 325 | 50 326 | 52 327 | 4F 328 | 54 329 | E9 330 | 6F 331 | 44 332 | 55 333 | 4E 334 | 50 335 | 52 336 | 4F 337 | 54 338 | E9 339 | 87 340 | 44 341 | 46 342 | 52 343 | 45 344 | 45 345 | E9 346 | 9F 347 | 44 348 | 4B 349 | 49 350 | 4C 351 | 4C 352 | EA 353 | 0D 354 | 44 355 | 52 356 | 45 357 | 53 358 | 54 359 | 4F 360 | 52 361 | 45 362 | EA 363 | 4B 364 | 44 365 | 4E 366 | 45 367 | 57 368 | EA 369 | 60 370 | 44 371 | 46 372 | 4F 373 | 52 374 | 4D 375 | EA 376 | D8 377 | 44 378 | 4F 379 | 4E 380 | 42 381 | 4F 382 | 4F 383 | 54 384 | EB 385 | 4E 386 | 44 387 | 48 388 | 45 389 | 4C 390 | 50 391 | EB 392 | 6B 393 | E6 394 | 20 395 | 20 396 | DA 397 | E2 398 | A2 399 | 00 400 | 86 401 | B6 402 | BD 403 | 00 404 | 20 405 | E0 406 | 08 407 | 90 408 | 03 409 | BD 410 | F8 411 | 20 412 | 20 413 | F4 414 | FF 415 | E8 416 | E0 417 | 0D 418 | D0 419 | EE 420 | 20 421 | D1 422 | F7 423 | 20 424 | 44 425 | 52 426 | 3A 427 | EA 428 | A5 429 | EE 430 | 20 431 | 0B 432 | F8 433 | 20 434 | D1 435 | F7 436 | 20 437 | 51 438 | 3A 439 | EA 440 | A5 441 | AC 442 | 20 443 | F4 444 | FF 445 | 20 446 | D1 447 | F7 448 | 20 449 | 44 450 | 53 451 | 4B 452 | 3A 453 | EA 454 | A5 455 | EE 456 | 0A 457 | A8 458 | B6 459 | F0 460 | B9 461 | F1 462 | 00 463 | A8 464 | 20 465 | 72 466 | ED 467 | A0 468 | 00 469 | 20 470 | ED 471 | E1 472 | 90 473 | 4A 474 | 20 475 | FF 476 | ED 477 | B9 478 | 08 479 | 20 480 | 29 481 | 7F 482 | 99 483 | 08 484 | 20 485 | 98 486 | D0 487 | F2 488 | 4C 489 | ED 490 | FF 491 | 20 492 | F6 493 | ED 494 | CC 495 | 05 496 | 21 497 | B0 498 | 05 499 | B9 500 | 08 501 | 20 502 | 30 503 | F3 504 | 60 505 | A4 506 | B8 507 | F0 508 | 05 509 | 20 510 | ED 511 | FF 512 | A0 513 | FF 514 | C8 515 | 84 516 | B8 517 | 20 518 | ED 519 | ED 520 | A9 521 | 23 522 | A4 523 | B7 524 | BE 525 | 0F 526 | 20 527 | 30 528 | 02 529 | A9 530 | 20 531 | 20 532 | F4 533 | FF 534 | A2 535 | 00 536 | B5 537 | AE 538 | 20 539 | F4 540 | FF 541 | E8 542 | E0 543 | 07 544 | D0 545 | F6 546 | F0 547 | AF 548 | 84 549 | B7 550 | A2 551 | 00 552 | B9 553 | 08 554 | 20 555 | 29 556 | 7F 557 | 95 558 | AE 559 | C8 560 | E8 561 | E0 562 | 08 563 | D0 564 | F3 565 | 20 566 | ED 567 | E1 568 | B0 569 | 1D 570 | A2 571 | 06 572 | 38 573 | B9 574 | 0E 575 | 20 576 | F5 577 | AE 578 | 88 579 | CA 580 | 10 581 | F7 582 | 20 583 | F7 584 | ED 585 | B9 586 | 0F 587 | 20 588 | 29 589 | 7F 590 | E5 591 | B5 592 | 90 593 | D2 594 | 20 595 | F6 596 | ED 597 | B0 598 | DE 599 | A4 600 | B7 601 | B9 602 | 08 603 | 20 604 | 09 605 | 80 606 | 99 607 | 08 608 | 20 609 | A5 610 | B5 611 | C5 612 | B6 613 | F0 614 | 92 615 | 85 616 | B6 617 | 20 618 | ED 619 | FF 620 | A5 621 | B5 622 | 20 623 | F4 624 | FF 625 | A9 626 | 3A 627 | 20 628 | F4 629 | FF 630 | A0 631 | 04 632 | 20 633 | EF 634 | ED 635 | 84 636 | B8 637 | F0 638 | 89 639 | B9 640 | 0E 641 | 21 642 | 20 643 | 09 644 | EE 645 | 85 646 | A2 647 | 18 648 | A9 649 | FF 650 | 79 651 | 0C 652 | 21 653 | B9 654 | 0F 655 | 21 656 | 79 657 | 0D 658 | 21 659 | 85 660 | A3 661 | B9 662 | 0E 663 | 21 664 | 29 665 | 0F 666 | 65 667 | A2 668 | 85 669 | A2 670 | 38 671 | B9 672 | 07 673 | 21 674 | E5 675 | A3 676 | 48 677 | B9 678 | 06 679 | 21 680 | 29 681 | 0F 682 | E5 683 | A2 684 | AA 685 | A9 686 | 00 687 | C5 688 | A0 689 | 68 690 | E5 691 | A1 692 | 8A 693 | E9 694 | 00 695 | 60 696 | 20 697 | CE 698 | ED 699 | B9 700 | 0F 701 | 20 702 | 30 703 | 11 704 | 20 705 | E4 706 | E6 707 | 20 708 | 4D 709 | E6 710 | A4 711 | 9A 712 | 20 713 | 97 714 | E7 715 | 20 716 | 65 717 | EC 718 | 4C 719 | 56 720 | E3 721 | 20 722 | D1 723 | F7 724 | 50 725 | 52 726 | 4F 727 | 54 728 | 3F 729 | EA 730 | 00 731 | 20 732 | E0 733 | E2 734 | 4C 735 | 17 736 | EC 737 | 20 738 | 76 739 | F8 740 | C9 741 | 0D 742 | F0 743 | 0D 744 | 20 745 | 28 746 | ED 747 | 45 748 | EE 749 | C9 750 | 80 751 | F0 752 | 04 753 | 45 754 | EE 755 | 85 756 | EE 757 | 60 758 | 20 759 | CE 760 | ED 761 | 4C 762 | E8 763 | E6 764 | 20 765 | 17 766 | EC 767 | A0 768 | 00 769 | 84 770 | 9A 771 | 20 772 | E8 773 | E6 774 | A4 775 | 9A 776 | 20 777 | F6 778 | ED 779 | 84 780 | 9A 781 | CC 782 | 05 783 | 21 784 | D0 785 | F1 786 | 60 787 | 20 788 | 4D 789 | E7 790 | A2 791 | 9C 792 | 20 793 | 93 794 | F8 795 | F0 796 | 04 797 | A9 798 | FF 799 | 85 800 | 9E 801 | A2 802 | 9A 803 | 18 804 | 6C 805 | 0C 806 | 02 807 | 20 808 | D1 809 | ED 810 | A2 811 | 00 812 | A5 813 | 9E 814 | 10 815 | 04 816 | A2 817 | 02 818 | C8 819 | C8 820 | B9 821 | 08 822 | 21 823 | 95 824 | 9C 825 | C8 826 | E8 827 | E0 828 | 08 829 | D0 830 | F5 831 | A5 832 | 9C 833 | 85 834 | F9 835 | A5 836 | 9D 837 | 85 838 | FA 839 | A5 840 | A0 841 | 85 842 | FB 843 | A5 844 | A1 845 | 85 846 | FC 847 | 20 848 | 5B 849 | E3 850 | A4 851 | 9A 852 | 20 853 | E4 854 | E6 855 | A5 856 | AD 857 | 85 858 | AC 859 | 60 860 | 20 861 | 75 862 | EC 863 | 24 864 | FD 865 | 30 866 | 03 867 | 4C 868 | 70 869 | E3 870 | 20 871 | 61 872 | EE 873 | 20 874 | B4 875 | E3 876 | A2 877 | 01 878 | 4C 879 | 75 880 | E3 881 | 20 882 | 61 883 | EE 884 | A2 885 | 02 886 | A0 887 | 00 888 | 20 889 | D8 890 | EF 891 | 91 892 | F9 893 | A5 894 | FB 895 | D0 896 | 02 897 | C6 898 | FC 899 | C6 900 | FB 901 | A5 902 | FB 903 | 05 904 | FC 905 | F0 906 | 18 907 | C8 908 | D0 909 | EA 910 | E6 911 | FA 912 | CA 913 | D0 914 | E3 915 | 20 916 | 70 917 | EE 918 | E6 919 | 84 920 | D0 921 | 06 922 | E6 923 | 85 924 | D0 925 | 02 926 | E6 927 | 86 928 | 4C 929 | 70 930 | E3 931 | C0 932 | 00 933 | F0 934 | 04 935 | 20 936 | B6 937 | E3 938 | CA 939 | E0 940 | 00 941 | F0 942 | 03 943 | 20 944 | B4 945 | E3 946 | 4C 947 | 70 948 | EE 949 | A0 950 | 00 951 | 20 952 | D8 953 | EF 954 | C8 955 | D0 956 | FA 957 | 60 958 | 38 959 | 4C 960 | F2 961 | E5 962 | A2 963 | 00 964 | 20 965 | DA 966 | ED 967 | 86 968 | EF 969 | 4C 970 | 56 971 | E3 972 | A2 973 | FF 974 | 4C 975 | C3 976 | E3 977 | 20 978 | 12 979 | E3 980 | A4 981 | 03 982 | 20 983 | 3B 984 | E6 985 | 6C 986 | 9E 987 | 00 988 | 20 989 | 4D 990 | E7 991 | A2 992 | 9C 993 | 20 994 | 65 995 | FA 996 | A2 997 | A2 998 | 20 999 | 65 1000 | FA 1001 | A2 1002 | 9E 1003 | 20 1004 | 93 1005 | F8 1006 | 08 1007 | A5 1008 | 9C 1009 | A6 1010 | 9D 1011 | 28 1012 | D0 1013 | 04 1014 | 85 1015 | 9E 1016 | 86 1017 | 9F 1018 | 85 1019 | A0 1020 | 86 1021 | A1 1022 | 84 1023 | 03 1024 | 20 1025 | 76 1026 | FA 1027 | A2 1028 | 9A 1029 | 18 1030 | 6C 1031 | 0E 1032 | 02 1033 | 20 1034 | 7B 1035 | E6 1036 | 20 1037 | 17 1038 | EC 1039 | 20 1040 | 4D 1041 | E6 1042 | 20 1043 | B5 1044 | E6 1045 | 90 1046 | 03 1047 | 20 1048 | 97 1049 | E7 1050 | A5 1051 | A0 1052 | 48 1053 | A5 1054 | A1 1055 | 48 1056 | 38 1057 | A5 1058 | A2 1059 | E5 1060 | A0 1061 | 85 1062 | A0 1063 | A5 1064 | A3 1065 | E5 1066 | A1 1067 | 85 1068 | A1 1069 | A9 1070 | 00 1071 | 85 1072 | A2 1073 | A9 1074 | 02 1075 | 85 1076 | A3 1077 | AC 1078 | 05 1079 | 21 1080 | F0 1081 | 44 1082 | C0 1083 | F8 1084 | 90 1085 | 09 1086 | 20 1087 | D1 1088 | F7 1089 | 46 1090 | 55 1091 | 4C 1092 | 4C 1093 | EA 1094 | 00 1095 | 20 1096 | DF 1097 | E4 1098 | 4C 1099 | 52 1100 | E4 1101 | 20 1102 | FF 1103 | ED 1104 | 20 1105 | C0 1106 | E4 1107 | 98 1108 | F0 1109 | 02 1110 | 90 1111 | F5 1112 | B0 1113 | 0C 1114 | 20 1115 | D1 1116 | F7 1117 | 4E 1118 | 4F 1119 | 20 1120 | 52 1121 | 4F 1122 | 4F 1123 | 4D 1124 | EA 1125 | 00 1126 | 84 1127 | EA 1128 | AC 1129 | 05 1130 | 21 1131 | C4 1132 | EA 1133 | F0 1134 | 0F 1135 | B9 1136 | 07 1137 | 20 1138 | 99 1139 | 0F 1140 | 20 1141 | B9 1142 | 07 1143 | 21 1144 | 99 1145 | 0F 1146 | 21 1147 | 88 1148 | B0 1149 | ED 1150 | A2 1151 | 00 1152 | B5 1153 | A5 1154 | 99 1155 | 08 1156 | 20 1157 | C8 1158 | E8 1159 | E0 1160 | 08 1161 | D0 1162 | F5 1163 | B5 1164 | 9B 1165 | 88 1166 | 99 1167 | 08 1168 | 21 1169 | CA 1170 | D0 1171 | F7 1172 | 20 1173 | E4 1174 | E6 1175 | 68 1176 | 85 1177 | 9D 1178 | 68 1179 | 85 1180 | 9C 1181 | AC 1182 | 05 1183 | 21 1184 | 20 1185 | F6 1186 | ED 1187 | 8C 1188 | 05 1189 | 21 1190 | 20 1191 | 65 1192 | EC 1193 | A5 1194 | 9C 1195 | 85 1196 | F9 1197 | A5 1198 | 9D 1199 | 85 1200 | FA 1201 | A5 1202 | A1 1203 | 85 1204 | FB 1205 | A5 1206 | A0 1207 | F0 1208 | 02 1209 | E6 1210 | FB 1211 | 20 1212 | F9 1213 | E4 1214 | 4C 1215 | 56 1216 | E3 1217 | B9 1218 | 0E 1219 | 21 1220 | 20 1221 | 09 1222 | EE 1223 | 85 1224 | A2 1225 | 18 1226 | A9 1227 | FF 1228 | 79 1229 | 0C 1230 | 21 1231 | B9 1232 | 0F 1233 | 21 1234 | 79 1235 | 0D 1236 | 21 1237 | 85 1238 | A3 1239 | B9 1240 | 0E 1241 | 21 1242 | 29 1243 | 0F 1244 | 65 1245 | A2 1246 | 85 1247 | A2 1248 | 38 1249 | B9 1250 | 07 1251 | 21 1252 | E5 1253 | A3 1254 | 48 1255 | B9 1256 | 06 1257 | 21 1258 | 29 1259 | 0F 1260 | E5 1261 | A2 1262 | AA 1263 | A9 1264 | 00 1265 | C5 1266 | A0 1267 | 68 1268 | E5 1269 | A1 1270 | 8A 1271 | E9 1272 | 00 1273 | 60 1274 | 20 1275 | 75 1276 | EC 1277 | 24 1278 | FD 1279 | 30 1280 | 03 1281 | 4C 1282 | 16 1283 | E5 1284 | 20 1285 | 52 1286 | E5 1287 | C6 1288 | FA 1289 | 20 1290 | 79 1291 | EE 1292 | 20 1293 | 6E 1294 | E5 1295 | 20 1296 | 65 1297 | E5 1298 | A2 1299 | 01 1300 | 4C 1301 | 27 1302 | E5 1303 | A5 1304 | FB 1305 | C9 1306 | 01 1307 | D0 1308 | 06 1309 | 20 1310 | 52 1311 | E5 1312 | 20 1313 | 65 1314 | E5 1315 | 20 1316 | 79 1317 | EE 1318 | A2 1319 | 02 1320 | 20 1321 | 6E 1322 | E5 1323 | E6 1324 | FA 1325 | C6 1326 | FB 1327 | F0 1328 | 13 1329 | CA 1330 | D0 1331 | F4 1332 | 20 1333 | 99 1334 | EE 1335 | E6 1336 | 84 1337 | D0 1338 | 06 1339 | E6 1340 | 85 1341 | D0 1342 | 02 1343 | E6 1344 | 86 1345 | 4C 1346 | 16 1347 | E5 1348 | E0 1349 | 02 1350 | D0 1351 | 08 1352 | 20 1353 | D9 1354 | EB 1355 | E6 1356 | FA 1357 | 20 1358 | 6E 1359 | E5 1360 | 4C 1361 | 99 1362 | EE 1363 | 8A 1364 | 48 1365 | A5 1366 | F9 1367 | 85 1368 | FE 1369 | A5 1370 | FA 1371 | 85 1372 | FF 1373 | 20 1374 | D9 1375 | EB 1376 | 20 1377 | 33 1378 | EE 1379 | 68 1380 | AA 1381 | 60 1382 | A5 1383 | FF 1384 | 85 1385 | FA 1386 | A5 1387 | FE 1388 | 85 1389 | F9 1390 | 60 1391 | A0 1392 | 00 1393 | B1 1394 | F9 1395 | 20 1396 | DA 1397 | EF 1398 | C8 1399 | D0 1400 | F8 1401 | 60 1402 | A4 1403 | 03 1404 | C8 1405 | 20 1406 | 76 1407 | FA 1408 | B9 1409 | FF 1410 | 00 1411 | 85 1412 | AC 1413 | 85 1414 | AD 1415 | 60 1416 | 20 1417 | 4D 1418 | E7 1419 | 20 1420 | 17 1421 | EC 1422 | A2 1423 | FF 1424 | E8 1425 | BD 1426 | 40 1427 | 01 1428 | C9 1429 | 0D 1430 | D0 1431 | F8 1432 | E0 1433 | 0E 1434 | B0 1435 | 03 1436 | 4C 1437 | A8 1438 | E5 1439 | 20 1440 | D1 1441 | F7 1442 | 4E 1443 | 41 1444 | 4D 1445 | 45 1446 | 3F 1447 | EA 1448 | 00 1449 | A5 1450 | EE 1451 | 20 1452 | 94 1453 | ED 1454 | 20 1455 | 00 1456 | EC 1457 | 20 1458 | 4D 1459 | E6 1460 | A0 1461 | 00 1462 | B9 1463 | 40 1464 | 01 1465 | C9 1466 | 0D 1467 | F0 1468 | 13 1469 | 91 1470 | 87 1471 | C0 1472 | 08 1473 | B0 1474 | 06 1475 | 99 1476 | 00 1477 | 20 1478 | 4C 1479 | CB 1480 | E5 1481 | 99 1482 | F8 1483 | 20 1484 | C8 1485 | 4C 1486 | B5 1487 | E5 1488 | C0 1489 | 0D 1490 | F0 1491 | 15 1492 | A9 1493 | 20 1494 | 91 1495 | 87 1496 | C0 1497 | 08 1498 | B0 1499 | 06 1500 | 99 1501 | 00 1502 | 20 1503 | 4C 1504 | E4 1505 | E5 1506 | 99 1507 | F8 1508 | 20 1509 | C8 1510 | 4C 1511 | CF 1512 | E5 1513 | 20 1514 | 10 1515 | EC 1516 | 20 1517 | 65 1518 | EC 1519 | 4C 1520 | CA 1521 | EB 1522 | 18 1523 | 08 1524 | 20 1525 | 4D 1526 | E7 1527 | 20 1528 | 7B 1529 | E6 1530 | 20 1531 | A6 1532 | E6 1533 | 20 1534 | 4D 1535 | E6 1536 | A5 1537 | AC 1538 | 2A 1539 | 28 1540 | 6A 1541 | 99 1542 | 0F 1543 | 20 1544 | 20 1545 | E4 1546 | E6 1547 | 20 1548 | 65 1549 | EC 1550 | 4C 1551 | 56 1552 | E3 1553 | A5 1554 | AC 1555 | 85 1556 | AD 1557 | A4 1558 | 03 1559 | C8 1560 | 20 1561 | 76 1562 | FA 1563 | B9 1564 | FF 1565 | 00 1566 | 85 1567 | AC 1568 | 60 1569 | 20 1570 | 4D 1571 | E7 1572 | 20 1573 | 7B 1574 | E6 1575 | 20 1576 | 3B 1577 | E6 1578 | 20 1579 | B5 1580 | E6 1581 | B0 1582 | 03 1583 | 4C 1584 | 26 1585 | F9 1586 | A9 1587 | 00 1588 | 85 1589 | 9E 1590 | 20 1591 | 20 1592 | E3 1593 | 6C 1594 | 9E 1595 | 00 1596 | 20 1597 | 76 1598 | F8 1599 | A2 1600 | 00 1601 | B9 1602 | 00 1603 | 01 1604 | 9D 1605 | 00 1606 | 01 1607 | E8 1608 | C8 1609 | C9 1610 | 0D 1611 | D0 1612 | F4 1613 | 60 1614 | A5 1615 | F8 1616 | 4C 1617 | 68 1618 | E6 1619 | A0 1620 | 0F 1621 | B1 1622 | 87 1623 | C9 1624 | FF 1625 | D0 1626 | 20 1627 | 20 1628 | D1 1629 | F7 1630 | 4E 1631 | 4F 1632 | 54 1633 | 20 1634 | 56 1635 | 41 1636 | 4C 1637 | 49 1638 | 44 1639 | EA 1640 | 00 1641 | C9 1642 | 00 1643 | D0 1644 | 0E 1645 | 20 1646 | D1 1647 | F7 1648 | 44 1649 | 49 1650 | 53 1651 | 4B 1652 | 20 1653 | 50 1654 | 52 1655 | 4F 1656 | 54 1657 | EA 1658 | 00 1659 | 60 1660 | A0 1661 | 00 1662 | B5 1663 | 00 1664 | 99 1665 | 9A 1666 | 00 1667 | E8 1668 | C8 1669 | C0 1670 | 0A 1671 | 90 1672 | F5 1673 | A9 1674 | 20 1675 | A0 1676 | 06 1677 | 99 1678 | A5 1679 | 00 1680 | 88 1681 | 10 1682 | FA 1683 | C8 1684 | B1 1685 | 9A 1686 | C9 1687 | 0D 1688 | F0 1689 | 09 1690 | C0 1691 | 07 1692 | B0 1693 | 06 1694 | 99 1695 | A5 1696 | 00 1697 | D0 1698 | F0 1699 | 60 1700 | 4C 1701 | 9E 1702 | E5 1703 | 20 1704 | B5 1705 | E6 1706 | B0 1707 | F7 1708 | 20 1709 | D1 1710 | F7 1711 | 46 1712 | 49 1713 | 4C 1714 | 45 1715 | 3F 1716 | EA 1717 | 00 1718 | 20 1719 | 17 1720 | EC 1721 | A0 1722 | F8 1723 | 20 1724 | F6 1725 | ED 1726 | CC 1727 | 05 1728 | 21 1729 | B0 1730 | 20 1731 | B9 1732 | 0F 1733 | 20 1734 | 29 1735 | 7F 1736 | C5 1737 | AC 1738 | D0 1739 | EF 1740 | 20 1741 | F7 1742 | ED 1743 | A2 1744 | 06 1745 | B9 1746 | 07 1747 | 20 1748 | D5 1749 | A5 1750 | D0 1751 | 05 1752 | 88 1753 | CA 1754 | 10 1755 | F5 1756 | 60 1757 | 88 1758 | CA 1759 | 10 1760 | FC 1761 | 30 1762 | D8 1763 | 18 1764 | 60 1765 | A5 1766 | EF 1767 | D0 1768 | 64 1769 | B9 1770 | 0F 1771 | 20 1772 | 29 1773 | 7F 1774 | 20 1775 | F4 1776 | FF 1777 | 20 1778 | FD 1779 | F7 1780 | BE 1781 | 0F 1782 | 20 1783 | 10 1784 | 02 1785 | A9 1786 | 23 1787 | 20 1788 | F4 1789 | FF 1790 | A2 1791 | 07 1792 | B9 1793 | 08 1794 | 20 1795 | 20 1796 | F4 1797 | FF 1798 | C8 1799 | CA 1800 | D0 1801 | F6 1802 | 20 1803 | FD 1804 | F7 1805 | B9 1806 | 02 1807 | 21 1808 | 20 1809 | 02 1810 | F8 1811 | B9 1812 | 01 1813 | 21 1814 | 20 1815 | 02 1816 | F8 1817 | C8 1818 | E8 1819 | C8 1820 | E0 1821 | 02 1822 | 90 1823 | EA 1824 | 20 1825 | FD 1826 | F7 1827 | 20 1828 | FD 1829 | F7 1830 | B9 1831 | 03 1832 | 21 1833 | 20 1834 | 09 1835 | EE 1836 | 20 1837 | 0B 1838 | F8 1839 | B9 1840 | 02 1841 | 21 1842 | 20 1843 | 02 1844 | F8 1845 | B9 1846 | 01 1847 | 21 1848 | 20 1849 | 02 1850 | F8 1851 | 20 1852 | FD 1853 | F7 1854 | B9 1855 | 03 1856 | 21 1857 | 20 1858 | 0B 1859 | F8 1860 | B9 1861 | 04 1862 | 21 1863 | 20 1864 | 02 1865 | F8 1866 | 20 1867 | ED 1868 | FF 1869 | 60 1870 | A2 1871 | 00 1872 | A4 1873 | 9A 1874 | 20 1875 | 76 1876 | F8 1877 | C9 1878 | 22 1879 | F0 1880 | 20 1881 | C9 1882 | 0D 1883 | F0 1884 | 0C 1885 | 9D 1886 | 40 1887 | 01 1888 | E8 1889 | C8 1890 | B9 1891 | 00 1892 | 01 1893 | C9 1894 | 20 1895 | D0 1896 | F0 1897 | A9 1898 | 0D 1899 | 9D 1900 | 40 1901 | 01 1902 | A9 1903 | 40 1904 | 85 1905 | 9A 1906 | A9 1907 | 01 1908 | 85 1909 | 9B 1910 | A2 1911 | 9A 1912 | 60 1913 | C8 1914 | B9 1915 | 00 1916 | 01 1917 | C9 1918 | 0D 1919 | F0 1920 | 14 1921 | 9D 1922 | 40 1923 | 01 1924 | E8 1925 | C9 1926 | 22 1927 | D0 1928 | F0 1929 | CA 1930 | C8 1931 | B9 1932 | 00 1933 | 01 1934 | C9 1935 | 22 1936 | D0 1937 | D7 1938 | E8 1939 | B0 1940 | E4 1941 | 4C 1942 | 9E 1943 | E5 1944 | B9 1945 | 0F 1946 | 20 1947 | 30 1948 | 19 1949 | B9 1950 | 10 1951 | 20 1952 | 99 1953 | 08 1954 | 20 1955 | B9 1956 | 10 1957 | 21 1958 | 99 1959 | 08 1960 | 21 1961 | C8 1962 | CC 1963 | 05 1964 | 21 1965 | 90 1966 | EE 1967 | 98 1968 | E9 1969 | 08 1970 | 8D 1971 | 05 1972 | 21 1973 | 60 1974 | 4C 1975 | D0 1976 | E2 1977 | 53 1978 | 44 1979 | 44 1980 | 4F 1981 | 53 1982 | 20 1983 | 20 1984 | 20 1985 | 20 1986 | 0E 1987 | EE 1988 | 20 1989 | EB 1990 | EB 1991 | A0 1992 | 07 1993 | B9 1994 | 08 1995 | 23 1996 | D9 1997 | B8 1998 | E7 1999 | F0 2000 | 0F 2001 | 20 2002 | D1 2003 | F7 2004 | 53 2005 | 44 2006 | 20 2007 | 46 2008 | 4F 2009 | 52 2010 | 4D 2011 | 41 2012 | 54 2013 | 3F 2014 | EA 2015 | 00 2016 | 88 2017 | 10 2018 | E6 2019 | A0 2020 | 07 2021 | B9 2022 | 00 2023 | 23 2024 | 99 2025 | F0 2026 | 00 2027 | 88 2028 | 10 2029 | F7 2030 | A9 2031 | 70 2032 | 8D 2033 | 06 2034 | 02 2035 | A9 2036 | E0 2037 | 8D 2038 | 07 2039 | 02 2040 | A2 2041 | 03 2042 | BD 2043 | 17 2044 | E8 2045 | 9D 2046 | 0C 2047 | 02 2048 | CA 2049 | 10 2050 | F7 2051 | A9 2052 | 20 2053 | 85 2054 | AC 2055 | 85 2056 | AD 2057 | 49 2058 | 20 2059 | 85 2060 | EE 2061 | 85 2062 | C0 2063 | 85 2064 | B9 2065 | 85 2066 | BA 2067 | A0 2068 | FF 2069 | 84 2070 | EF 2071 | 60 2072 | 26 2073 | E3 2074 | 08 2075 | E4 2076 | 20 2077 | 28 2078 | ED 2079 | 20 2080 | 3F 2081 | ED 2082 | 20 2083 | DA 2084 | ED 2085 | A2 2086 | FF 2087 | A5 2088 | 83 2089 | C5 2090 | F1 2091 | D0 2092 | 0D 2093 | A5 2094 | 82 2095 | C5 2096 | F0 2097 | D0 2098 | 07 2099 | 86 2100 | F0 2101 | 86 2102 | F1 2103 | 4C 2104 | 6F 2105 | E8 2106 | A5 2107 | 83 2108 | C5 2109 | F3 2110 | D0 2111 | 0D 2112 | A5 2113 | 82 2114 | C5 2115 | F2 2116 | D0 2117 | 07 2118 | 86 2119 | F2 2120 | 86 2121 | F3 2122 | 4C 2123 | 6F 2124 | E8 2125 | A5 2126 | 83 2127 | C5 2128 | F5 2129 | D0 2130 | 0D 2131 | A5 2132 | 82 2133 | C5 2134 | F4 2135 | D0 2136 | 07 2137 | 86 2138 | F4 2139 | 86 2140 | F5 2141 | 4C 2142 | 6F 2143 | E8 2144 | A5 2145 | 83 2146 | C5 2147 | F7 2148 | D0 2149 | 0A 2150 | A5 2151 | 82 2152 | C5 2153 | F6 2154 | D0 2155 | 04 2156 | 86 2157 | F6 2158 | 86 2159 | F7 2160 | A5 2161 | 80 2162 | 0A 2163 | AA 2164 | A5 2165 | 82 2166 | 95 2167 | F0 2168 | A5 2169 | 83 2170 | 95 2171 | F1 2172 | 4C 2173 | CA 2174 | EB 2175 | 20 2176 | 3F 2177 | ED 2178 | A5 2179 | 82 2180 | 85 2181 | 92 2182 | A5 2183 | 83 2184 | 85 2185 | 93 2186 | 20 2187 | 3F 2188 | ED 2189 | A5 2190 | 82 2191 | 85 2192 | 94 2193 | A5 2194 | 83 2195 | 85 2196 | 95 2197 | 20 2198 | 76 2199 | F8 2200 | C9 2201 | 0D 2202 | F0 2203 | 19 2204 | A4 2205 | 03 2206 | B1 2207 | 05 2208 | C9 2209 | 0D 2210 | F0 2211 | 11 2212 | 85 2213 | 96 2214 | C8 2215 | B1 2216 | 05 2217 | C9 2218 | 0D 2219 | D0 2220 | 71 2221 | 84 2222 | 03 2223 | 20 2224 | 31 2225 | C2 2226 | 4C 2227 | B8 2228 | E8 2229 | A2 2230 | 00 2231 | 86 2232 | 96 2233 | 20 2234 | ED 2235 | FF 2236 | A9 2237 | 00 2238 | 85 2239 | 90 2240 | 85 2241 | 91 2242 | A6 2243 | 92 2244 | A4 2245 | 93 2246 | 20 2247 | F7 2248 | EB 2249 | A0 2250 | 0F 2251 | B1 2252 | 87 2253 | 30 2254 | 1C 2255 | A5 2256 | 96 2257 | F0 2258 | 08 2259 | A0 2260 | 00 2261 | B1 2262 | 87 2263 | C5 2264 | 96 2265 | D0 2266 | 10 2267 | A6 2268 | 92 2269 | A4 2270 | 93 2271 | 20 2272 | A1 2273 | ED 2274 | 20 2275 | ED 2276 | FF 2277 | E6 2278 | 90 2279 | D0 2280 | 02 2281 | E6 2282 | 91 2283 | E6 2284 | 92 2285 | D0 2286 | 02 2287 | E6 2288 | 93 2289 | A5 2290 | 93 2291 | C5 2292 | 95 2293 | 90 2294 | CB 2295 | D0 2296 | 08 2297 | A5 2298 | 92 2299 | C5 2300 | 94 2301 | 90 2302 | C3 2303 | F0 2304 | C1 2305 | 20 2306 | ED 2307 | FF 2308 | 20 2309 | D1 2310 | F7 2311 | 44 2312 | 49 2313 | 53 2314 | 4B 2315 | 53 2316 | 20 2317 | 46 2318 | 4F 2319 | 55 2320 | 4E 2321 | 44 2322 | 3A 2323 | EA 2324 | A6 2325 | 90 2326 | A4 2327 | 91 2328 | 20 2329 | 72 2330 | ED 2331 | 4C 2332 | ED 2333 | FF 2334 | 20 2335 | D1 2336 | F7 2337 | 46 2338 | 49 2339 | 4C 2340 | 54 2341 | 45 2342 | 52 2343 | 3F 2344 | EA 2345 | 00 2346 | 20 2347 | DA 2348 | ED 2349 | A2 2350 | 00 2351 | 86 2352 | 80 2353 | A5 2354 | 80 2355 | 48 2356 | 20 2357 | 0B 2358 | F8 2359 | A9 2360 | 3A 2361 | 20 2362 | F4 2363 | FF 2364 | 68 2365 | 20 2366 | 94 2367 | ED 2368 | 30 2369 | 18 2370 | 20 2371 | 00 2372 | EC 2373 | A0 2374 | 0F 2375 | B1 2376 | 87 2377 | C9 2378 | FF 2379 | F0 2380 | 0D 2381 | A6 2382 | 82 2383 | A4 2384 | 83 2385 | 20 2386 | A1 2387 | ED 2388 | 20 2389 | ED 2390 | FF 2391 | 4C 2392 | 64 2393 | E9 2394 | 20 2395 | D1 2396 | F7 2397 | 20 2398 | 20 2399 | 20 2400 | 2D 2401 | EA 2402 | 20 2403 | ED 2404 | FF 2405 | E6 2406 | 80 2407 | A5 2408 | 80 2409 | C9 2410 | 04 2411 | D0 2412 | C4 2413 | 4C 2414 | ED 2415 | FF 2416 | 20 2417 | 3F 2418 | ED 2419 | 20 2420 | DA 2421 | ED 2422 | 20 2423 | 00 2424 | EC 2425 | 20 2426 | 52 2427 | E6 2428 | A0 2429 | 0F 2430 | A9 2431 | 00 2432 | 91 2433 | 87 2434 | 20 2435 | 10 2436 | EC 2437 | 4C 2438 | CA 2439 | EB 2440 | 20 2441 | 3F 2442 | ED 2443 | 20 2444 | DA 2445 | ED 2446 | 20 2447 | 00 2448 | EC 2449 | 20 2450 | 52 2451 | E6 2452 | A0 2453 | 0F 2454 | A9 2455 | 0F 2456 | 91 2457 | 87 2458 | 20 2459 | 10 2460 | EC 2461 | 4C 2462 | CA 2463 | EB 2464 | 20 2465 | DA 2466 | ED 2467 | A9 2468 | 00 2469 | 85 2470 | 90 2471 | 85 2472 | 91 2473 | 85 2474 | 92 2475 | 85 2476 | 93 2477 | 85 2478 | 94 2479 | 85 2480 | 95 2481 | A6 2482 | 94 2483 | A4 2484 | 95 2485 | 20 2486 | F7 2487 | EB 2488 | A0 2489 | 0F 2490 | B1 2491 | 87 2492 | C9 2493 | FF 2494 | F0 2495 | 10 2496 | E6 2497 | 90 2498 | D0 2499 | 02 2500 | E6 2501 | 91 2502 | 29 2503 | F0 2504 | F0 2505 | 06 2506 | E6 2507 | 92 2508 | D0 2509 | 02 2510 | E6 2511 | 93 2512 | E6 2513 | 94 2514 | D0 2515 | 02 2516 | E6 2517 | 95 2518 | A5 2519 | 95 2520 | C9 2521 | 03 2522 | 90 2523 | D5 2524 | D0 2525 | 08 2526 | A5 2527 | 94 2528 | C9 2529 | FE 2530 | 90 2531 | CD 2532 | F0 2533 | CB 2534 | A6 2535 | 92 2536 | A4 2537 | 93 2538 | 20 2539 | 72 2540 | ED 2541 | 20 2542 | D1 2543 | F7 2544 | 20 2545 | 4F 2546 | 46 2547 | 20 2548 | EA 2549 | A6 2550 | 90 2551 | A4 2552 | 91 2553 | 20 2554 | 72 2555 | ED 2556 | 20 2557 | D1 2558 | F7 2559 | 20 2560 | 44 2561 | 49 2562 | 53 2563 | 4B 2564 | 53 2565 | 20 2566 | 46 2567 | 52 2568 | 45 2569 | 45 2570 | EA 2571 | 4C 2572 | ED 2573 | FF 2574 | 20 2575 | 3F 2576 | ED 2577 | 20 2578 | DA 2579 | ED 2580 | 20 2581 | 00 2582 | EC 2583 | 20 2584 | 52 2585 | E6 2586 | 20 2587 | 68 2588 | E6 2589 | 20 2590 | D1 2591 | F7 2592 | 4B 2593 | 49 2594 | 4C 2595 | 4C 2596 | 20 2597 | 44 2598 | 49 2599 | 53 2600 | 4B 2601 | 3A 2602 | EA 2603 | 20 2604 | 8D 2605 | ED 2606 | 20 2607 | DF 2608 | ED 2609 | 48 2610 | 20 2611 | F4 2612 | FF 2613 | 68 2614 | C9 2615 | 59 2616 | F0 2617 | 03 2618 | 4C 2619 | ED 2620 | FF 2621 | 20 2622 | ED 2623 | FF 2624 | A0 2625 | 0F 2626 | A9 2627 | F0 2628 | 91 2629 | 87 2630 | 20 2631 | 10 2632 | EC 2633 | 4C 2634 | CA 2635 | EB 2636 | 20 2637 | 3F 2638 | ED 2639 | 20 2640 | DA 2641 | ED 2642 | 20 2643 | 00 2644 | EC 2645 | A0 2646 | 0F 2647 | A9 2648 | 0F 2649 | 91 2650 | 87 2651 | 20 2652 | 10 2653 | EC 2654 | 4C 2655 | CA 2656 | EB 2657 | 20 2658 | E0 2659 | E2 2660 | 20 2661 | DA 2662 | ED 2663 | A9 2664 | 00 2665 | 85 2666 | 90 2667 | 85 2668 | 91 2669 | A6 2670 | 90 2671 | A4 2672 | 91 2673 | 20 2674 | F7 2675 | EB 2676 | A0 2677 | 0F 2678 | B1 2679 | 87 2680 | C9 2681 | F0 2682 | F0 2683 | 2A 2684 | E6 2685 | 90 2686 | D0 2687 | 02 2688 | E6 2689 | 91 2690 | A5 2691 | 91 2692 | C9 2693 | 03 2694 | 90 2695 | E5 2696 | D0 2697 | 08 2698 | A5 2699 | 90 2700 | C9 2701 | FE 2702 | 90 2703 | DD 2704 | F0 2705 | DB 2706 | 20 2707 | D1 2708 | F7 2709 | 4E 2710 | 4F 2711 | 20 2712 | 44 2713 | 49 2714 | 53 2715 | 4B 2716 | 20 2717 | 46 2718 | 4F 2719 | 55 2720 | 4E 2721 | 44 2722 | EA 2723 | 4C 2724 | D5 2725 | EA 2726 | A5 2727 | 90 2728 | 85 2729 | 82 2730 | A5 2731 | 91 2732 | 85 2733 | 83 2734 | A5 2735 | EE 2736 | 85 2737 | 80 2738 | A9 2739 | 00 2740 | 20 2741 | 24 2742 | E8 2743 | 20 2744 | D1 2745 | F7 2746 | 44 2747 | 49 2748 | 53 2749 | 4B 2750 | 20 2751 | EA 2752 | 20 2753 | 8D 2754 | ED 2755 | 20 2756 | D1 2757 | F7 2758 | 20 2759 | 49 2760 | 4E 2761 | 20 2762 | 44 2763 | 52 2764 | 49 2765 | 56 2766 | 45 2767 | 20 2768 | EA 2769 | A5 2770 | EE 2771 | 20 2772 | 0B 2773 | F8 2774 | 4C 2775 | ED 2776 | FF 2777 | 20 2778 | 3F 2779 | ED 2780 | 20 2781 | DA 2782 | ED 2783 | 20 2784 | 00 2785 | EC 2786 | 20 2787 | 52 2788 | E6 2789 | 20 2790 | 68 2791 | E6 2792 | 20 2793 | D1 2794 | F7 2795 | 46 2796 | 4F 2797 | 52 2798 | 4D 2799 | 41 2800 | 54 2801 | 20 2802 | 44 2803 | 49 2804 | 53 2805 | 4B 2806 | 3A 2807 | EA 2808 | 20 2809 | 8D 2810 | ED 2811 | 20 2812 | DF 2813 | ED 2814 | 48 2815 | 20 2816 | F4 2817 | FF 2818 | 68 2819 | C9 2820 | 59 2821 | F0 2822 | 03 2823 | 4C 2824 | ED 2825 | FF 2826 | 20 2827 | 51 2828 | EA 2829 | 20 2830 | ED 2831 | FF 2832 | A9 2833 | 20 2834 | A2 2835 | 00 2836 | 9D 2837 | 00 2838 | 20 2839 | 9D 2840 | 00 2841 | 21 2842 | E8 2843 | D0 2844 | F7 2845 | A9 2846 | 00 2847 | 8D 2848 | 05 2849 | 21 2850 | A9 2851 | 01 2852 | 8D 2853 | 06 2854 | 21 2855 | A9 2856 | 90 2857 | 8D 2858 | 07 2859 | 21 2860 | 20 2861 | 65 2862 | EC 2863 | A0 2864 | 00 2865 | A2 2866 | 00 2867 | BD 2868 | 00 2869 | 20 2870 | 91 2871 | 87 2872 | C8 2873 | E8 2874 | E0 2875 | 08 2876 | D0 2877 | F5 2878 | BD 2879 | F8 2880 | 20 2881 | 91 2882 | 87 2883 | C8 2884 | E8 2885 | E0 2886 | 0D 2887 | D0 2888 | F5 2889 | 20 2890 | 10 2891 | EC 2892 | 4C 2893 | CA 2894 | EB 2895 | 20 2896 | 28 2897 | ED 2898 | 20 2899 | 3F 2900 | ED 2901 | 20 2902 | DA 2903 | ED 2904 | 20 2905 | EB 2906 | EB 2907 | A5 2908 | 80 2909 | 0A 2910 | A8 2911 | A5 2912 | 82 2913 | 99 2914 | 00 2915 | 23 2916 | A5 2917 | 83 2918 | 99 2919 | 01 2920 | 23 2921 | 4C 2922 | F1 2923 | EB 2924 | 20 2925 | DA 2926 | ED 2927 | 20 2928 | ED 2929 | FF 2930 | 20 2931 | D1 2932 | F7 2933 | 53 2934 | 44 2935 | 44 2936 | 4F 2937 | 53 2938 | 20 2939 | 56 2940 | 32 2941 | 2E 2942 | 34 2943 | 45 2944 | EA 2945 | 20 2946 | ED 2947 | FF 2948 | 20 2949 | ED 2950 | FF 2951 | A0 2952 | 00 2953 | A2 2954 | 0F 2955 | B9 2956 | 30 2957 | E1 2958 | 30 2959 | 08 2960 | 20 2961 | F4 2962 | FF 2963 | C8 2964 | CA 2965 | 4C 2966 | 8A 2967 | EB 2968 | E0 2969 | 04 2970 | F0 2971 | 08 2972 | A9 2973 | 20 2974 | 20 2975 | F4 2976 | FF 2977 | CA 2978 | D0 2979 | F4 2980 | B9 2981 | 30 2982 | E1 2983 | 20 2984 | 02 2985 | F8 2986 | B9 2987 | 31 2988 | E1 2989 | 20 2990 | 02 2991 | F8 2992 | A9 2993 | 20 2994 | 20 2995 | F4 2996 | FF 2997 | C8 2998 | C8 2999 | B9 3000 | 30 3001 | E1 3002 | C9 3003 | E6 3004 | D0 3005 | 07 3006 | B9 3007 | 31 3008 | E1 3009 | C9 3010 | 20 3011 | F0 3012 | 03 3013 | 4C 3014 | 88 3015 | EB 3016 | 4C 3017 | ED 3018 | FF 3019 | A5 3020 | EE 3021 | 29 3022 | 03 3023 | 85 3024 | EE 3025 | 60 3026 | A9 3027 | 00 3028 | 85 3029 | 84 3030 | 85 3031 | 85 3032 | 85 3033 | 86 3034 | A9 3035 | 00 3036 | 85 3037 | F9 3038 | A9 3039 | 23 3040 | 85 3041 | FA 3042 | 60 3043 | A9 3044 | 00 3045 | 85 3046 | F9 3047 | A9 3048 | 20 3049 | 85 3050 | FA 3051 | 60 3052 | 20 3053 | D1 3054 | EB 3055 | 4C 3056 | 33 3057 | EE 3058 | 20 3059 | D1 3060 | EB 3061 | 4C 3062 | 4A 3063 | EE 3064 | 20 3065 | 9E 3066 | EC 3067 | 20 3068 | D9 3069 | EB 3070 | 4C 3071 | 33 3072 | EE 3073 | A6 3074 | 82 3075 | A4 3076 | 83 3077 | 4C 3078 | F7 3079 | EB 3080 | 20 3081 | 9E 3082 | EC 3083 | 20 3084 | D9 3085 | EB 3086 | 4C 3087 | 4A 3088 | EE 3089 | A6 3090 | 82 3091 | A4 3092 | 83 3093 | 4C 3094 | 07 3095 | EC 3096 | A5 3097 | EE 3098 | 29 3099 | 80 3100 | F0 3101 | 03 3102 | 4C 3103 | 62 3104 | EC 3105 | A5 3106 | EE 3107 | 20 3108 | 94 3109 | ED 3110 | 10 3111 | 0C 3112 | 20 3113 | D1 3114 | F7 3115 | 4E 3116 | 4F 3117 | 20 3118 | 44 3119 | 49 3120 | 53 3121 | 4B 3122 | EA 3123 | 00 3124 | 20 3125 | 00 3126 | EC 3127 | A0 3128 | 0F 3129 | B1 3130 | 87 3131 | 85 3132 | F8 3133 | C9 3134 | F0 3135 | D0 3136 | 10 3137 | 20 3138 | D1 3139 | F7 3140 | 55 3141 | 4E 3142 | 46 3143 | 4F 3144 | 52 3145 | 4D 3146 | 41 3147 | 54 3148 | 54 3149 | 45 3150 | 44 3151 | EA 3152 | 00 3153 | 20 3154 | 56 3155 | E6 3156 | 20 3157 | CD 3158 | EC 3159 | 20 3160 | E2 3161 | EB 3162 | 20 3163 | 33 3164 | EE 3165 | A5 3166 | EE 3167 | 09 3168 | 80 3169 | 85 3170 | EE 3171 | A5 3172 | F8 3173 | 60 3174 | 20 3175 | CD 3176 | EC 3177 | 20 3178 | E2 3179 | EB 3180 | 20 3181 | 4A 3182 | EE 3183 | A5 3184 | EE 3185 | 09 3186 | 80 3187 | 85 3188 | EE 3189 | 60 3190 | A5 3191 | EE 3192 | 20 3193 | 94 3194 | ED 3195 | 20 3196 | CD 3197 | EC 3198 | A5 3199 | A3 3200 | 4A 3201 | 66 3202 | FD 3203 | 18 3204 | 65 3205 | 84 3206 | 85 3207 | 84 3208 | A5 3209 | A2 3210 | 29 3211 | 0F 3212 | F0 3213 | 04 3214 | 69 3215 | 80 3216 | 85 3217 | 84 3218 | A5 3219 | 85 3220 | 69 3221 | 00 3222 | 85 3223 | 85 3224 | A5 3225 | 86 3226 | 69 3227 | 00 3228 | 85 3229 | 86 3230 | 60 3231 | E8 3232 | 86 3233 | 84 3234 | D0 3235 | 01 3236 | C8 3237 | 84 3238 | 85 3239 | A9 3240 | 00 3241 | 85 3242 | 86 3243 | 85 3244 | 87 3245 | 85 3246 | 88 3247 | A2 3248 | 04 3249 | 46 3250 | 85 3251 | 66 3252 | 84 3253 | 66 3254 | 87 3255 | CA 3256 | D0 3257 | F7 3258 | 46 3259 | 85 3260 | 66 3261 | 84 3262 | 26 3263 | 88 3264 | 18 3265 | A5 3266 | 87 3267 | 69 3268 | 00 3269 | 85 3270 | 87 3271 | A5 3272 | 88 3273 | 69 3274 | 23 3275 | 85 3276 | 88 3277 | 60 3278 | A9 3279 | 00 3280 | 85 3281 | 84 3282 | 85 3283 | 85 3284 | 85 3285 | 86 3286 | 85 3287 | 8B 3288 | A5 3289 | 83 3290 | 85 3291 | 8A 3292 | A5 3293 | 82 3294 | 85 3295 | 89 3296 | 20 3297 | 04 3298 | ED 3299 | 20 3300 | 14 3301 | ED 3302 | 20 3303 | 04 3304 | ED 3305 | 20 3306 | 14 3307 | ED 3308 | 20 3309 | 0D 3310 | ED 3311 | 20 3312 | 14 3313 | ED 3314 | 18 3315 | A9 3316 | 20 3317 | 65 3318 | 84 3319 | 85 3320 | 84 3321 | A9 3322 | 00 3323 | 65 3324 | 85 3325 | 85 3326 | 85 3327 | A9 3328 | 00 3329 | 65 3330 | 86 3331 | 85 3332 | 86 3333 | A2 3334 | 03 3335 | 20 3336 | 0D 3337 | ED 3338 | CA 3339 | D0 3340 | FA 3341 | 60 3342 | 06 3343 | 89 3344 | 26 3345 | 8A 3346 | 26 3347 | 8B 3348 | 60 3349 | 18 3350 | A5 3351 | 89 3352 | 65 3353 | 84 3354 | 85 3355 | 84 3356 | A5 3357 | 8A 3358 | 65 3359 | 85 3360 | 85 3361 | 85 3362 | A5 3363 | 8B 3364 | 65 3365 | 86 3366 | 85 3367 | 86 3368 | 60 3369 | 20 3370 | 61 3371 | ED 3372 | 85 3373 | 80 3374 | C9 3375 | 04 3376 | B0 3377 | 03 3378 | A5 3379 | 80 3380 | 60 3381 | 20 3382 | D1 3383 | F7 3384 | 44 3385 | 52 3386 | 49 3387 | 56 3388 | 45 3389 | 3F 3390 | EA 3391 | 00 3392 | 20 3393 | 61 3394 | ED 3395 | 85 3396 | 82 3397 | 86 3398 | 83 3399 | A5 3400 | 83 3401 | C9 3402 | 03 3403 | 90 3404 | 0A 3405 | D0 3406 | 09 3407 | A5 3408 | 82 3409 | C9 3410 | FE 3411 | 90 3412 | 02 3413 | D0 3414 | 01 3415 | 60 3416 | 20 3417 | D1 3418 | F7 3419 | 44 3420 | 49 3421 | 53 3422 | 4B 3423 | 3F 3424 | EA 3425 | 00 3426 | 20 3427 | BC 3428 | C8 3429 | 20 3430 | 31 3431 | C2 3432 | A0 3433 | 00 3434 | 84 3435 | 04 3436 | A5 3437 | 16 3438 | A6 3439 | 25 3440 | A4 3441 | 34 3442 | 60 3443 | AD 3444 | 21 3445 | 03 3446 | 48 3447 | A9 3448 | 05 3449 | 8D 3450 | 21 3451 | 03 3452 | 86 3453 | 16 3454 | 84 3455 | 25 3456 | A9 3457 | 00 3458 | 85 3459 | 34 3460 | 85 3461 | 43 3462 | 20 3463 | 89 3464 | C5 3465 | 68 3466 | 8D 3467 | 21 3468 | 03 3469 | 60 3470 | A6 3471 | 82 3472 | A4 3473 | 83 3474 | 4C 3475 | 72 3476 | ED 3477 | 0A 3478 | A8 3479 | B9 3480 | F0 3481 | 00 3482 | 85 3483 | 82 3484 | B9 3485 | F1 3486 | 00 3487 | 85 3488 | 83 3489 | 60 3490 | 20 3491 | 72 3492 | ED 3493 | A9 3494 | 20 3495 | 20 3496 | F4 3497 | FF 3498 | A0 3499 | 00 3500 | B1 3501 | 87 3502 | C9 3503 | 20 3504 | 10 3505 | 02 3506 | A9 3507 | 20 3508 | 20 3509 | F4 3510 | FF 3511 | C8 3512 | C0 3513 | 0D 3514 | D0 3515 | F0 3516 | A9 3517 | 20 3518 | 20 3519 | F4 3520 | FF 3521 | A0 3522 | 0F 3523 | B1 3524 | 87 3525 | 29 3526 | 0F 3527 | D0 3528 | 05 3529 | A9 3530 | 50 3531 | 20 3532 | F4 3533 | FF 3534 | 60 3535 | 20 3536 | 4D 3537 | E7 3538 | 20 3539 | 7B 3540 | E6 3541 | 20 3542 | A6 3543 | E6 3544 | 84 3545 | 9A 3546 | 60 3547 | A4 3548 | 03 3549 | 4C 3550 | 76 3551 | FA 3552 | 20 3553 | D1 3554 | F7 3555 | 20 3556 | 3A 3557 | 28 3558 | 59 3559 | 2F 3560 | 4E 3561 | 29 3562 | EA 3563 | 4C 3564 | 94 3565 | FE 3566 | A0 3567 | 06 3568 | 20 3569 | FD 3570 | F7 3571 | 88 3572 | D0 3573 | FA 3574 | 60 3575 | C8 3576 | C8 3577 | C8 3578 | C8 3579 | C8 3580 | C8 3581 | C8 3582 | C8 3583 | 60 3584 | 88 3585 | 88 3586 | 88 3587 | 88 3588 | 88 3589 | 88 3590 | 88 3591 | 88 3592 | 60 3593 | 4A 3594 | 4A 3595 | 4A 3596 | 4A 3597 | 4A 3598 | 60 3599 | A9 3600 | 80 3601 | 8D 3602 | 2B 3603 | 02 3604 | 20 3605 | BA 3606 | EE 3607 | B0 3608 | 08 3609 | A9 3610 | 00 3611 | 8D 3612 | 2B 3613 | 02 3614 | 4C 3615 | 21 3616 | EE 3617 | 60 3618 | 20 3619 | 85 3620 | EF 3621 | 20 3622 | D1 3623 | F7 3624 | 49 3625 | 4E 3626 | 54 3627 | 45 3628 | 52 3629 | 46 3630 | 41 3631 | 43 3632 | 45 3633 | 3F 3634 | EA 3635 | 00 3636 | 20 3637 | 61 3638 | EE 3639 | A2 3640 | 02 3641 | A0 3642 | 00 3643 | 20 3644 | D8 3645 | EF 3646 | 91 3647 | F9 3648 | C8 3649 | D0 3650 | F8 3651 | E6 3652 | FA 3653 | CA 3654 | D0 3655 | F3 3656 | 4C 3657 | 70 3658 | EE 3659 | 20 3660 | 79 3661 | EE 3662 | A2 3663 | 02 3664 | A0 3665 | 00 3666 | B1 3667 | F9 3668 | 20 3669 | DA 3670 | EF 3671 | C8 3672 | D0 3673 | F8 3674 | E6 3675 | FA 3676 | CA 3677 | D0 3678 | F3 3679 | 4C 3680 | 99 3681 | EE 3682 | 20 3683 | AE 3684 | EF 3685 | A9 3686 | 51 3687 | 20 3688 | 43 3689 | EF 3690 | D0 3691 | 20 3692 | A9 3693 | FE 3694 | 4C 3695 | 93 3696 | EF 3697 | 20 3698 | D8 3699 | EF 3700 | 20 3701 | D8 3702 | EF 3703 | 4C 3704 | 85 3705 | EF 3706 | 20 3707 | AE 3708 | EF 3709 | A9 3710 | 58 3711 | 20 3712 | 43 3713 | EF 3714 | F0 3715 | 03 3716 | 4C 3717 | 8B 3718 | EE 3719 | A9 3720 | FE 3721 | 4C 3722 | DA 3723 | EF 3724 | 20 3725 | D1 3726 | F7 3727 | 4E 3728 | 4F 3729 | 54 3730 | 20 3731 | 52 3732 | 45 3733 | 41 3734 | 44 3735 | 59 3736 | EA 3737 | 00 3738 | 20 3739 | D8 3740 | EF 3741 | 20 3742 | D8 3743 | EF 3744 | 20 3745 | D8 3746 | EF 3747 | A9 3748 | FF 3749 | 20 3750 | 93 3751 | EF 3752 | 20 3753 | D8 3754 | EF 3755 | 4C 3756 | 85 3757 | EF 3758 | 8D 3759 | 00 3760 | BC 3761 | EA 3762 | EA 3763 | EA 3764 | EA 3765 | EA 3766 | EA 3767 | AD 3768 | 00 3769 | BC 3770 | 60 3771 | A2 3772 | 01 3773 | 86 3774 | 04 3775 | A9 3776 | 00 3777 | 8D 3778 | 2C 3779 | 02 3780 | 95 3781 | 16 3782 | 95 3783 | 25 3784 | 95 3785 | 34 3786 | 95 3787 | 43 3788 | A9 3789 | 40 3790 | 20 3791 | 43 3792 | EF 3793 | C9 3794 | 01 3795 | D0 3796 | 6B 3797 | A9 3798 | 01 3799 | 95 3800 | 25 3801 | A9 3802 | AA 3803 | 95 3804 | 16 3805 | A9 3806 | 48 3807 | A0 3808 | 87 3809 | 20 3810 | 45 3811 | EF 3812 | C9 3813 | 05 3814 | F0 3815 | 40 3816 | C9 3817 | 01 3818 | D0 3819 | 54 3820 | 20 3821 | D8 3822 | EF 3823 | 20 3824 | D8 3825 | EF 3826 | 20 3827 | D8 3828 | EF 3829 | C9 3830 | 01 3831 | D0 3832 | 47 3833 | 20 3834 | D8 3835 | EF 3836 | C9 3837 | AA 3838 | D0 3839 | 40 3840 | A0 3841 | 00 3842 | 8C 3843 | D1 3844 | 03 3845 | 94 3846 | 25 3847 | 94 3848 | 16 3849 | 88 3850 | 8C 3851 | 2C 3852 | 02 3853 | A9 3854 | 00 3855 | 95 3856 | 43 3857 | A9 3858 | 77 3859 | 20 3860 | 45 3861 | EF 3862 | A9 3863 | 40 3864 | 95 3865 | 43 3866 | A9 3867 | 69 3868 | 20 3869 | 43 3870 | EF 3871 | F0 3872 | 21 3873 | CE 3874 | D1 3875 | 03 3876 | D0 3877 | E7 3878 | F0 3879 | 18 3880 | A9 3881 | 69 3882 | 20 3883 | 43 3884 | EF 3885 | F0 3886 | 13 3887 | A9 3888 | 00 3889 | 8D 3890 | D1 3891 | 03 3892 | A9 3893 | 41 3894 | 20 3895 | 43 3896 | EF 3897 | F0 3898 | 07 3899 | CE 3900 | D1 3901 | 03 3902 | D0 3903 | F4 3904 | 18 3905 | 60 3906 | 38 3907 | 60 3908 | A0 3909 | 95 3910 | 48 3911 | AD 3912 | 2B 3913 | 02 3914 | F0 3915 | 33 3916 | 20 3917 | 81 3918 | EF 3919 | 20 3920 | D8 3921 | EF 3922 | 68 3923 | 20 3924 | DA 3925 | EF 3926 | A6 3927 | 04 3928 | B5 3929 | 43 3930 | 20 3931 | DA 3932 | EF 3933 | B5 3934 | 34 3935 | 20 3936 | DA 3937 | EF 3938 | B5 3939 | 25 3940 | 20 3941 | DA 3942 | EF 3943 | B5 3944 | 16 3945 | 20 3946 | DA 3947 | EF 3948 | 98 3949 | 20 3950 | DA 3951 | EF 3952 | A0 3953 | 00 3954 | 88 3955 | F0 3956 | 07 3957 | 20 3958 | D8 3959 | EF 3960 | 29 3961 | FF 3962 | 30 3963 | F6 3964 | C9 3965 | 00 3966 | 60 3967 | 4C 3968 | 21 3969 | EE 3970 | A9 3971 | 80 3972 | D0 3973 | 02 3974 | A9 3975 | A0 3976 | 29 3977 | 20 3978 | D0 3979 | 04 3980 | 8D 3981 | 04 3982 | BC 3983 | 60 3984 | 8D 3985 | 03 3986 | BC 3987 | 60 3988 | A0 3989 | 00 3990 | 8D 3991 | D1 3992 | 03 3993 | 88 3994 | EA 3995 | EA 3996 | 20 3997 | D8 3998 | EF 3999 | CD 4000 | D1 4001 | 03 4002 | D0 4003 | F5 4004 | 60 4005 | 20 4006 | D1 4007 | F7 4008 | 52 4009 | 45 4010 | 53 4011 | 50 4012 | 3F 4013 | EA 4014 | 00 4015 | A6 4016 | 04 4017 | A5 4018 | 84 4019 | 2C 4020 | 2C 4021 | 02 4022 | 30 4023 | 12 4024 | 0A 4025 | 95 4026 | 25 4027 | A5 4028 | 85 4029 | 2A 4030 | 95 4031 | 34 4032 | A5 4033 | 86 4034 | 2A 4035 | 95 4036 | 43 4037 | A9 4038 | 00 4039 | 95 4040 | 16 4041 | 60 4042 | 95 4043 | 16 4044 | A5 4045 | 85 4046 | 95 4047 | 25 4048 | A5 4049 | 86 4050 | 95 4051 | 34 4052 | A9 4053 | 00 4054 | 95 4055 | 43 4056 | 60 4057 | A9 4058 | FF 4059 | 4C 4060 | AD 4061 | EE 4062 | 00 4063 | 00 4064 | 00 4065 | 00 4066 | 00 4067 | 00 4068 | 00 4069 | 00 4070 | 00 4071 | 00 4072 | 00 4073 | 00 4074 | 00 4075 | 00 4076 | 00 4077 | 00 4078 | 00 4079 | 00 4080 | 00 4081 | 00 4082 | 00 4083 | 00 4084 | 00 4085 | 00 4086 | 00 4087 | 00 4088 | 00 4089 | 00 4090 | 00 4091 | 00 4092 | 00 4093 | 00 4094 | 00 4095 | 00 4096 | 60 4097 | -------------------------------------------------------------------------------- /spi/spi.v: -------------------------------------------------------------------------------- 1 | // 0x0 read data 2 | // 0x0 write data 3 | // 0x01 write dummy 0xff 4 | // 0x02 write dummy 0x00 5 | // 0x03 set cs 6 | // 0x04 clr cs 7 | 8 | module spi 9 | ( 10 | input clk, 11 | input reset, 12 | input enable, 13 | input rnw, 14 | input [2:0] addr, 15 | input [7:0] din, 16 | output reg [7:0] dout, 17 | input miso, 18 | output reg mosi, 19 | output reg ss, 20 | output reg sclk 21 | ); 22 | 23 | `define spi_init 5'b00000 24 | `define spi_s0 5'b00001 25 | `define spi_s1 5'b00010 26 | `define spi_s2 5'b00011 27 | `define spi_s3 5'b00100 28 | `define spi_s4 5'b00101 29 | `define spi_s5 5'b00110 30 | `define spi_s6 5'b00111 31 | `define spi_s7 5'b01000 32 | `define spi_s8 5'b01001 33 | `define spi_s9 5'b01010 34 | `define spi_s10 5'b01011 35 | `define spi_s11 5'b01100 36 | `define spi_s12 5'b01101 37 | `define spi_s13 5'b01110 38 | `define spi_s14 5'b01111 39 | `define spi_s15 5'b10000 40 | `define spi_s16 5'b10001 41 | `define spi_s17 5'b10010 42 | 43 | reg [4:0] state; 44 | reg [7:0] serial_out; 45 | reg [7:0] serial_in; 46 | reg [17:0] count; 47 | 48 | //------------------------------------------------------------ 49 | // Process Copies SPI port word to appropriate ctrl register 50 | //------------------------------------------------------------ 51 | always @(posedge clk, posedge reset) 52 | begin 53 | if (reset) 54 | begin 55 | state <= `spi_init; 56 | ss <= 1'b1; 57 | mosi <= 1'b1; 58 | sclk <= 1'b0; 59 | serial_out <= 8'hff; 60 | count <= 0; 61 | end 62 | else 63 | begin 64 | if (state == `spi_init) 65 | begin 66 | if (count == 22591) // 2 * 88 * 128 + 63 67 | begin 68 | state <= `spi_s0; 69 | sclk <= 1'b0; 70 | ss <= 1'b0; 71 | end 72 | else 73 | begin 74 | sclk <= count[6]; // 250 KHz 75 | count <= count + 1; 76 | end 77 | end 78 | else if (enable && !rnw ) 79 | begin 80 | if (addr == 3'b010) 81 | begin 82 | serial_out <= 8'h00; 83 | state <= `spi_s2; sclk <= 1'b0; mosi <= 0; 84 | end 85 | else if (addr == 3'b001) 86 | begin 87 | serial_out <= 8'hff; 88 | state <= `spi_s2; sclk <= 1'b0; mosi <= 1; 89 | end 90 | else if (addr == 3'b000) 91 | begin 92 | serial_out <= din; 93 | state <= `spi_s2; sclk <= 1'b0; mosi <= din[7]; 94 | end 95 | else if (addr == 3'b011) 96 | begin 97 | ss <= 1'b1; 98 | end 99 | else if (addr == 3'b100) 100 | begin 101 | ss <= 1'b0; 102 | end 103 | else if (addr == 3'b101) 104 | begin 105 | sclk <= 1'b1; 106 | end 107 | else if (addr == 3'b110) 108 | begin 109 | sclk <= 1'b0; 110 | end 111 | else if (addr == 3'b111) 112 | begin 113 | state <= `spi_init; 114 | ss <= 1'b1; 115 | mosi <= 1'b1; 116 | sclk <= 1'b0; 117 | serial_out <= 8'hff; 118 | count <= 0; 119 | end 120 | end 121 | else 122 | case (state) // Address state machine 123 | `spi_s1 : begin state <= `spi_s2; sclk <= 1'b0; mosi <= serial_out[7]; end 124 | `spi_s2 : begin state <= `spi_s3; sclk <= 1'b1; end 125 | `spi_s3 : begin state <= `spi_s4; sclk <= 1'b0; mosi <= serial_out[6]; serial_in[7] <= miso; end //serial_in 126 | `spi_s4 : begin state <= `spi_s5; sclk <= 1'b1; end 127 | `spi_s5 : begin state <= `spi_s6; sclk <= 1'b0; mosi <= serial_out[5]; serial_in[6] <= miso; end 128 | `spi_s6 : begin state <= `spi_s7; sclk <= 1'b1; end 129 | `spi_s7 : begin state <= `spi_s8; sclk <= 1'b0; mosi <= serial_out[4]; serial_in[5] <= miso; end 130 | `spi_s8 : begin state <= `spi_s9; sclk <= 1'b1; end 131 | `spi_s9 : begin state <= `spi_s10; sclk <= 1'b0; mosi <= serial_out[3]; serial_in[4] <= miso; end 132 | `spi_s10 : begin state <= `spi_s11; sclk <= 1'b1; end 133 | `spi_s11 : begin state <= `spi_s12; sclk <= 1'b0; mosi <= serial_out[2]; serial_in[3] <= miso; end 134 | `spi_s12 : begin state <= `spi_s13; sclk <= 1'b1; end 135 | `spi_s13 : begin state <= `spi_s14; sclk <= 1'b0; mosi <= serial_out[1]; serial_in[2] <= miso; end 136 | `spi_s14 : begin state <= `spi_s15; sclk <= 1'b1; end 137 | `spi_s15 : begin state <= `spi_s16; sclk <= 1'b0; mosi <= serial_out[0]; serial_in[1] <= miso; end 138 | `spi_s16 : begin state <= `spi_s17; sclk <= 1'b1; end 139 | `spi_s17 : begin state <= `spi_s0; sclk <= 1'b0; mosi <= 1'b0; dout <= {serial_in[7:1],miso}; end 140 | default : begin state <= `spi_s0; end // return to idle state 141 | endcase 142 | end 143 | 144 | end // always @ (posedge clk, posedge reset) 145 | 146 | endmodule // spi 147 | 148 | 149 | 150 | -------------------------------------------------------------------------------- /splashscreen.hex: -------------------------------------------------------------------------------- 1 | 20 2 | 20 3 | 01 4 | 03 5 | 0f 6 | 12 7 | 0e 8 | 20 9 | 01 10 | 14 11 | 0f 12 | 0d 13 | 20 14 | 20 15 | 20 16 | 20 17 | 20 18 | 20 19 | 20 20 | 20 21 | 20 22 | 20 23 | 20 24 | 20 25 | 20 26 | 20 27 | 20 28 | 20 29 | 20 30 | 20 31 | 20 32 | 20 33 | 20 34 | 20 35 | 20 36 | 20 37 | 02 38 | 0f 39 | 0f 40 | 14 41 | 09 42 | 0e 43 | 07 44 | 20 45 | 20 46 | 20 47 | 20 48 | 20 49 | 20 50 | 20 51 | 20 52 | 20 53 | 20 54 | 20 55 | 20 56 | 20 57 | 20 58 | 20 59 | 20 60 | 20 61 | 20 62 | 20 63 | 20 64 | 20 65 | 20 66 | 20 67 | 20 68 | 20 69 | 20 70 | 20 71 | 20 72 | 20 73 | 20 74 | 20 75 | 20 76 | 20 77 | 20 78 | 20 79 | 20 80 | 20 81 | 20 82 | 20 83 | 20 84 | 20 85 | 20 86 | 20 87 | 20 88 | 20 89 | 20 90 | 20 91 | 20 92 | 20 93 | 20 94 | 20 95 | 20 96 | 20 97 | 20 98 | 20 99 | 20 100 | 20 101 | 20 102 | 20 103 | 20 104 | 20 105 | 20 106 | 20 107 | 20 108 | 20 109 | 20 110 | 20 111 | 20 112 | 20 113 | 20 114 | 20 115 | 20 116 | 20 117 | 20 118 | 20 119 | 20 120 | 20 121 | 20 122 | 20 123 | 20 124 | 20 125 | 20 126 | 20 127 | 20 128 | 20 129 | 20 130 | 20 131 | 20 132 | 20 133 | 20 134 | 20 135 | 20 136 | 20 137 | 20 138 | 20 139 | 20 140 | 20 141 | 20 142 | 20 143 | 20 144 | 20 145 | 20 146 | 20 147 | 20 148 | 20 149 | 20 150 | 20 151 | 20 152 | 20 153 | 20 154 | 20 155 | 20 156 | 20 157 | 20 158 | 20 159 | 20 160 | 20 161 | 20 162 | 20 163 | 20 164 | 20 165 | 20 166 | 20 167 | 20 168 | 20 169 | 20 170 | 20 171 | 20 172 | 20 173 | 20 174 | 20 175 | 20 176 | 20 177 | 20 178 | 20 179 | 20 180 | 20 181 | 20 182 | 20 183 | 20 184 | 20 185 | 20 186 | 20 187 | 20 188 | 20 189 | 20 190 | 20 191 | 20 192 | 20 193 | 20 194 | 20 195 | 20 196 | 20 197 | 20 198 | 20 199 | 20 200 | 20 201 | 20 202 | 20 203 | 20 204 | 20 205 | 20 206 | 20 207 | 20 208 | 20 209 | 20 210 | 20 211 | 20 212 | 20 213 | 20 214 | 20 215 | 20 216 | 20 217 | 20 218 | 20 219 | 20 220 | 20 221 | 20 222 | 20 223 | 20 224 | 20 225 | 20 226 | 20 227 | 20 228 | 20 229 | 20 230 | 20 231 | 20 232 | 20 233 | 20 234 | 20 235 | 20 236 | 20 237 | 20 238 | 20 239 | 20 240 | 20 241 | 20 242 | 20 243 | 20 244 | 20 245 | 20 246 | 20 247 | 20 248 | 20 249 | 20 250 | 20 251 | 20 252 | 20 253 | 20 254 | 20 255 | 20 256 | 20 257 | 20 258 | 20 259 | 20 260 | 20 261 | 20 262 | 20 263 | 20 264 | 20 265 | 20 266 | 20 267 | 20 268 | 20 269 | 20 270 | 20 271 | 20 272 | 20 273 | 20 274 | 20 275 | 20 276 | 20 277 | 20 278 | 20 279 | 20 280 | 20 281 | 20 282 | 20 283 | 20 284 | 20 285 | 20 286 | 20 287 | 20 288 | 20 289 | 20 290 | 20 291 | 20 292 | 20 293 | 20 294 | 20 295 | 20 296 | 20 297 | 20 298 | 20 299 | 20 300 | 20 301 | 20 302 | 20 303 | 20 304 | 20 305 | 20 306 | 20 307 | 20 308 | 20 309 | 20 310 | 20 311 | 20 312 | 20 313 | 20 314 | 20 315 | 20 316 | 20 317 | 20 318 | 20 319 | 20 320 | 20 321 | 20 322 | 20 323 | 20 324 | 20 325 | 20 326 | 20 327 | 20 328 | 20 329 | 20 330 | 20 331 | 20 332 | 20 333 | 20 334 | 20 335 | 20 336 | 20 337 | 20 338 | 20 339 | 20 340 | 20 341 | 20 342 | 20 343 | 20 344 | 20 345 | 20 346 | 20 347 | 20 348 | 20 349 | 20 350 | 20 351 | 20 352 | 20 353 | 20 354 | 20 355 | 20 356 | 20 357 | 20 358 | 20 359 | 20 360 | 20 361 | 20 362 | 20 363 | 20 364 | 20 365 | 20 366 | 20 367 | 20 368 | 20 369 | 20 370 | 20 371 | 20 372 | 20 373 | 20 374 | 20 375 | 20 376 | 20 377 | 20 378 | 20 379 | 20 380 | 20 381 | 20 382 | 20 383 | 20 384 | 20 385 | 20 386 | 20 387 | 20 388 | 20 389 | 20 390 | 20 391 | 20 392 | 20 393 | 20 394 | 20 395 | 20 396 | 20 397 | 20 398 | 20 399 | 20 400 | 20 401 | 20 402 | 20 403 | 20 404 | 20 405 | 20 406 | 20 407 | 20 408 | 20 409 | 20 410 | 20 411 | 20 412 | 20 413 | 20 414 | 20 415 | 20 416 | 20 417 | 20 418 | 20 419 | 20 420 | 20 421 | 20 422 | 20 423 | 20 424 | 20 425 | 20 426 | 20 427 | 20 428 | 20 429 | 20 430 | 20 431 | 20 432 | 20 433 | 20 434 | 20 435 | 20 436 | 20 437 | 20 438 | 20 439 | 20 440 | 20 441 | 20 442 | 20 443 | 20 444 | 20 445 | 20 446 | 20 447 | 20 448 | 20 449 | 20 450 | 20 451 | 20 452 | 20 453 | 20 454 | 20 455 | 20 456 | 20 457 | 20 458 | 20 459 | 20 460 | 20 461 | 20 462 | 20 463 | 20 464 | 20 465 | 20 466 | 20 467 | 20 468 | 20 469 | 20 470 | 20 471 | 20 472 | 20 473 | 20 474 | 20 475 | 20 476 | 20 477 | 20 478 | 20 479 | 20 480 | 20 481 | 20 482 | 20 483 | 20 484 | 20 485 | 20 486 | 20 487 | 20 488 | 20 489 | 20 490 | 20 491 | 20 492 | 20 493 | 20 494 | 20 495 | 20 496 | 20 497 | 20 498 | 20 499 | 20 500 | 20 501 | 20 502 | 20 503 | 20 504 | 20 505 | 20 506 | 20 507 | 20 508 | 20 509 | 20 510 | 20 511 | 20 512 | 20 513 | 20 514 | 20 515 | 20 516 | 20 517 | 20 518 | 20 519 | 20 520 | 20 521 | 20 522 | 20 523 | 20 524 | 20 525 | 20 526 | 20 527 | 20 528 | 20 529 | 20 530 | 20 531 | 20 532 | 20 533 | 20 534 | 20 535 | 20 536 | 20 537 | 20 538 | 20 539 | 20 540 | 20 541 | 20 542 | 20 543 | 20 544 | 20 545 | 20 546 | 20 547 | 20 548 | 20 549 | 20 550 | 20 551 | 20 552 | 20 553 | 20 554 | 20 555 | 20 556 | 20 557 | 20 558 | 20 559 | 20 560 | 20 561 | 20 562 | 20 563 | 20 564 | 20 565 | 20 566 | 20 567 | 20 568 | 20 569 | 20 570 | 20 571 | 20 572 | 20 573 | 20 574 | 20 575 | 20 576 | 20 577 | 20 578 | 20 579 | 20 580 | 20 581 | 20 582 | 20 583 | 20 584 | 20 585 | 20 586 | 20 587 | 20 588 | 20 589 | 20 590 | 20 591 | 20 592 | 20 593 | 20 594 | 20 595 | 20 596 | 20 597 | 20 598 | 20 599 | 20 600 | 20 601 | 20 602 | 20 603 | 20 604 | 20 605 | 20 606 | 20 607 | 20 608 | 20 609 | 20 610 | 20 611 | 20 612 | 20 613 | 20 614 | 20 615 | 20 616 | 20 617 | 20 618 | 20 619 | 20 620 | 20 621 | 20 622 | 20 623 | 20 624 | 20 625 | 20 626 | 20 627 | 20 628 | 20 629 | 20 630 | 20 631 | 20 632 | 20 633 | 20 634 | 20 635 | 20 636 | 20 637 | 20 638 | 20 639 | 20 640 | 20 641 | 20 642 | 20 643 | 20 644 | 20 645 | 20 646 | 20 647 | 20 648 | 20 649 | 20 650 | 20 651 | 20 652 | 20 653 | 20 654 | 20 655 | 20 656 | 20 657 | 20 658 | 20 659 | 20 660 | 20 661 | 20 662 | 20 663 | 20 664 | 20 665 | 20 666 | 20 667 | 20 668 | 20 669 | 20 670 | 20 671 | 20 672 | 20 673 | 20 674 | 20 675 | 20 676 | 20 677 | 20 678 | 20 679 | 20 680 | 20 681 | 20 682 | 20 683 | 20 684 | 20 685 | 20 686 | 20 687 | 20 688 | 20 689 | 20 690 | 20 691 | 20 692 | 20 693 | 20 694 | 20 695 | 20 696 | 20 697 | 20 698 | 20 699 | 20 700 | 20 701 | 20 702 | 20 703 | 20 704 | 20 705 | 20 706 | 20 707 | 20 708 | 20 709 | 20 710 | 20 711 | 20 712 | 20 713 | 20 714 | 20 715 | 20 716 | 20 717 | 20 718 | 20 719 | 20 720 | 20 721 | 20 722 | 20 723 | 20 724 | 20 725 | 20 726 | 20 727 | 20 728 | 20 729 | 20 730 | 20 731 | 20 732 | 20 733 | 20 734 | 20 735 | 20 736 | 20 737 | 20 738 | 20 739 | 20 740 | 20 741 | 20 742 | 20 743 | 20 744 | 20 745 | 20 746 | 20 747 | 20 748 | 20 749 | 20 750 | 20 751 | 20 752 | 20 753 | 20 754 | 20 755 | 20 756 | 20 757 | 20 758 | 20 759 | 20 760 | 20 761 | 20 762 | 20 763 | 20 764 | 20 765 | 20 766 | 20 767 | 20 768 | 20 769 | 20 770 | 20 771 | 20 772 | 20 773 | 20 774 | 20 775 | 20 776 | 20 777 | 20 778 | 20 779 | 20 780 | 20 781 | 20 782 | 20 783 | 20 784 | 20 785 | 20 786 | 20 787 | 20 788 | 20 789 | 20 790 | 20 791 | 20 792 | 20 793 | 20 794 | 20 795 | 20 796 | 20 797 | 20 798 | 20 799 | 20 800 | 20 801 | 20 802 | 20 803 | 20 804 | 20 805 | 20 806 | 20 807 | 20 808 | 20 809 | 20 810 | 20 811 | 20 812 | 20 813 | 20 814 | 20 815 | 20 816 | 20 817 | 20 818 | 20 819 | 20 820 | 20 821 | 20 822 | 20 823 | 20 824 | 20 825 | 20 826 | 20 827 | 20 828 | 20 829 | 20 830 | 20 831 | 20 832 | 20 833 | 20 834 | 20 835 | 20 836 | 20 837 | 20 838 | 20 839 | 20 840 | 20 841 | 20 842 | 20 843 | 20 844 | 20 845 | 20 846 | 20 847 | 20 848 | 20 849 | 20 850 | 20 851 | 20 852 | 20 853 | 20 854 | 20 855 | 20 856 | 20 857 | 20 858 | 20 859 | 20 860 | 20 861 | 20 862 | 20 863 | 20 864 | 20 865 | 20 866 | 20 867 | 20 868 | 20 869 | 20 870 | 20 871 | 20 872 | 20 873 | 20 874 | 20 875 | 20 876 | 20 877 | 20 878 | 20 879 | 20 880 | 20 881 | 20 882 | 20 883 | 20 884 | 20 885 | 20 886 | 20 887 | 20 888 | 20 889 | 20 890 | 20 891 | 20 892 | 20 893 | 20 894 | 20 895 | 20 896 | 20 897 | 20 898 | 20 899 | 20 900 | 20 901 | 20 902 | 20 903 | 20 904 | 20 905 | 20 906 | 20 907 | 20 908 | 20 909 | 20 910 | 20 911 | 20 912 | 20 913 | 20 914 | 20 915 | 20 916 | 20 917 | 20 918 | 20 919 | 20 920 | 20 921 | 20 922 | 20 923 | 20 924 | 20 925 | 20 926 | 20 927 | 20 928 | 20 929 | 20 930 | 20 931 | 20 932 | 20 933 | 20 934 | 20 935 | 20 936 | 20 937 | 20 938 | 20 939 | 20 940 | 20 941 | 20 942 | 20 943 | 20 944 | 20 945 | 20 946 | 20 947 | 20 948 | 20 949 | 20 950 | 20 951 | 20 952 | 20 953 | 20 954 | 20 955 | 20 956 | 20 957 | 20 958 | 20 959 | 20 960 | 20 961 | 20 962 | 20 963 | 20 964 | 20 965 | 20 966 | 20 967 | 20 968 | 20 969 | 20 970 | 20 971 | 20 972 | 20 973 | 20 974 | 20 975 | 20 976 | 20 977 | 20 978 | 20 979 | 20 980 | 20 981 | 20 982 | 20 983 | 20 984 | 20 985 | 20 986 | 20 987 | 20 988 | 20 989 | 20 990 | 20 991 | 20 992 | 20 993 | 20 994 | 20 995 | 20 996 | 20 997 | 20 998 | 20 999 | 20 1000 | 20 1001 | 20 1002 | 20 1003 | 20 1004 | 20 1005 | 20 1006 | 20 1007 | 20 1008 | 20 1009 | 20 1010 | 20 1011 | 20 1012 | 20 1013 | 20 1014 | 20 1015 | 20 1016 | 20 1017 | 20 1018 | 20 1019 | 20 1020 | 20 1021 | 20 1022 | 20 1023 | 20 1024 | 20 1025 | 20 1026 | 20 1027 | 20 1028 | 20 1029 | 20 1030 | 20 1031 | 20 1032 | 20 1033 | 20 1034 | 20 1035 | 20 1036 | 20 1037 | 20 1038 | 20 1039 | 20 1040 | 20 1041 | 20 1042 | 20 1043 | 20 1044 | 20 1045 | 20 1046 | 20 1047 | 20 1048 | 20 1049 | 20 1050 | 20 1051 | 20 1052 | 20 1053 | 20 1054 | 20 1055 | 20 1056 | 20 1057 | 20 1058 | 20 1059 | 20 1060 | 20 1061 | 20 1062 | 20 1063 | 20 1064 | 20 1065 | 20 1066 | 20 1067 | 20 1068 | 20 1069 | 20 1070 | 20 1071 | 20 1072 | 20 1073 | 20 1074 | 20 1075 | 20 1076 | 20 1077 | 20 1078 | 20 1079 | 20 1080 | 20 1081 | 20 1082 | 20 1083 | 20 1084 | 20 1085 | 20 1086 | 20 1087 | 20 1088 | 20 1089 | 20 1090 | 20 1091 | 20 1092 | 20 1093 | 20 1094 | 20 1095 | 20 1096 | 20 1097 | 20 1098 | 20 1099 | 20 1100 | 20 1101 | 20 1102 | 20 1103 | 20 1104 | 20 1105 | 20 1106 | 20 1107 | 20 1108 | 20 1109 | 20 1110 | 20 1111 | 20 1112 | 20 1113 | 20 1114 | 20 1115 | 20 1116 | 20 1117 | 20 1118 | 20 1119 | 20 1120 | 20 1121 | 20 1122 | 20 1123 | 20 1124 | 20 1125 | 20 1126 | 20 1127 | 20 1128 | 20 1129 | 20 1130 | 20 1131 | 20 1132 | 20 1133 | 20 1134 | 20 1135 | 20 1136 | 20 1137 | 20 1138 | -------------------------------------------------------------------------------- /utils/debounce.v: -------------------------------------------------------------------------------- 1 | module PushButton_Debouncer( 2 | input clk, 3 | input PB, // "PB" is the glitchy, asynchronous to clk, active low push-button signal 4 | 5 | // from which we make three outputs, all synchronous to the clock 6 | output reg PB_state, // 1 as long as the push-button is active (down) 7 | output PB_down, // 1 for one clock cycle when the push-button goes down (i.e. just pushed) 8 | output PB_up // 1 for one clock cycle when the push-button goes up (i.e. just released) 9 | ); 10 | 11 | // First use two flip-flops to synchronize the PB signal the "clk" clock domain 12 | reg PB_sync_0; always @(posedge clk) PB_sync_0 <= ~PB; // invert PB to make PB_sync_0 active high 13 | reg PB_sync_1; always @(posedge clk) PB_sync_1 <= PB_sync_0; 14 | 15 | // Next declare a 16-bits counter 16 | reg [15:0] PB_cnt; 17 | 18 | // When the push-button is pushed or released, we increment the counter 19 | // The counter has to be maxed out before we decide that the push-button state has changed 20 | 21 | wire PB_idle = (PB_state==PB_sync_1); 22 | wire PB_cnt_max = &PB_cnt; // true when all bits of PB_cnt are 1's 23 | 24 | always @(posedge clk) 25 | if(PB_idle) 26 | PB_cnt <= 0; // nothing's going on 27 | else 28 | begin 29 | PB_cnt <= PB_cnt + 16'd1; // something's going on, increment the counter 30 | if(PB_cnt_max) PB_state <= ~PB_state; // if the counter is maxed out, PB changed! 31 | end 32 | 33 | assign PB_down = ~PB_idle & PB_cnt_max & ~PB_state; 34 | assign PB_up = ~PB_idle & PB_cnt_max & PB_state; 35 | endmodule 36 | 37 | -------------------------------------------------------------------------------- /vga/charGen.v: -------------------------------------------------------------------------------- 1 | /* 2 | 3 | character generator 4 | 5 | */ 6 | 7 | 8 | module charGen ( 9 | input [9:0] address, 10 | output [7:0]dout); 11 | always @ (address) 12 | begin 13 | case (address) 14 | 3 :dout = 8'b00011100 ; 15 | 4 :dout = 8'b00100010 ; 16 | 5 :dout = 8'b00000010 ; 17 | 6 :dout = 8'b00011010 ; 18 | 7 :dout = 8'b00101010 ; 19 | 8 :dout = 8'b00101010 ; 20 | 9 :dout = 8'b00011100 ; 21 | 19 :dout = 8'b00001000 ; 22 | 20 :dout = 8'b00010100 ; 23 | 21 :dout = 8'b00100010 ; 24 | 22 :dout = 8'b00100010 ; 25 | 23 :dout = 8'b00111110 ; 26 | 24 :dout = 8'b00100010 ; 27 | 25 :dout = 8'b00100010 ; 28 | 35 :dout = 8'b00111100 ; 29 | 36 :dout = 8'b00010010 ; 30 | 37 :dout = 8'b00010010 ; 31 | 38 :dout = 8'b00011100 ; 32 | 39 :dout = 8'b00010010 ; 33 | 40 :dout = 8'b00010010 ; 34 | 41 :dout = 8'b00111100 ; 35 | 51 :dout = 8'b00011100 ; 36 | 52 :dout = 8'b00100010 ; 37 | 53 :dout = 8'b00100000 ; 38 | 54 :dout = 8'b00100000 ; 39 | 55 :dout = 8'b00100000 ; 40 | 56 :dout = 8'b00100010 ; 41 | 57 :dout = 8'b00011100 ; 42 | 67 :dout = 8'b00111100 ; 43 | 68 :dout = 8'b00010010 ; 44 | 69 :dout = 8'b00010010 ; 45 | 70 :dout = 8'b00010010 ; 46 | 71 :dout = 8'b00010010 ; 47 | 72 :dout = 8'b00010010 ; 48 | 73 :dout = 8'b00111100 ; 49 | 83 :dout = 8'b00111110 ; 50 | 84 :dout = 8'b00100000 ; 51 | 85 :dout = 8'b00100000 ; 52 | 86 :dout = 8'b00111000 ; 53 | 87 :dout = 8'b00100000 ; 54 | 88 :dout = 8'b00100000 ; 55 | 89 :dout = 8'b00111110 ; 56 | 99 :dout = 8'b00111110 ; 57 | 100 :dout = 8'b00100000 ; 58 | 101 :dout = 8'b00100000 ; 59 | 102 :dout = 8'b00111100 ; 60 | 103 :dout = 8'b00100000 ; 61 | 104 :dout = 8'b00100000 ; 62 | 105 :dout = 8'b00100000 ; 63 | 115 :dout = 8'b00011110 ; 64 | 116 :dout = 8'b00100000 ; 65 | 117 :dout = 8'b00100000 ; 66 | 118 :dout = 8'b00100110 ; 67 | 119 :dout = 8'b00100010 ; 68 | 120 :dout = 8'b00100010 ; 69 | 121 :dout = 8'b00011110 ; 70 | 131 :dout = 8'b00100010 ; 71 | 132 :dout = 8'b00100010 ; 72 | 133 :dout = 8'b00100010 ; 73 | 134 :dout = 8'b00111110 ; 74 | 135 :dout = 8'b00100010 ; 75 | 136 :dout = 8'b00100010 ; 76 | 137 :dout = 8'b00100010 ; 77 | 147 :dout = 8'b00011100 ; 78 | 148 :dout = 8'b00001000 ; 79 | 149 :dout = 8'b00001000 ; 80 | 150 :dout = 8'b00001000 ; 81 | 151 :dout = 8'b00001000 ; 82 | 152 :dout = 8'b00001000 ; 83 | 153 :dout = 8'b00011100 ; 84 | 163 :dout = 8'b00000010 ; 85 | 164 :dout = 8'b00000010 ; 86 | 165 :dout = 8'b00000010 ; 87 | 166 :dout = 8'b00000010 ; 88 | 167 :dout = 8'b00100010 ; 89 | 168 :dout = 8'b00100010 ; 90 | 169 :dout = 8'b00011100 ; 91 | 179 :dout = 8'b00100010 ; 92 | 180 :dout = 8'b00100100 ; 93 | 181 :dout = 8'b00101000 ; 94 | 182 :dout = 8'b00110000 ; 95 | 183 :dout = 8'b00101000 ; 96 | 184 :dout = 8'b00100100 ; 97 | 185 :dout = 8'b00100010 ; 98 | 195 :dout = 8'b00100000 ; 99 | 196 :dout = 8'b00100000 ; 100 | 197 :dout = 8'b00100000 ; 101 | 198 :dout = 8'b00100000 ; 102 | 199 :dout = 8'b00100000 ; 103 | 200 :dout = 8'b00100000 ; 104 | 201 :dout = 8'b00111110 ; 105 | 211 :dout = 8'b00100010 ; 106 | 212 :dout = 8'b00110110 ; 107 | 213 :dout = 8'b00101010 ; 108 | 214 :dout = 8'b00100010 ; 109 | 215 :dout = 8'b00100010 ; 110 | 216 :dout = 8'b00100010 ; 111 | 217 :dout = 8'b00100010 ; 112 | 227 :dout = 8'b00100010 ; 113 | 228 :dout = 8'b00110010 ; 114 | 229 :dout = 8'b00101010 ; 115 | 230 :dout = 8'b00100110 ; 116 | 231 :dout = 8'b00100010 ; 117 | 232 :dout = 8'b00100010 ; 118 | 233 :dout = 8'b00100010 ; 119 | 243 :dout = 8'b00011100 ; 120 | 244 :dout = 8'b00100010 ; 121 | 245 :dout = 8'b00100010 ; 122 | 246 :dout = 8'b00100010 ; 123 | 247 :dout = 8'b00100010 ; 124 | 248 :dout = 8'b00100010 ; 125 | 249 :dout = 8'b00011100 ; 126 | 259 :dout = 8'b00111100 ; 127 | 260 :dout = 8'b00100010 ; 128 | 261 :dout = 8'b00100010 ; 129 | 262 :dout = 8'b00111100 ; 130 | 263 :dout = 8'b00100000 ; 131 | 264 :dout = 8'b00100000 ; 132 | 265 :dout = 8'b00100000 ; 133 | 275 :dout = 8'b00011100 ; 134 | 276 :dout = 8'b00100010 ; 135 | 277 :dout = 8'b00100010 ; 136 | 278 :dout = 8'b00100010 ; 137 | 279 :dout = 8'b00101010 ; 138 | 280 :dout = 8'b00100100 ; 139 | 281 :dout = 8'b00011010 ; 140 | 291 :dout = 8'b00111100 ; 141 | 292 :dout = 8'b00100010 ; 142 | 293 :dout = 8'b00100010 ; 143 | 294 :dout = 8'b00111100 ; 144 | 295 :dout = 8'b00101000 ; 145 | 296 :dout = 8'b00100100 ; 146 | 297 :dout = 8'b00100010 ; 147 | 307 :dout = 8'b00011100 ; 148 | 308 :dout = 8'b00100010 ; 149 | 309 :dout = 8'b00010000 ; 150 | 310 :dout = 8'b00001000 ; 151 | 311 :dout = 8'b00000100 ; 152 | 312 :dout = 8'b00100010 ; 153 | 313 :dout = 8'b00011100 ; 154 | 323 :dout = 8'b00111110 ; 155 | 324 :dout = 8'b00001000 ; 156 | 325 :dout = 8'b00001000 ; 157 | 326 :dout = 8'b00001000 ; 158 | 327 :dout = 8'b00001000 ; 159 | 328 :dout = 8'b00001000 ; 160 | 329 :dout = 8'b00001000 ; 161 | 339 :dout = 8'b00100010 ; 162 | 340 :dout = 8'b00100010 ; 163 | 341 :dout = 8'b00100010 ; 164 | 342 :dout = 8'b00100010 ; 165 | 343 :dout = 8'b00100010 ; 166 | 344 :dout = 8'b00100010 ; 167 | 345 :dout = 8'b00011100 ; 168 | 355 :dout = 8'b00100010 ; 169 | 356 :dout = 8'b00100010 ; 170 | 357 :dout = 8'b00100010 ; 171 | 358 :dout = 8'b00100010 ; 172 | 359 :dout = 8'b00010100 ; 173 | 360 :dout = 8'b00010100 ; 174 | 361 :dout = 8'b00001000 ; 175 | 371 :dout = 8'b00100010 ; 176 | 372 :dout = 8'b00100010 ; 177 | 373 :dout = 8'b00100010 ; 178 | 374 :dout = 8'b00100010 ; 179 | 375 :dout = 8'b00101010 ; 180 | 376 :dout = 8'b00110110 ; 181 | 377 :dout = 8'b00100010 ; 182 | 387 :dout = 8'b00100010 ; 183 | 388 :dout = 8'b00100010 ; 184 | 389 :dout = 8'b00010100 ; 185 | 390 :dout = 8'b00001000 ; 186 | 391 :dout = 8'b00010100 ; 187 | 392 :dout = 8'b00100010 ; 188 | 393 :dout = 8'b00100010 ; 189 | 403 :dout = 8'b00100010 ; 190 | 404 :dout = 8'b00100010 ; 191 | 405 :dout = 8'b00010100 ; 192 | 406 :dout = 8'b00001000 ; 193 | 407 :dout = 8'b00001000 ; 194 | 408 :dout = 8'b00001000 ; 195 | 409 :dout = 8'b00001000 ; 196 | 419 :dout = 8'b00111110 ; 197 | 420 :dout = 8'b00000010 ; 198 | 421 :dout = 8'b00000100 ; 199 | 422 :dout = 8'b00001000 ; 200 | 423 :dout = 8'b00010000 ; 201 | 424 :dout = 8'b00100000 ; 202 | 425 :dout = 8'b00111110 ; 203 | 435 :dout = 8'b00011100 ; 204 | 436 :dout = 8'b00010000 ; 205 | 437 :dout = 8'b00010000 ; 206 | 438 :dout = 8'b00010000 ; 207 | 439 :dout = 8'b00010000 ; 208 | 440 :dout = 8'b00010000 ; 209 | 441 :dout = 8'b00011100 ; 210 | 451 :dout = 8'b00100000 ; 211 | 452 :dout = 8'b00100000 ; 212 | 453 :dout = 8'b00010000 ; 213 | 454 :dout = 8'b00001000 ; 214 | 455 :dout = 8'b00000100 ; 215 | 456 :dout = 8'b00000010 ; 216 | 457 :dout = 8'b00000010 ; 217 | 467 :dout = 8'b00011100 ; 218 | 468 :dout = 8'b00000100 ; 219 | 469 :dout = 8'b00000100 ; 220 | 470 :dout = 8'b00000100 ; 221 | 471 :dout = 8'b00000100 ; 222 | 472 :dout = 8'b00000100 ; 223 | 473 :dout = 8'b00011100 ; 224 | 483 :dout = 8'b00001000 ; 225 | 484 :dout = 8'b00011100 ; 226 | 485 :dout = 8'b00111110 ; 227 | 486 :dout = 8'b00001000 ; 228 | 487 :dout = 8'b00001000 ; 229 | 488 :dout = 8'b00001000 ; 230 | 489 :dout = 8'b00001000 ; 231 | 500 :dout = 8'b00001000 ; 232 | 501 :dout = 8'b00010000 ; 233 | 502 :dout = 8'b00111110 ; 234 | 503 :dout = 8'b00010000 ; 235 | 504 :dout = 8'b00001000 ; 236 | 531 :dout = 8'b00001000 ; 237 | 532 :dout = 8'b00001000 ; 238 | 533 :dout = 8'b00001000 ; 239 | 534 :dout = 8'b00001000 ; 240 | 535 :dout = 8'b00001000 ; 241 | 537 :dout = 8'b00001000 ; 242 | 547 :dout = 8'b00010100 ; 243 | 548 :dout = 8'b00010100 ; 244 | 563 :dout = 8'b00010100 ; 245 | 564 :dout = 8'b00010100 ; 246 | 565 :dout = 8'b00110110 ; 247 | 567 :dout = 8'b00110110 ; 248 | 568 :dout = 8'b00010100 ; 249 | 569 :dout = 8'b00010100 ; 250 | 579 :dout = 8'b00001000 ; 251 | 580 :dout = 8'b00011110 ; 252 | 581 :dout = 8'b00100000 ; 253 | 582 :dout = 8'b00011100 ; 254 | 583 :dout = 8'b00000010 ; 255 | 584 :dout = 8'b00111100 ; 256 | 585 :dout = 8'b00001000 ; 257 | 595 :dout = 8'b00110010 ; 258 | 596 :dout = 8'b00110010 ; 259 | 597 :dout = 8'b00000100 ; 260 | 598 :dout = 8'b00001000 ; 261 | 599 :dout = 8'b00010000 ; 262 | 600 :dout = 8'b00100110 ; 263 | 601 :dout = 8'b00100110 ; 264 | 611 :dout = 8'b00010000 ; 265 | 612 :dout = 8'b00101000 ; 266 | 613 :dout = 8'b00101000 ; 267 | 614 :dout = 8'b00010010 ; 268 | 615 :dout = 8'b00101100 ; 269 | 616 :dout = 8'b00101100 ; 270 | 617 :dout = 8'b00010010 ; 271 | 627 :dout = 8'b00001000 ; 272 | 628 :dout = 8'b00001000 ; 273 | 643 :dout = 8'b00000100 ; 274 | 644 :dout = 8'b00001000 ; 275 | 645 :dout = 8'b00010000 ; 276 | 646 :dout = 8'b00010000 ; 277 | 647 :dout = 8'b00010000 ; 278 | 648 :dout = 8'b00001000 ; 279 | 649 :dout = 8'b00000100 ; 280 | 659 :dout = 8'b00010000 ; 281 | 660 :dout = 8'b00001000 ; 282 | 661 :dout = 8'b00000100 ; 283 | 662 :dout = 8'b00000100 ; 284 | 663 :dout = 8'b00000100 ; 285 | 664 :dout = 8'b00001000 ; 286 | 665 :dout = 8'b00010000 ; 287 | 676 :dout = 8'b00001000 ; 288 | 677 :dout = 8'b00101010 ; 289 | 678 :dout = 8'b00011100 ; 290 | 679 :dout = 8'b00101010 ; 291 | 680 :dout = 8'b00001000 ; 292 | 692 :dout = 8'b00001000 ; 293 | 693 :dout = 8'b00001000 ; 294 | 694 :dout = 8'b00111110 ; 295 | 695 :dout = 8'b00001000 ; 296 | 696 :dout = 8'b00001000 ; 297 | 710 :dout = 8'b00001100 ; 298 | 711 :dout = 8'b00001100 ; 299 | 712 :dout = 8'b00000100 ; 300 | 713 :dout = 8'b00001000 ; 301 | 726 :dout = 8'b00111110 ; 302 | 744 :dout = 8'b00001000 ; 303 | 745 :dout = 8'b00001000 ; 304 | 755 :dout = 8'b00000010 ; 305 | 756 :dout = 8'b00000010 ; 306 | 757 :dout = 8'b00000100 ; 307 | 758 :dout = 8'b00001000 ; 308 | 759 :dout = 8'b00010000 ; 309 | 760 :dout = 8'b00100000 ; 310 | 761 :dout = 8'b00100000 ; 311 | 771 :dout = 8'b00011100 ; 312 | 772 :dout = 8'b00100010 ; 313 | 773 :dout = 8'b00100110 ; 314 | 774 :dout = 8'b00101010 ; 315 | 775 :dout = 8'b00110010 ; 316 | 776 :dout = 8'b00100010 ; 317 | 777 :dout = 8'b00011100 ; 318 | 787 :dout = 8'b00001000 ; 319 | 788 :dout = 8'b00011000 ; 320 | 789 :dout = 8'b00001000 ; 321 | 790 :dout = 8'b00001000 ; 322 | 791 :dout = 8'b00001000 ; 323 | 792 :dout = 8'b00001000 ; 324 | 793 :dout = 8'b00011100 ; 325 | 803 :dout = 8'b00011100 ; 326 | 804 :dout = 8'b00100010 ; 327 | 805 :dout = 8'b00000010 ; 328 | 806 :dout = 8'b00011100 ; 329 | 807 :dout = 8'b00100000 ; 330 | 808 :dout = 8'b00100000 ; 331 | 809 :dout = 8'b00111110 ; 332 | 819 :dout = 8'b00011100 ; 333 | 820 :dout = 8'b00100010 ; 334 | 821 :dout = 8'b00000010 ; 335 | 822 :dout = 8'b00001100 ; 336 | 823 :dout = 8'b00000010 ; 337 | 824 :dout = 8'b00100010 ; 338 | 825 :dout = 8'b00011100 ; 339 | 835 :dout = 8'b00000100 ; 340 | 836 :dout = 8'b00001100 ; 341 | 837 :dout = 8'b00010100 ; 342 | 838 :dout = 8'b00111110 ; 343 | 839 :dout = 8'b00000100 ; 344 | 840 :dout = 8'b00000100 ; 345 | 841 :dout = 8'b00000100 ; 346 | 851 :dout = 8'b00111110 ; 347 | 852 :dout = 8'b00100000 ; 348 | 853 :dout = 8'b00111100 ; 349 | 854 :dout = 8'b00000010 ; 350 | 855 :dout = 8'b00000010 ; 351 | 856 :dout = 8'b00100010 ; 352 | 857 :dout = 8'b00011100 ; 353 | 867 :dout = 8'b00011100 ; 354 | 868 :dout = 8'b00100000 ; 355 | 869 :dout = 8'b00100000 ; 356 | 870 :dout = 8'b00111100 ; 357 | 871 :dout = 8'b00100010 ; 358 | 872 :dout = 8'b00100010 ; 359 | 873 :dout = 8'b00011100 ; 360 | 883 :dout = 8'b00111110 ; 361 | 884 :dout = 8'b00000010 ; 362 | 885 :dout = 8'b00000100 ; 363 | 886 :dout = 8'b00001000 ; 364 | 887 :dout = 8'b00010000 ; 365 | 888 :dout = 8'b00100000 ; 366 | 889 :dout = 8'b00100000 ; 367 | 899 :dout = 8'b00011100 ; 368 | 900 :dout = 8'b00100010 ; 369 | 901 :dout = 8'b00100010 ; 370 | 902 :dout = 8'b00011100 ; 371 | 903 :dout = 8'b00100010 ; 372 | 904 :dout = 8'b00100010 ; 373 | 905 :dout = 8'b00011100 ; 374 | 915 :dout = 8'b00011100 ; 375 | 916 :dout = 8'b00100010 ; 376 | 917 :dout = 8'b00100010 ; 377 | 918 :dout = 8'b00011110 ; 378 | 919 :dout = 8'b00000010 ; 379 | 920 :dout = 8'b00000010 ; 380 | 921 :dout = 8'b00011100 ; 381 | 933 :dout = 8'b00001000 ; 382 | 935 :dout = 8'b00001000 ; 383 | 947 :dout = 8'b00001100 ; 384 | 948 :dout = 8'b00001100 ; 385 | 950 :dout = 8'b00001100 ; 386 | 951 :dout = 8'b00001100 ; 387 | 952 :dout = 8'b00000100 ; 388 | 953 :dout = 8'b00001000 ; 389 | 963 :dout = 8'b00000100 ; 390 | 964 :dout = 8'b00001000 ; 391 | 965 :dout = 8'b00010000 ; 392 | 966 :dout = 8'b00100000 ; 393 | 967 :dout = 8'b00010000 ; 394 | 968 :dout = 8'b00001000 ; 395 | 969 :dout = 8'b00000100 ; 396 | 981 :dout = 8'b00111110 ; 397 | 983 :dout = 8'b00111110 ; 398 | 995 :dout = 8'b00100000 ; 399 | 996 :dout = 8'b00010000 ; 400 | 997 :dout = 8'b00001000 ; 401 | 998 :dout = 8'b00000100 ; 402 | 999 :dout = 8'b00001000 ; 403 | 1000 :dout = 8'b00010000 ; 404 | 1001 :dout = 8'b00100000 ; 405 | 1011 :dout = 8'b00011100 ; 406 | 1012 :dout = 8'b00100010 ; 407 | 1013 :dout = 8'b00000100 ; 408 | 1014 :dout = 8'b00001000 ; 409 | 1015 :dout = 8'b00001000 ; 410 | 1017 :dout = 8'b00001000 ; 411 | default :dout = 8'b00000000 ; 412 | endcase 413 | end 414 | 415 | endmodule 416 | 417 | 418 | -------------------------------------------------------------------------------- /vga/charmap.list: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00011100 5 | 00100010 6 | 00000010 7 | 00011010 8 | 00101010 9 | 00101010 10 | 00011100 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00001000 21 | 00010100 22 | 00100010 23 | 00100010 24 | 00111110 25 | 00100010 26 | 00100010 27 | 00000000 28 | 00000000 29 | 00000000 30 | 00000000 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00111100 37 | 00010010 38 | 00010010 39 | 00011100 40 | 00010010 41 | 00010010 42 | 00111100 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000000 52 | 00011100 53 | 00100010 54 | 00100000 55 | 00100000 56 | 00100000 57 | 00100010 58 | 00011100 59 | 00000000 60 | 00000000 61 | 00000000 62 | 00000000 63 | 00000000 64 | 00000000 65 | 00000000 66 | 00000000 67 | 00000000 68 | 00111100 69 | 00010010 70 | 00010010 71 | 00010010 72 | 00010010 73 | 00010010 74 | 00111100 75 | 00000000 76 | 00000000 77 | 00000000 78 | 00000000 79 | 00000000 80 | 00000000 81 | 00000000 82 | 00000000 83 | 00000000 84 | 00111110 85 | 00100000 86 | 00100000 87 | 00111000 88 | 00100000 89 | 00100000 90 | 00111110 91 | 00000000 92 | 00000000 93 | 00000000 94 | 00000000 95 | 00000000 96 | 00000000 97 | 00000000 98 | 00000000 99 | 00000000 100 | 00111110 101 | 00100000 102 | 00100000 103 | 00111100 104 | 00100000 105 | 00100000 106 | 00100000 107 | 00000000 108 | 00000000 109 | 00000000 110 | 00000000 111 | 00000000 112 | 00000000 113 | 00000000 114 | 00000000 115 | 00000000 116 | 00011110 117 | 00100000 118 | 00100000 119 | 00100110 120 | 00100010 121 | 00100010 122 | 00011110 123 | 00000000 124 | 00000000 125 | 00000000 126 | 00000000 127 | 00000000 128 | 00000000 129 | 00000000 130 | 00000000 131 | 00000000 132 | 00100010 133 | 00100010 134 | 00100010 135 | 00111110 136 | 00100010 137 | 00100010 138 | 00100010 139 | 00000000 140 | 00000000 141 | 00000000 142 | 00000000 143 | 00000000 144 | 00000000 145 | 00000000 146 | 00000000 147 | 00000000 148 | 00011100 149 | 00001000 150 | 00001000 151 | 00001000 152 | 00001000 153 | 00001000 154 | 00011100 155 | 00000000 156 | 00000000 157 | 00000000 158 | 00000000 159 | 00000000 160 | 00000000 161 | 00000000 162 | 00000000 163 | 00000000 164 | 00000010 165 | 00000010 166 | 00000010 167 | 00000010 168 | 00100010 169 | 00100010 170 | 00011100 171 | 00000000 172 | 00000000 173 | 00000000 174 | 00000000 175 | 00000000 176 | 00000000 177 | 00000000 178 | 00000000 179 | 00000000 180 | 00100010 181 | 00100100 182 | 00101000 183 | 00110000 184 | 00110000 185 | 00101000 186 | 00100100 187 | 00000000 188 | 00000000 189 | 00000000 190 | 00000000 191 | 00000000 192 | 00000000 193 | 00000000 194 | 00000000 195 | 00000000 196 | 00100000 197 | 00100000 198 | 00100000 199 | 00100000 200 | 00100000 201 | 00100000 202 | 00111110 203 | 00000000 204 | 00000000 205 | 00000000 206 | 00000000 207 | 00000000 208 | 00000000 209 | 00000000 210 | 00000000 211 | 00000000 212 | 00100010 213 | 00110110 214 | 00101010 215 | 00100010 216 | 00100010 217 | 00100010 218 | 00100010 219 | 00000000 220 | 00000000 221 | 00000000 222 | 00000000 223 | 00000000 224 | 00000000 225 | 00000000 226 | 00000000 227 | 00000000 228 | 00100010 229 | 00110010 230 | 00101010 231 | 00100110 232 | 00100010 233 | 00100010 234 | 00100010 235 | 00000000 236 | 00000000 237 | 00000000 238 | 00000000 239 | 00000000 240 | 00000000 241 | 00000000 242 | 00000000 243 | 00000000 244 | 00011100 245 | 00100010 246 | 00100010 247 | 00100010 248 | 00100010 249 | 00100010 250 | 00011100 251 | 00000000 252 | 00000000 253 | 00000000 254 | 00000000 255 | 00000000 256 | 00000000 257 | 00000000 258 | 00000000 259 | 00000000 260 | 00111100 261 | 00100010 262 | 00100010 263 | 00111100 264 | 00100000 265 | 00100000 266 | 00100000 267 | 00000000 268 | 00000000 269 | 00000000 270 | 00000000 271 | 00000000 272 | 00000000 273 | 00000000 274 | 00000000 275 | 00000000 276 | 00011100 277 | 00100010 278 | 00100010 279 | 00100010 280 | 00101010 281 | 00100100 282 | 00011010 283 | 00000000 284 | 00000000 285 | 00000000 286 | 00000000 287 | 00000000 288 | 00000000 289 | 00000000 290 | 00000000 291 | 00000000 292 | 00111100 293 | 00100010 294 | 00100010 295 | 00111100 296 | 00101000 297 | 00100100 298 | 00100010 299 | 00000000 300 | 00000000 301 | 00000000 302 | 00000000 303 | 00000000 304 | 00000000 305 | 00000000 306 | 00000000 307 | 00000000 308 | 00011100 309 | 00100010 310 | 00010000 311 | 00001000 312 | 00000100 313 | 00100010 314 | 00011100 315 | 00000000 316 | 00000000 317 | 00000000 318 | 00000000 319 | 00000000 320 | 00000000 321 | 00000000 322 | 00000000 323 | 00000000 324 | 00111110 325 | 00001000 326 | 00001000 327 | 00001000 328 | 00001000 329 | 00001000 330 | 00001000 331 | 00000000 332 | 00000000 333 | 00000000 334 | 00000000 335 | 00000000 336 | 00000000 337 | 00000000 338 | 00000000 339 | 00000000 340 | 00100010 341 | 00100010 342 | 00100010 343 | 00100010 344 | 00100010 345 | 00100010 346 | 00011100 347 | 00000000 348 | 00000000 349 | 00000000 350 | 00000000 351 | 00000000 352 | 00000000 353 | 00000000 354 | 00000000 355 | 00000000 356 | 00100010 357 | 00100010 358 | 00100010 359 | 00100010 360 | 00010100 361 | 00010100 362 | 00001000 363 | 00000000 364 | 00000000 365 | 00000000 366 | 00000000 367 | 00000000 368 | 00000000 369 | 00000000 370 | 00000000 371 | 00000000 372 | 00100010 373 | 00100010 374 | 00100010 375 | 00100010 376 | 00101010 377 | 00110110 378 | 00100010 379 | 00000000 380 | 00000000 381 | 00000000 382 | 00000000 383 | 00000000 384 | 00000000 385 | 00000000 386 | 00000000 387 | 00000000 388 | 00100010 389 | 00100010 390 | 00010100 391 | 00001000 392 | 00010100 393 | 00100010 394 | 00100010 395 | 00000000 396 | 00000000 397 | 00000000 398 | 00000000 399 | 00000000 400 | 00000000 401 | 00000000 402 | 00000000 403 | 00000000 404 | 00100010 405 | 00100010 406 | 00010100 407 | 00001000 408 | 00001000 409 | 00001000 410 | 00001000 411 | 00000000 412 | 00000000 413 | 00000000 414 | 00000000 415 | 00000000 416 | 00000000 417 | 00000000 418 | 00000000 419 | 00000000 420 | 00111110 421 | 00000010 422 | 00000100 423 | 00001000 424 | 00010000 425 | 00100000 426 | 00111110 427 | 00000000 428 | 00000000 429 | 00000000 430 | 00000000 431 | 00000000 432 | 00000000 433 | 00000000 434 | 00000000 435 | 00000000 436 | 00011100 437 | 00010000 438 | 00010000 439 | 00010000 440 | 00010000 441 | 00010000 442 | 00011100 443 | 00000000 444 | 00000000 445 | 00000000 446 | 00000000 447 | 00000000 448 | 00000000 449 | 00000000 450 | 00000000 451 | 00000000 452 | 00100000 453 | 00100000 454 | 00010000 455 | 00001000 456 | 00000100 457 | 00000010 458 | 00000010 459 | 00000000 460 | 00000000 461 | 00000000 462 | 00000000 463 | 00000000 464 | 00000000 465 | 00000000 466 | 00000000 467 | 00000000 468 | 00011100 469 | 00000100 470 | 00000100 471 | 00000100 472 | 00000100 473 | 00000100 474 | 00011100 475 | 00000000 476 | 00000000 477 | 00000000 478 | 00000000 479 | 00000000 480 | 00000000 481 | 00000000 482 | 00000000 483 | 00000000 484 | 00001000 485 | 00011100 486 | 00111110 487 | 00001000 488 | 00001000 489 | 00001000 490 | 00001000 491 | 00000000 492 | 00000000 493 | 00000000 494 | 00000000 495 | 00000000 496 | 00000000 497 | 00000000 498 | 00000000 499 | 00000000 500 | 00000000 501 | 00001000 502 | 00010000 503 | 00111110 504 | 00010000 505 | 00001000 506 | 00000000 507 | 00000000 508 | 00000000 509 | 00000000 510 | 00000000 511 | 00000000 512 | 00000000 513 | 00000000 514 | 00000000 515 | 00000000 516 | 00000000 517 | 00000000 518 | 00000000 519 | 00000000 520 | 00000000 521 | 00000000 522 | 00000000 523 | 00000000 524 | 00000000 525 | 00000000 526 | 00000000 527 | 00000000 528 | 00000000 529 | 00000000 530 | 00000000 531 | 00000000 532 | 00001000 533 | 00001000 534 | 00001000 535 | 00001000 536 | 00001000 537 | 00000000 538 | 00001000 539 | 00000000 540 | 00000000 541 | 00000000 542 | 00000000 543 | 00000000 544 | 00000000 545 | 00000000 546 | 00000000 547 | 00000000 548 | 00010100 549 | 00010100 550 | 00000000 551 | 00000000 552 | 00000000 553 | 00000000 554 | 00000000 555 | 00000000 556 | 00000000 557 | 00000000 558 | 00000000 559 | 00000000 560 | 00000000 561 | 00000000 562 | 00000000 563 | 00000000 564 | 00010100 565 | 00010100 566 | 00110110 567 | 00000000 568 | 00110110 569 | 00010100 570 | 00010100 571 | 00000000 572 | 00000000 573 | 00000000 574 | 00000000 575 | 00000000 576 | 00000000 577 | 00000000 578 | 00000000 579 | 00000000 580 | 00001000 581 | 00011110 582 | 00100000 583 | 00011100 584 | 00000010 585 | 00111100 586 | 00001000 587 | 00000000 588 | 00000000 589 | 00000000 590 | 00000000 591 | 00000000 592 | 00000000 593 | 00000000 594 | 00000000 595 | 00000000 596 | 00110010 597 | 00110010 598 | 00000100 599 | 00001000 600 | 00010000 601 | 00100110 602 | 00100110 603 | 00000000 604 | 00000000 605 | 00000000 606 | 00000000 607 | 00000000 608 | 00000000 609 | 00000000 610 | 00000000 611 | 00000000 612 | 00010000 613 | 00101000 614 | 00101000 615 | 00010010 616 | 00101100 617 | 00101100 618 | 00010010 619 | 00000000 620 | 00000000 621 | 00000000 622 | 00000000 623 | 00000000 624 | 00000000 625 | 00000000 626 | 00000000 627 | 00000000 628 | 00001000 629 | 00001000 630 | 00000000 631 | 00000000 632 | 00000000 633 | 00000000 634 | 00000000 635 | 00000000 636 | 00000000 637 | 00000000 638 | 00000000 639 | 00000000 640 | 00000000 641 | 00000000 642 | 00000000 643 | 00000000 644 | 00000100 645 | 00001000 646 | 00010000 647 | 00010000 648 | 00010000 649 | 00001000 650 | 00000100 651 | 00000000 652 | 00000000 653 | 00000000 654 | 00000000 655 | 00000000 656 | 00000000 657 | 00000000 658 | 00000000 659 | 00000000 660 | 00010000 661 | 00001000 662 | 00000100 663 | 00000100 664 | 00000100 665 | 00001000 666 | 00010000 667 | 00000000 668 | 00000000 669 | 00000000 670 | 00000000 671 | 00000000 672 | 00000000 673 | 00000000 674 | 00000000 675 | 00000000 676 | 00000000 677 | 00001000 678 | 00101010 679 | 00011100 680 | 00101010 681 | 00001000 682 | 00000000 683 | 00000000 684 | 00000000 685 | 00000000 686 | 00000000 687 | 00000000 688 | 00000000 689 | 00000000 690 | 00000000 691 | 00000000 692 | 00000000 693 | 00001000 694 | 00001000 695 | 00111110 696 | 00001000 697 | 00001000 698 | 00000000 699 | 00000000 700 | 00000000 701 | 00000000 702 | 00000000 703 | 00000000 704 | 00000000 705 | 00000000 706 | 00000000 707 | 00000000 708 | 00000000 709 | 00000000 710 | 00000000 711 | 00001100 712 | 00001100 713 | 00000100 714 | 00001000 715 | 00000000 716 | 00000000 717 | 00000000 718 | 00000000 719 | 00000000 720 | 00000000 721 | 00000000 722 | 00000000 723 | 00000000 724 | 00000000 725 | 00000000 726 | 00000000 727 | 00111110 728 | 00000000 729 | 00000000 730 | 00000000 731 | 00000000 732 | 00000000 733 | 00000000 734 | 00000000 735 | 00000000 736 | 00000000 737 | 00000000 738 | 00000000 739 | 00000000 740 | 00000000 741 | 00000000 742 | 00000000 743 | 00000000 744 | 00000000 745 | 00001000 746 | 00001000 747 | 00000000 748 | 00000000 749 | 00000000 750 | 00000000 751 | 00000000 752 | 00000000 753 | 00000000 754 | 00000000 755 | 00000000 756 | 00000010 757 | 00000010 758 | 00000100 759 | 00001000 760 | 00010000 761 | 00100000 762 | 00100000 763 | 00000000 764 | 00000000 765 | 00000000 766 | 00000000 767 | 00000000 768 | 00000000 769 | 00000000 770 | 00000000 771 | 00000000 772 | 00011100 773 | 00100010 774 | 00100110 775 | 00101010 776 | 00110010 777 | 00100010 778 | 00011100 779 | 00000000 780 | 00000000 781 | 00000000 782 | 00000000 783 | 00000000 784 | 00000000 785 | 00000000 786 | 00000000 787 | 00000000 788 | 00001000 789 | 00011000 790 | 00001000 791 | 00001000 792 | 00001000 793 | 00001000 794 | 00011100 795 | 00000000 796 | 00000000 797 | 00000000 798 | 00000000 799 | 00000000 800 | 00000000 801 | 00000000 802 | 00000000 803 | 00000000 804 | 00011100 805 | 00100010 806 | 00000010 807 | 00011100 808 | 00100000 809 | 00100000 810 | 00111110 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00011100 821 | 00100010 822 | 00000010 823 | 00001100 824 | 00000010 825 | 00100010 826 | 00011100 827 | 00000000 828 | 00000000 829 | 00000000 830 | 00000000 831 | 00000000 832 | 00000000 833 | 00000000 834 | 00000000 835 | 00000000 836 | 00000100 837 | 00001100 838 | 00010100 839 | 00111110 840 | 00000100 841 | 00000100 842 | 00000100 843 | 00000000 844 | 00000000 845 | 00000000 846 | 00000000 847 | 00000000 848 | 00000000 849 | 00000000 850 | 00000000 851 | 00000000 852 | 00111110 853 | 00100000 854 | 00111100 855 | 00000010 856 | 00000010 857 | 00100010 858 | 00011100 859 | 00000000 860 | 00000000 861 | 00000000 862 | 00000000 863 | 00000000 864 | 00000000 865 | 00000000 866 | 00000000 867 | 00000000 868 | 00011100 869 | 00100000 870 | 00100000 871 | 00111100 872 | 00100010 873 | 00100010 874 | 00011100 875 | 00000000 876 | 00000000 877 | 00000000 878 | 00000000 879 | 00000000 880 | 00000000 881 | 00000000 882 | 00000000 883 | 00000000 884 | 00111110 885 | 00000010 886 | 00000100 887 | 00001000 888 | 00010000 889 | 00100000 890 | 00100000 891 | 00000000 892 | 00000000 893 | 00000000 894 | 00000000 895 | 00000000 896 | 00000000 897 | 00000000 898 | 00000000 899 | 00000000 900 | 00011100 901 | 00100010 902 | 00100010 903 | 00011100 904 | 00100010 905 | 00100010 906 | 00011100 907 | 00000000 908 | 00000000 909 | 00000000 910 | 00000000 911 | 00000000 912 | 00000000 913 | 00000000 914 | 00000000 915 | 00000000 916 | 00011100 917 | 00100010 918 | 00100010 919 | 00011110 920 | 00000010 921 | 00000010 922 | 00011100 923 | 00000000 924 | 00000000 925 | 00000000 926 | 00000000 927 | 00000000 928 | 00000000 929 | 00000000 930 | 00000000 931 | 00000000 932 | 00000000 933 | 00000000 934 | 00001000 935 | 00000000 936 | 00001000 937 | 00000000 938 | 00000000 939 | 00000000 940 | 00000000 941 | 00000000 942 | 00000000 943 | 00000000 944 | 00000000 945 | 00000000 946 | 00000000 947 | 00000000 948 | 00001100 949 | 00001100 950 | 00000000 951 | 00001100 952 | 00001100 953 | 00000100 954 | 00001000 955 | 00000000 956 | 00000000 957 | 00000000 958 | 00000000 959 | 00000000 960 | 00000000 961 | 00000000 962 | 00000000 963 | 00000000 964 | 00000100 965 | 00001000 966 | 00010000 967 | 00100000 968 | 00010000 969 | 00001000 970 | 00000100 971 | 00000000 972 | 00000000 973 | 00000000 974 | 00000000 975 | 00000000 976 | 00000000 977 | 00000000 978 | 00000000 979 | 00000000 980 | 00000000 981 | 00000000 982 | 00111110 983 | 00000000 984 | 00111110 985 | 00000000 986 | 00000000 987 | 00000000 988 | 00000000 989 | 00000000 990 | 00000000 991 | 00000000 992 | 00000000 993 | 00000000 994 | 00000000 995 | 00000000 996 | 00100000 997 | 00010000 998 | 00001000 999 | 00000100 1000 | 00001000 1001 | 00010000 1002 | 00100000 1003 | 00000000 1004 | 00000000 1005 | 00000000 1006 | 00000000 1007 | 00000000 1008 | 00000000 1009 | 00000000 1010 | 00000000 1011 | 00000000 1012 | 00011100 1013 | 00100010 1014 | 00000100 1015 | 00001000 1016 | 00001000 1017 | 00000000 1018 | 00001000 1019 | 00000000 1020 | 00000000 1021 | 00000000 1022 | 00000000 1023 | 00000000 1024 | 00000000 1025 | 1026 | -------------------------------------------------------------------------------- /vga/vga.v: -------------------------------------------------------------------------------- 1 | /* 2 | * verilog model of vga output generator for miniatom. 3 | * 4 | * (C) Jan Rinze Peterzon, (janrinze@gmail.com) 5 | * 6 | * Feel free to use this code in any non-commercial project, as long as you 7 | * keep this message, and the copyright notice. This code is provided "as is", 8 | * without any warranties of any kind. 9 | * 10 | * 11 | */ 12 | 13 | /* 14 | 15 | Simple VGA output (XGA 1024x768 1 bpp) 16 | 17 | General timing 18 | 19 | Screen refresh rate 60 Hz 20 | Vertical refresh 48.363095238095 kHz 21 | Pixel freq. 65.0 MHz 22 | Horizontal timing (line) 23 | 24 | Polarity of horizontal sync pulse is negative. 25 | Scanline part Pixels Time [µs] 26 | Visible area 1024 15.753846153846 b10000000000 27 | Front porch 24 0.36923076923077 28 | Sync pulse 136 2.0923076923077 29 | Back porch 160 2.4615384615385 30 | Whole line 1344 20.676923076923 31 | 32 | 0 .. 1023 display data 33 | 34 | Vertical timing (frame) 35 | 36 | Polarity of vertical sync pulse is negative. 37 | Frame part Lines Time [ms] 38 | Visible area 768 15.879876923077 b1100000000 39 | Front porch 3 0.062030769230769 40 | Sync pulse 6 0.12406153846154 41 | Back porch 29 0.59963076923077 42 | Whole frame 806 16.6656 43 | 44 | */ 45 | 46 | `include "vga/charGen.v" 47 | 48 | module duplic( 49 | input in, 50 | output [1:0] out 51 | ); 52 | assign out={in,in}; 53 | endmodule 54 | 55 | 56 | module vga ( 57 | input clk, 58 | input reset, 59 | input [7:0] data, 60 | input [3:0] settings, 61 | output [12:0] address, 62 | output [5:0] rgb, 63 | output hsync, 64 | output vsync, 65 | output req, 66 | // register access 67 | input cs, 68 | input we, 69 | input [3:0] cpu_address, 70 | input [7:0] Din 71 | ); 72 | 73 | // ------------------------------------------------------------------------------------ 74 | // VGA registers: 75 | // #BC00 - #BFFF 76 | // 77 | // T.B.D. 78 | // 4 bit RGB output. 79 | // 80 | // ------------------------------------------------------------------------------------ 81 | 82 | // ATOM can do up to 4 colors so we map them to writeable RGB 2:2:2 registers. 83 | reg [5:0] color0; 84 | reg [5:0] color1; 85 | reg [5:0] color2; 86 | reg [5:0] color3; 87 | 88 | always@(posedge we or posedge reset) begin 89 | if (reset) begin 90 | color0 <= 6'b000011; 91 | color1 <= 6'b001001; 92 | color2 <= 6'b110000; 93 | color3 <= 6'b111111; 94 | end 95 | else 96 | begin // latch writes to color regs 97 | if (cs) begin 98 | case (cpu_address[1:0]) 99 | 2'b00: color0 <= Din[5:0]; 100 | 2'b01: color1 <= Din[5:0]; 101 | 2'b10: color2 <= Din[5:0]; 102 | 2'b11: color3 <= Din[5:0]; 103 | endcase 104 | end 105 | end 106 | end 107 | 108 | 109 | reg [9:0] hor_counter; 110 | reg [9:0] vert_counter; 111 | reg [15:0] curpixeldat; 112 | reg [3:0] char_line; 113 | reg [4:0] hor_pos; 114 | reg [7:0] vert_pos; 115 | reg [3:0] tvert_pos; 116 | 117 | wire hor_valid = ~hor_counter[9]; 118 | wire vert_valid = (vert_counter[9:8]==3) ? 0 : 1; 119 | // 60 Hz 120 | wire hor_restart = hor_counter == 511+12+68+80; 121 | wire hs_start = hor_counter == 511+12; 122 | wire hs_stop = hor_counter == 511+12+68; 123 | 124 | wire vert_restart = vert_counter == 767+3+6+29; 125 | wire vs_start = vert_counter == 767+3; 126 | wire vs_stop = vert_counter == 767+3+6; 127 | 128 | /* 75 Hz 129 | wire hor_restart = hor_counter == 511+8+48+88; 130 | wire hs_start = hor_counter == 511+8; 131 | wire hs_stop = hor_counter == 511+8+48; 132 | 133 | wire vert_restart = vert_counter == 767+1+3+28; 134 | wire vs_start = vert_counter == 767+1; 135 | wire vs_stop = vert_counter == 767+1+3; 136 | */ 137 | wire textmode = settings[3]==1'b0; 138 | reg invert; 139 | wire c_restart = char_line==4'b1011; 140 | wire next_byte = hor_counter[3:0] == 4'b0000; 141 | wire next_line = vert_counter[1:0] == 2'b11; 142 | wire next_data = (hor_counter[3:0]==4'b1111); 143 | reg h_sync,v_sync,bg,invs; 144 | reg [5:0] pixel; 145 | 146 | wire [7:0] textchar ;// = charmap[{data[5:0],char_line }]; 147 | wire [15:0] Dtextchar; 148 | reg [15:0] Dgraph; 149 | wire [15:0] Ddata; 150 | wire highres; 151 | 152 | duplic txt [7:0] (textchar,Dtextchar); 153 | duplic dta [7:0] (data,Ddata); 154 | //duplic dta [7:0] (data,Ddata); 155 | assign highres = (settings==4'hf)|(settings==4'h0); 156 | 157 | charGen charmap ( 158 | .address({data[5:0],char_line}), 159 | .dout(textchar) 160 | ); 161 | 162 | // special mode using blocks 163 | wire [1:0] p1,p2,p3,p4,p5,p6; 164 | 165 | assign p1 = {data[5]&~data[7],data[5]}; 166 | assign p2 = {data[4]&~data[7],data[4]}; 167 | assign p3 = {data[3]&~data[7],data[3]}; 168 | assign p4 = {data[2]&~data[7],data[2]}; 169 | assign p5 = {data[1]&~data[7],data[1]}; 170 | assign p6 = {data[0]&~data[7],data[0]}; 171 | 172 | 173 | always @* begin 174 | case (char_line[3:2]) 175 | 0 : Dgraph = {{4{p1}},{4{p2}}}; 176 | 1 : Dgraph = {{4{p3}},{4{p4}}}; 177 | default : Dgraph = {{4{p5}},{4{p6}}}; 178 | endcase 179 | end 180 | 181 | wire req = (hor_counter[3:0]==4'b1100); 182 | 183 | always@(posedge clk) begin 184 | if (reset) begin 185 | hor_counter <= 0; 186 | vert_counter <= 0; 187 | h_sync <= 1; 188 | v_sync <= 1; 189 | pixel <= 0; 190 | curpixeldat <=0; 191 | char_line <=0; 192 | hor_pos<=0; 193 | vert_pos<=0; 194 | tvert_pos<=0; 195 | invert <=0; 196 | end else begin 197 | if (hor_restart) begin 198 | hor_counter <= 0; 199 | // multi pix here 200 | if (vert_restart) begin 201 | vert_counter <= 0; 202 | // multiline here 203 | end 204 | else 205 | begin 206 | vert_counter <= vert_counter + 1; 207 | // multiline here 208 | end 209 | end else begin 210 | hor_counter <= hor_counter + 1; 211 | // multi pix here 212 | end 213 | 214 | 215 | if (hs_start) 216 | hor_pos <=0; 217 | else if (next_byte & hor_valid) 218 | hor_pos <= hor_pos+1; 219 | 220 | if (vs_start) begin 221 | vert_pos <= 0; 222 | char_line<=0; 223 | tvert_pos <= 0; 224 | end 225 | else if ( next_line & vert_valid & hs_start) begin 226 | vert_pos <= vert_pos +1; 227 | if (c_restart) begin 228 | char_line <=0; 229 | tvert_pos<=tvert_pos+1; 230 | end else 231 | char_line <= char_line +1; 232 | end 233 | 234 | if (next_data) 235 | case ({data[7:6],settings}) 236 | 6'b00_0000 : curpixeldat <= Dtextchar; // text mode 237 | 6'b10_0000 : curpixeldat <= ~Dtextchar; // text mode 238 | 6'b01_0000 : curpixeldat <= Dgraph; // text mode blocks 239 | 6'b11_0000 : curpixeldat <= Dgraph; // text mode blocks 240 | default: curpixeldat <= highres ?Ddata:{data,8'h00}; 241 | endcase 242 | else 243 | if (highres) begin 244 | if (hor_counter[0]==1'b1) 245 | curpixeldat <= {curpixeldat[13:0],2'b00}; //shift_left 246 | end 247 | else 248 | if (hor_counter[1:0]==2'b11) 249 | curpixeldat <= {curpixeldat[13:0],2'b00}; //shift_left 250 | 251 | case(curpixeldat[15:14]) 252 | 0: pixel <= color0; 253 | 1: pixel <= color1; 254 | 2: pixel <= color2; 255 | 3: pixel <= color3; 256 | endcase 257 | 258 | bg <= hor_valid & vert_valid; 259 | 260 | // generate sync pulses 261 | if (hs_start) 262 | h_sync <=0; 263 | else if (hs_stop) 264 | h_sync <=1; 265 | if (vs_start) 266 | v_sync <=0; 267 | else if (vs_stop) 268 | v_sync <=1; 269 | 270 | end 271 | end 272 | 273 | 274 | assign address = (textmode) ? {4'b000,tvert_pos,hor_pos}:{ vert_pos,hor_pos}; 275 | assign hsync = h_sync; 276 | assign vsync = v_sync; 277 | 278 | assign rgb = bg ? pixel : 6'b000000; 279 | 280 | endmodule 281 | --------------------------------------------------------------------------------