├── xilinx ├── ml401a │ ├── ml401.lso │ ├── ml401.ut │ └── mkchain.py ├── ml50x │ ├── jop.lso │ ├── ml50x.lso │ ├── impact.in │ ├── ml50x.ut │ └── ml50x.xst ├── ml50x_iic │ ├── jop.lso │ ├── ml50x_iic.lso │ ├── ml50x_iic.ut │ └── ml50x_iic.xst └── ztex │ ├── ztex.lso │ └── ztex.ut ├── java ├── pc │ ├── jop.rc │ ├── abc.ico │ ├── jop.RES │ ├── src │ │ ├── test │ │ │ └── error.txt │ │ └── yaffs2 │ │ │ ├── utils │ │ │ └── emulation │ │ │ │ ├── HiddenException.java │ │ │ │ └── RamEmulationUnix.java │ │ │ ├── port │ │ │ └── emulation │ │ │ │ ├── yflash_Page.java │ │ │ │ ├── yramdisk_Page.java │ │ │ │ ├── yflash_Device.java │ │ │ │ ├── yramdisk_BlockPointer.java │ │ │ │ ├── yflash_Block.java │ │ │ │ ├── yramdisk_Block.java │ │ │ │ └── yramdisk_Device.java │ │ │ └── platform │ │ │ └── emulation │ │ │ └── DebugConfiguration.java │ ├── makeexe.bat │ └── build.xml ├── bat │ ├── del_class.bat │ ├── rtdoc.bat │ ├── sim.bat │ ├── genjvm.bat │ ├── ejip.bat │ ├── gendoc.bat │ ├── dosim.bat │ └── dobb.bat ├── target │ └── src │ │ ├── test │ │ ├── rttm │ │ │ ├── tests │ │ │ │ ├── ArgsHandlingTest.pattern │ │ │ │ ├── AbortTest.pattern │ │ │ │ ├── LinkedListContention.pattern │ │ │ │ ├── NullPointerException.pattern │ │ │ │ ├── ArrayOutOfBoundsException.pattern │ │ │ │ ├── EarlyCommitTest.pattern │ │ │ │ ├── MethodcacheLoadsFilterTest.pattern │ │ │ │ ├── IncDecTest.pattern │ │ │ │ ├── ModifiedArguments.pattern │ │ │ │ ├── ModifiedArgumentsEarlyCommit.pattern │ │ │ │ ├── ArgsHandlingTest.java │ │ │ │ ├── linktime │ │ │ │ │ ├── AnnotationCompileTest.java │ │ │ │ │ └── ModifiedArguments.java │ │ │ │ ├── AbortTest.java │ │ │ │ ├── ArrayOutOfBoundsException.java │ │ │ │ └── NullPointerException.java │ │ │ ├── WaitFreeQueue │ │ │ │ ├── HeapMemory.java │ │ │ │ ├── ImmortalMemory.java │ │ │ │ ├── MemoryScopeException.java │ │ │ │ ├── InaccessibleAreaException.java │ │ │ │ ├── AddEventHandler.java │ │ │ │ ├── MemoryArea.java │ │ │ │ └── WaitFreeQueue.java │ │ │ └── common │ │ │ │ └── ExitingRunnable.java │ │ ├── jvm │ │ │ └── Switch2.java │ │ ├── vga │ │ │ ├── ClockVga.java │ │ │ ├── HelloVga.java │ │ │ ├── BlinkerVga.java │ │ │ └── ClockVgaLine.java │ │ ├── jdk │ │ │ └── TestCldcString.java │ │ ├── jvmtest │ │ │ ├── TestSuite.java │ │ │ ├── JopTestSuite.java │ │ │ └── base │ │ │ │ ├── TestCase.java │ │ │ │ ├── BooleanUtils.java │ │ │ │ ├── MyArrayList.java │ │ │ │ ├── StringUtils.java │ │ │ │ ├── TestCaseList.java │ │ │ │ ├── TestCaseResult.java │ │ │ │ ├── SimpleTestCaseResult.java │ │ │ │ ├── SerializeTestCaseResult.java │ │ │ │ ├── TestCaseResultFactory.java │ │ │ │ ├── SingleThreadTestCaseList.java │ │ │ │ └── MultipleThreadTestCaseList.java │ │ ├── wcet │ │ │ ├── dsvmfp │ │ │ │ ├── util │ │ │ │ │ └── ILink.java │ │ │ │ └── SVMData.java │ │ │ ├── devel │ │ │ │ └── gen_SimpleAssocTest.rb~ │ │ │ └── StartWCADevel.java │ │ ├── jopui │ │ │ └── JopUIDemo.java │ │ └── ptolemy │ │ │ └── Token.java │ │ ├── app │ │ ├── oebb │ │ │ ├── Main.java │ │ │ ├── Logic.java │ │ │ ├── Events.java │ │ │ └── DgpsHandler.java │ │ ├── tal │ │ │ ├── Modem.java │ │ │ └── LiftControl.java │ │ ├── kfl │ │ │ └── zentrale.txt │ │ ├── lego │ │ │ └── explorer │ │ │ │ └── BotMode.java │ │ ├── csp │ │ │ ├── Packetizer.java │ │ │ ├── IOInterface.java │ │ │ ├── IODevice.java │ │ │ ├── scj │ │ │ │ └── watchdog │ │ │ │ │ └── WatchDogAppSCJ.java │ │ │ ├── InterruptTask.java │ │ │ └── test │ │ │ │ └── crc_test.java │ │ └── libcsp │ │ │ ├── csp │ │ │ ├── transportextentions │ │ │ │ ├── ITransportExtension.java │ │ │ │ └── TransportUDP.java │ │ │ ├── interfaces │ │ │ │ └── IMACProtocol.java │ │ │ ├── util │ │ │ │ └── IDispose.java │ │ │ └── core │ │ │ │ ├── Node.java │ │ │ │ └── Port.java │ │ │ └── src │ │ │ └── system │ │ │ └── SCJApplication.java │ │ ├── paper │ │ ├── scjlibs │ │ │ ├── MyException.java │ │ │ ├── MySafelet.java │ │ │ ├── PropagatedException.java │ │ │ ├── util │ │ │ │ ├── PoolObjectFactory.java │ │ │ │ ├── PoolObject.java │ │ │ │ └── MemSafe.java │ │ │ ├── safeutil │ │ │ │ ├── PoolObjectFactory.java │ │ │ │ ├── VectorTestRunner.java │ │ │ │ ├── AbstractPoolObject.java │ │ │ │ └── PoolObject.java │ │ │ ├── GenericEntryFactory.java │ │ │ ├── GenericMission.java │ │ │ ├── Constants.java │ │ │ ├── examples │ │ │ │ └── hijac │ │ │ │ │ └── cdx │ │ │ │ │ ├── Error.java │ │ │ │ │ └── MemUtils.java │ │ │ ├── Launcher.java │ │ │ ├── Thrower.java │ │ │ └── PropagateExceptionMission.java │ │ ├── jopscj │ │ │ ├── friend1 │ │ │ │ ├── Helper.java │ │ │ │ └── A.java │ │ │ ├── enemy │ │ │ │ └── C.java │ │ │ └── friend2 │ │ │ │ └── B.java │ │ ├── csp │ │ │ ├── OutPort.java │ │ │ ├── StreamListener.java │ │ │ └── OutPortLocal.java │ │ ├── scopeuse │ │ │ └── ex1 │ │ │ │ └── LoopAppSCJ.java │ │ └── hwScopeEx │ │ │ └── sensors │ │ │ ├── Calibration.java │ │ │ └── Meassurements.java │ │ ├── bench │ │ ├── jbe │ │ │ └── lift │ │ │ │ └── LiftControl.java │ │ ├── jembench │ │ │ └── parallel │ │ │ │ └── raytrace │ │ │ │ ├── Ray.java │ │ │ │ ├── Tri.java │ │ │ │ ├── Camera.java │ │ │ │ ├── MyVector.java │ │ │ │ ├── Sphere.java │ │ │ │ └── RenderThread.java │ │ ├── org │ │ │ └── bouncycastle │ │ │ │ └── crypto │ │ │ │ └── CipherParameters.java │ │ ├── scd_micro │ │ │ └── README.txt │ │ └── cruiser │ │ │ └── runcarmodel.sh │ │ ├── rtapi │ │ ├── cdx │ │ │ └── utils │ │ │ │ └── javacp │ │ │ │ └── util │ │ │ │ └── Cloneable.java │ │ ├── javax │ │ │ ├── realtime │ │ │ │ ├── RelativeTime.java │ │ │ │ ├── RawShort.java │ │ │ │ ├── RawIntArray.java │ │ │ │ ├── RawLongArray.java │ │ │ │ ├── RawShortArray.java │ │ │ │ ├── AbstractAsyncEvent.java │ │ │ │ ├── PhysicalMemoryName.java │ │ │ │ ├── RawScalarAccess.java │ │ │ │ ├── RawMemoryName.java │ │ │ │ ├── RawLong.java │ │ │ │ ├── RawInt.java │ │ │ │ ├── RawByte.java │ │ │ │ ├── ProcessorAffinityException.java │ │ │ │ ├── RawByteArray.java │ │ │ │ ├── RawRealAccessFactory.java │ │ │ │ ├── RawIntRead.java │ │ │ │ ├── ThrowBoundaryError.java │ │ │ │ ├── RawByteWrite.java │ │ │ │ ├── RawIntWrite.java │ │ │ │ ├── AsyncLongEvent.java │ │ │ │ └── RawShortRead.java │ │ │ └── safetycritical │ │ │ │ ├── annotate │ │ │ │ ├── Immutable.java │ │ │ │ ├── Phase.java │ │ │ │ └── Pure.java │ │ │ │ └── PrivateMemory.java │ │ ├── test │ │ │ ├── cyclic │ │ │ │ ├── Constants.java │ │ │ │ └── CyclicApp.java │ │ │ ├── scj │ │ │ │ └── MyAppSCJ.java │ │ │ ├── level1 │ │ │ │ └── MyLevel1App.java │ │ │ └── level0 │ │ │ │ └── MyLevel0App.java │ │ ├── hijac │ │ │ └── cdx │ │ │ │ ├── Error.java │ │ │ │ ├── MemUtils.java │ │ │ │ └── Main.java │ │ ├── examples │ │ │ └── scopes │ │ │ │ └── ThreadLocalScope.java │ │ └── edu │ │ │ └── purdue │ │ │ └── scjtck │ │ │ └── Launcher.java │ │ ├── jdk_base │ │ └── java │ │ │ ├── lang │ │ │ └── Runnable.java │ │ │ └── io │ │ │ └── FileNotFoundException.java │ │ ├── scjlibs │ │ ├── java │ │ │ ├── lang │ │ │ │ └── Runnable.java │ │ │ ├── util │ │ │ │ ├── PoolObjectFactory.java │ │ │ │ ├── MemSafe.java │ │ │ │ ├── VectorTestRunner.java.xxx │ │ │ │ ├── AbstractPoolObject.java │ │ │ │ ├── PoolObject.java │ │ │ │ └── GenericPoolObject.java │ │ │ └── io │ │ │ │ └── FileNotFoundException.java │ │ └── annotation │ │ │ └── ConditionallyScopeSafe.java │ │ ├── common │ │ ├── ejip │ │ │ └── jtcpip │ │ │ │ └── DatagramPacket.java │ │ ├── yaffs2 │ │ │ ├── utils │ │ │ │ ├── list_head_or_yaffs_Object.java │ │ │ │ ├── NotImplementedException.java │ │ │ │ ├── factory │ │ │ │ │ ├── PooledObject.java │ │ │ │ │ ├── PrimitiveWrapper.java │ │ │ │ │ └── yaffs_ObjectFactory.java │ │ │ │ ├── PortConfiguration.java │ │ │ │ ├── IntegerPointer.java │ │ │ │ ├── YYIELDInterface.java │ │ │ │ ├── debug │ │ │ │ │ └── communication │ │ │ │ │ │ └── DebugSettings.java │ │ │ │ ├── DebugConfiguration.java │ │ │ │ ├── UnexpectedException.java │ │ │ │ ├── yaffs_BlockInfoPointer.java │ │ │ │ ├── PartiallySerializableObject.java │ │ │ │ ├── Yaffs1NANDInterface.java │ │ │ │ └── Constants.java │ │ │ ├── port │ │ │ │ ├── yaffs_DIR.java │ │ │ │ ├── yaffs_BlockIndex.java │ │ │ │ ├── yaffs_ObjectBucket.java │ │ │ │ ├── yaffs_DirectoryStructure.java │ │ │ │ ├── yaffs_SymLinkStructure.java │ │ │ │ ├── yaffs_HardLinkStructure.java │ │ │ │ ├── yaffs_ObjectList.java │ │ │ │ ├── yaffs_TnodeList.java │ │ │ │ ├── yaffs_NANDSpare.java │ │ │ │ ├── yaffs_FileStructure.java │ │ │ │ ├── yaffsfs_DeviceConfiguration.java │ │ │ │ ├── iattr.java │ │ │ │ ├── yaffs_TempBuffer.java │ │ │ │ ├── yaffs_SuperBlockHeader.java │ │ │ │ ├── yaffsfs_Handle.java │ │ │ │ └── yaffs_ChunkCache.java │ │ │ ├── assertions │ │ │ │ └── Assert.java │ │ │ └── JOPDirectInterfaceClient.java │ │ ├── com │ │ │ └── jopdesign │ │ │ │ └── io │ │ │ │ ├── OutPort.java │ │ │ │ ├── HWSensorM.java │ │ │ │ └── HWSensorC.java │ │ └── ejip123 │ │ │ └── package-info.java │ │ ├── cldc11 │ │ ├── jdk_base_orig │ │ │ └── java │ │ │ │ ├── lang │ │ │ │ └── MutableInteger.java │ │ │ │ └── io │ │ │ │ └── FileNotFoundException.java │ │ └── cldc_orig │ │ │ ├── java │ │ │ ├── lang │ │ │ │ ├── ref │ │ │ │ │ └── package.html │ │ │ │ └── package.html │ │ │ ├── io │ │ │ │ └── package.html │ │ │ └── util │ │ │ │ └── package.html │ │ │ ├── com │ │ │ └── sun │ │ │ │ └── cldc │ │ │ │ ├── io │ │ │ │ └── package.html │ │ │ │ ├── i18n │ │ │ │ ├── package.html │ │ │ │ └── uclc │ │ │ │ │ └── package.html │ │ │ │ └── util │ │ │ │ └── j2me │ │ │ │ └── package.html │ │ │ └── javax │ │ │ └── microedition │ │ │ └── io │ │ │ └── package.html │ │ └── jdk14 │ │ └── java │ │ ├── util │ │ ├── First_Enumeration.java │ │ └── Date.java │ │ └── lang │ │ └── Thread.java ├── lib │ ├── RXTXcomm.jar │ ├── asm-3.0.jar │ ├── bcel-5.2.jar │ ├── proguard.jar │ ├── asm-xml-3.0.jar │ ├── lpsolve55j.jar │ ├── asm-tree-3.0.jar │ ├── asm-util-3.0.jar │ ├── jgrapht-jdk1.5.jar │ ├── log4j-1.2.15.jar │ ├── velocity-1.5.jar │ ├── asm-analysis-3.0.jar │ ├── asm-commons-3.0.jar │ ├── velocity-dep-1.5.jar │ └── jakarta-regexp-1.3.jar ├── tools │ ├── test │ │ └── test │ │ │ └── cg1.zip │ └── src │ │ └── com │ │ └── jopdesign │ │ └── wcet │ │ └── report │ │ ├── class.vm │ │ ├── method.vm │ │ └── input_overview.vm ├── jopeclipse │ └── com.jopdesign.jopeclipse │ │ ├── icons │ │ ├── cog.gif │ │ ├── cog.png │ │ ├── clock.gif │ │ ├── clock.png │ │ ├── xul-16.gif │ │ ├── xul-32.gif │ │ ├── xul-48.gif │ │ ├── xul-92.gif │ │ ├── download.gif │ │ ├── control_play.gif │ │ ├── control_play.png │ │ ├── jop-download.png │ │ ├── lightning_go.png │ │ ├── wrench_orange.gif │ │ ├── wrench_orange.png │ │ ├── xul-document.png │ │ ├── jop-download-12.GIF │ │ └── websitedesign-arrow.gif │ │ ├── lib │ │ ├── RXTXcomm.jar │ │ ├── bcel-5.2.jar │ │ └── jop-tools.jar │ │ ├── build.properties │ │ └── src │ │ └── com │ │ └── jopdesign │ │ └── jopeclipse │ │ └── internal │ │ └── ui │ │ ├── IJOPUIHelpContextIds.java │ │ ├── launchConfigurations │ │ └── JOPLaunchConfigurationMessages.java │ │ └── preferences │ │ └── JOPProjectScope.java └── pcsim │ └── build.bat ├── boards ├── baseio.txt ├── dspio.txt ├── newboard.txt ├── baseio.jpg ├── baseiomin.txt ├── dspio.jpg ├── newboard.jpg └── baseiomin.jpg ├── down ├── amd.exe ├── e.exe ├── linux ├── ppp.chat ├── slip ├── ppp └── pc_disc.txt ├── USBRunner ├── down.exe ├── doc ├── bib │ ├── Makefile │ └── all.bib ├── perf.png ├── perf.xlsx ├── book │ ├── io │ │ ├── uml.pdf │ │ ├── jhal.vsd │ │ └── memory.pdf │ ├── jvm │ │ ├── gc.tex │ │ ├── gc_ex1.pdf │ │ ├── gc_ex2.pdf │ │ ├── gc_test.xls │ │ ├── handles.pdf │ │ ├── handles.vsd │ │ ├── jvm_array.pdf │ │ ├── jvm_class.pdf │ │ ├── jvm_method.pdf │ │ ├── jvm_object.pdf │ │ ├── data_struct.vsd │ │ ├── jvm_stack_invocation.pdf │ │ └── convert.bat │ ├── arch │ │ ├── arch.vsd │ │ ├── pipeline.vsd │ │ ├── arch_fetch.pdf │ │ ├── arch_meth32.pdf │ │ ├── arch_bcfetch.pdf │ │ ├── arch_decaddr.pdf │ │ ├── arch_execute.pdf │ │ ├── arch_jop_block.pdf │ │ ├── arch_meth300.pdf │ │ ├── arch_pipeline.pdf │ │ ├── arch_indirection.pdf │ │ └── arch_indirection.vsd │ ├── preamble.tex │ ├── wcet │ │ ├── html.png │ │ ├── loop.pdf │ │ ├── cache_bb.pdf │ │ ├── cache_split.pdf │ │ ├── dispatch_split.pdf │ │ ├── cache_bb.dot │ │ ├── dispatch_split.dot │ │ └── cache_split.dot │ ├── cover │ │ ├── cover.cdr │ │ └── cover.pdf │ ├── intro │ │ ├── java.tex │ │ ├── jvmall.pdf │ │ ├── intro_fig.vsd │ │ └── java_overview.pdf │ ├── results │ │ ├── tal.pdf │ │ ├── zlb.pdf │ │ ├── base.jpg │ │ ├── perf.pdf │ │ ├── perf.xlsx │ │ ├── results_sigdel.pdf │ │ ├── results_wcet_cfg.pdf │ │ ├── results_kfl_mast1.pdf │ │ ├── results_kfl_mast2.pdf │ │ ├── results_wcet_sort.pdf │ │ ├── results_sigdel_real.pdf │ │ └── sort.dot │ ├── appendix │ │ ├── dspio.jpg │ │ ├── simpexp.jpg │ │ ├── baseio_p1.pdf │ │ ├── baseio_p2.pdf │ │ ├── baseio_p3.pdf │ │ ├── baseio_p4.pdf │ │ ├── cycore_p1.pdf │ │ ├── cycore_p2.pdf │ │ ├── cycore_p3.pdf │ │ ├── cycore_top.jpg │ │ ├── dspio_p1.pdf │ │ ├── dspio_p2.pdf │ │ ├── dspio_p3.pdf │ │ ├── dspio_p4.pdf │ │ ├── dspio_p5.pdf │ │ ├── simpexp_p1.pdf │ │ ├── baseio_large.jpg │ │ ├── cycore_bottom.jpg │ │ ├── timing.tex │ │ └── Makefile │ ├── simpcon │ │ ├── ioport.pdf │ │ ├── sc_rd_ws.pdf │ │ ├── sc_rd_ws.xar │ │ ├── sc_sram.pdf │ │ ├── sc_sram.xar │ │ ├── sc_wr_ws.pdf │ │ ├── sc_wr_ws.xar │ │ ├── sc_basic_rd.pdf │ │ ├── sc_basic_rd.xar │ │ ├── sc_example.vsd │ │ ├── sc_sram_prd.pdf │ │ ├── sc_sram_prd.xar │ │ ├── sc_timing.xar │ │ ├── wb_basic_rd.pdf │ │ ├── wb_basic_rd.xar │ │ ├── sc_pipe_level.pdf │ │ ├── sc_pipe_level.xar │ │ ├── sc_wait_states.pdf │ │ └── sc_wait_states.xar │ ├── visio │ │ └── hwo_uml.vsd │ ├── related │ │ ├── related_tree.pdf │ │ └── related_picojava.pdf │ ├── stack │ │ ├── stack_cache_jop.pdf │ │ └── stack_invocation.pdf │ └── runtime │ │ ├── rt_user_interaction.pdf │ │ └── rt_intro.tex ├── ext │ ├── fat_fs.pdf │ └── jvmtest │ │ ├── classdiag.png │ │ ├── Instructions.pdf │ │ └── Instructions.xls ├── lib │ ├── doclet.jar │ └── texdoclet.jar ├── perf-trend.png ├── size-trend.png └── papers │ └── nbgc │ ├── cp_templates.tar.gz │ └── nocp_templates.tar.gz ├── vhdl ├── rttm │ └── sim │ │ ├── tm_coordinator │ │ ├── wave.do │ │ ├── Makefile.in │ │ ├── sim.do │ │ └── Makefile │ │ ├── mem_no_arbiter_test │ │ ├── wave.do │ │ ├── sim.do │ │ ├── Makefile.in │ │ └── Makefile │ │ ├── Makefile │ │ ├── sim_batch.do │ │ ├── tm │ │ ├── sim.do │ │ ├── wave.do │ │ ├── Makefile │ │ └── Makefile.in │ │ ├── overflow │ │ ├── sim.do │ │ ├── wave.do │ │ ├── Makefile │ │ └── Makefile.in │ │ └── overflow_rollback │ │ ├── sim.do │ │ ├── wave.do │ │ ├── Makefile │ │ └── Makefile.in ├── vga │ └── vga.vhd ├── noc │ └── sim │ │ └── sim.do ├── cache │ └── test │ │ ├── sim.do │ │ └── sim_ac.do ├── simpcon │ └── sim │ │ ├── sim.do │ │ └── sim.bat ├── scio │ └── sim │ │ └── cmpsync │ │ ├── sim.do │ │ └── sim.bat ├── fpu │ ├── test_bench │ │ ├── timesoftfloat.exe │ │ └── readme.txt │ └── readme.txt ├── .settings │ └── org.eclipse.core.resources.prefs ├── jeopard │ ├── mac_coprocessor_wcet.def │ └── mac_coprocessor.def ├── top │ └── jop_config_ztex.vhd └── .library_mapping.xml ├── USBRunner.exe ├── lpsolve55.dll ├── lpsolve55j.dll ├── rxtxSerial.dll ├── liblpsolve55.so ├── liblpsolve55j.so ├── liblpsolve55.dylib ├── modelsim ├── clean.bat ├── wb.do ├── sim_cmp.do ├── sim_csp.do ├── sim.do ├── sim_tm_con.do ├── sim_iic.do ├── sim_tm.do └── clean.xml ├── support └── program.zip ├── c_src └── usb │ └── FTD2XX.lib ├── liblpsolve55j.jnilib ├── librxtxSerial.jnilib ├── quartus ├── acxconf │ ├── confacx.pof │ └── pld_init2.pof ├── cycconf │ ├── cyc_conf.pof │ ├── cyc_conf_init.pof │ ├── cyc_conf.quartus │ ├── cyc_conf_init.quartus │ └── cyc_conf_init.cdf ├── wizzard │ └── pll_inst.vhd ├── de2-70vga │ └── jop.cdf ├── de2-70rttm │ └── jop.cdf ├── altde2-70 │ └── jop.cdf ├── altde2 │ └── jop.cdf ├── altde2cmp │ └── jop.cdf ├── lego │ ├── pld.cdf │ └── jop.cdf ├── altde2-70iic │ └── jop.cdf ├── altde2-70reprap │ └── jop.cdf ├── altde2dram │ └── jop.cdf ├── altde2sram │ └── jop.cdf ├── altde2-70hwScope │ └── jop.cdf ├── altde2-70cmp │ └── jop.cdf ├── altde2-70csp │ └── jop.cdf ├── altde2-70cmp_cache │ └── jop.cdf ├── cyc256x16 │ └── jop.cdf ├── sopcmin │ └── jop.cdf ├── acxmin │ └── jop.cdf ├── amba │ └── jop.cdf ├── cycbg │ └── jop.cdf ├── cyccmp │ └── jop.cdf ├── cyccsp │ └── jop.cdf ├── cycfpu │ └── jop.cdf ├── cycmin │ └── jop.cdf ├── cycvga │ └── jop.cdf ├── dspio │ └── jop.cdf ├── cyc12min │ └── jop.cdf ├── cycbaseio │ └── jop.cdf ├── cycrttm │ └── jop.cdf ├── jpd_cyc12 │ └── jop.cdf ├── usbfpu │ └── jop.cdf └── cyc12baseio │ └── jop.cdf ├── urjtag.config ├── README ├── testsuite ├── env.sh ├── recipients.txt ├── urjtag.config ├── tests │ ├── hello.run │ └── doall.run ├── designs │ └── altde2-70.run ├── devel │ ├── wcet │ │ ├── run_wcet.sh │ │ ├── dev_rasmus │ │ ├── dev_loadonreturn │ │ ├── dev_supergraph1 │ │ ├── lift │ │ ├── linefollower │ │ └── kfl │ └── README └── run.sh ├── asm ├── jopser.sh ├── jopusb.xml ├── jopser.xml ├── jopsim.xml └── jopflash.xml ├── scripts ├── doit.bat ├── jbench.sh ├── README ├── de270.bat └── ejip.patch ├── ext ├── spimaster │ └── timescale.v └── gaisler │ └── version.vhd ├── eclipse ├── pcsim │ ├── .classpath │ └── .project ├── joptools │ ├── .classpath │ └── .project ├── pc │ ├── .classpath │ └── .project └── joptarget │ └── .classpath └── .gitignore /xilinx/ml401a/ml401.lso: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /xilinx/ml50x/jop.lso: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /xilinx/ml50x/ml50x.lso: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /xilinx/ml50x_iic/jop.lso: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /xilinx/ztex/ztex.lso: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /java/pc/jop.rc: -------------------------------------------------------------------------------- 1 | abc ICON abc.ico 2 | -------------------------------------------------------------------------------- /xilinx/ml50x_iic/ml50x_iic.lso: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /boards/baseio.txt: -------------------------------------------------------------------------------- 1 | The baseio board is a nice board. -------------------------------------------------------------------------------- /boards/dspio.txt: -------------------------------------------------------------------------------- 1 | dspio board with USB connection 2 | -------------------------------------------------------------------------------- /down: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/down -------------------------------------------------------------------------------- /amd.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/amd.exe -------------------------------------------------------------------------------- /boards/newboard.txt: -------------------------------------------------------------------------------- 1 | Your new board! 2 | Fast, small and cool. -------------------------------------------------------------------------------- /e.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/e.exe -------------------------------------------------------------------------------- /java/bat/del_class.bat: -------------------------------------------------------------------------------- 1 | for /R . %%x IN (*.class) DO del %%x 2 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/ArgsHandlingTest.pattern: -------------------------------------------------------------------------------- 1 | 111 -------------------------------------------------------------------------------- /linux/ppp.chat: -------------------------------------------------------------------------------- 1 | TIMEOUT 3600 2 | "CLIENT" "CLIENTSERVER" 3 | -------------------------------------------------------------------------------- /USBRunner: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/USBRunner -------------------------------------------------------------------------------- /down.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/down.exe -------------------------------------------------------------------------------- /doc/bib/Makefile: -------------------------------------------------------------------------------- 1 | bib: 2 | cat ../../../../paper/bib/*.bib > all.bib 3 | -------------------------------------------------------------------------------- /doc/perf.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/perf.png -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/AbortTest.pattern: -------------------------------------------------------------------------------- 1 | AbortException catched\. -------------------------------------------------------------------------------- /vhdl/rttm/sim/tm_coordinator/wave.do: -------------------------------------------------------------------------------- 1 | add wave * 2 | add wave /dut/* 3 | -------------------------------------------------------------------------------- /USBRunner.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/USBRunner.exe -------------------------------------------------------------------------------- /doc/perf.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/perf.xlsx -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/LinkedListContention.pattern: -------------------------------------------------------------------------------- 1 | (Sum: 400\s+){5,5} -------------------------------------------------------------------------------- /lpsolve55.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/lpsolve55.dll -------------------------------------------------------------------------------- /lpsolve55j.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/lpsolve55j.dll -------------------------------------------------------------------------------- /rxtxSerial.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/rxtxSerial.dll -------------------------------------------------------------------------------- /boards/baseio.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/boards/baseio.jpg -------------------------------------------------------------------------------- /boards/baseiomin.txt: -------------------------------------------------------------------------------- 1 | Basemin is ok. It is not configured to support the LAN port. -------------------------------------------------------------------------------- /boards/dspio.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/boards/dspio.jpg -------------------------------------------------------------------------------- /doc/bib/all.bib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/bib/all.bib -------------------------------------------------------------------------------- /java/bat/rtdoc.bat: -------------------------------------------------------------------------------- 1 | md ravenrt\doc 2 | javadoc -d ravenrt/doc ravenrt embsys 3 | -------------------------------------------------------------------------------- /java/pc/abc.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/pc/abc.ico -------------------------------------------------------------------------------- /java/pc/jop.RES: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/pc/jop.RES -------------------------------------------------------------------------------- /liblpsolve55.so: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/liblpsolve55.so -------------------------------------------------------------------------------- /liblpsolve55j.so: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/liblpsolve55j.so -------------------------------------------------------------------------------- /vhdl/vga/vga.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/vhdl/vga/vga.vhd -------------------------------------------------------------------------------- /boards/newboard.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/boards/newboard.jpg -------------------------------------------------------------------------------- /doc/book/io/uml.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/io/uml.pdf -------------------------------------------------------------------------------- /doc/book/jvm/gc.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/gc.tex -------------------------------------------------------------------------------- /doc/ext/fat_fs.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/ext/fat_fs.pdf -------------------------------------------------------------------------------- /doc/lib/doclet.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/lib/doclet.jar -------------------------------------------------------------------------------- /doc/perf-trend.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/perf-trend.png -------------------------------------------------------------------------------- /doc/size-trend.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/size-trend.png -------------------------------------------------------------------------------- /liblpsolve55.dylib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/liblpsolve55.dylib -------------------------------------------------------------------------------- /modelsim/clean.bat: -------------------------------------------------------------------------------- 1 | rmdir /S/Q work 2 | del *.dat 3 | del *.wlf 4 | del transcript 5 | -------------------------------------------------------------------------------- /support/program.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/support/program.zip -------------------------------------------------------------------------------- /boards/baseiomin.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/boards/baseiomin.jpg -------------------------------------------------------------------------------- /c_src/usb/FTD2XX.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/c_src/usb/FTD2XX.lib -------------------------------------------------------------------------------- /doc/book/arch/arch.vsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch.vsd -------------------------------------------------------------------------------- /doc/book/io/jhal.vsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/io/jhal.vsd -------------------------------------------------------------------------------- /doc/book/io/memory.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/io/memory.pdf -------------------------------------------------------------------------------- /doc/book/preamble.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/preamble.tex -------------------------------------------------------------------------------- /doc/book/wcet/html.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/wcet/html.png -------------------------------------------------------------------------------- /doc/book/wcet/loop.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/wcet/loop.pdf -------------------------------------------------------------------------------- /doc/lib/texdoclet.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/lib/texdoclet.jar -------------------------------------------------------------------------------- /java/lib/RXTXcomm.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/RXTXcomm.jar -------------------------------------------------------------------------------- /java/lib/asm-3.0.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/asm-3.0.jar -------------------------------------------------------------------------------- /java/lib/bcel-5.2.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/bcel-5.2.jar -------------------------------------------------------------------------------- /java/lib/proguard.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/proguard.jar -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/NullPointerException.pattern: -------------------------------------------------------------------------------- 1 | Uncaught exception: NullPointer.* -------------------------------------------------------------------------------- /liblpsolve55j.jnilib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/liblpsolve55j.jnilib -------------------------------------------------------------------------------- /librxtxSerial.jnilib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/librxtxSerial.jnilib -------------------------------------------------------------------------------- /vhdl/noc/sim/sim.do: -------------------------------------------------------------------------------- 1 | set NumericStdNoWarnings 1 2 | view wave 3 | do wave.do 4 | run 300ns 5 | -------------------------------------------------------------------------------- /doc/book/cover/cover.cdr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/cover/cover.cdr -------------------------------------------------------------------------------- /doc/book/cover/cover.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/cover/cover.pdf -------------------------------------------------------------------------------- /doc/book/intro/java.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/intro/java.tex -------------------------------------------------------------------------------- /doc/book/jvm/gc_ex1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/gc_ex1.pdf -------------------------------------------------------------------------------- /doc/book/jvm/gc_ex2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/gc_ex2.pdf -------------------------------------------------------------------------------- /doc/book/jvm/gc_test.xls: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/gc_test.xls -------------------------------------------------------------------------------- /doc/book/jvm/handles.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/handles.pdf -------------------------------------------------------------------------------- /doc/book/jvm/handles.vsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/handles.vsd -------------------------------------------------------------------------------- /doc/book/results/tal.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/tal.pdf -------------------------------------------------------------------------------- /doc/book/results/zlb.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/zlb.pdf -------------------------------------------------------------------------------- /java/bat/sim.bat: -------------------------------------------------------------------------------- 1 | javac com\jopdesign\tools\JopSim.java 2 | java com.jopdesign.tools.JopSim %1 3 | -------------------------------------------------------------------------------- /java/lib/asm-xml-3.0.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/asm-xml-3.0.jar -------------------------------------------------------------------------------- /java/lib/lpsolve55j.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/lpsolve55j.jar -------------------------------------------------------------------------------- /doc/book/appendix/dspio.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/dspio.jpg -------------------------------------------------------------------------------- /doc/book/arch/pipeline.vsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/pipeline.vsd -------------------------------------------------------------------------------- /doc/book/intro/jvmall.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/intro/jvmall.pdf -------------------------------------------------------------------------------- /doc/book/jvm/jvm_array.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/jvm_array.pdf -------------------------------------------------------------------------------- /doc/book/jvm/jvm_class.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/jvm_class.pdf -------------------------------------------------------------------------------- /doc/book/jvm/jvm_method.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/jvm_method.pdf -------------------------------------------------------------------------------- /doc/book/jvm/jvm_object.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/jvm_object.pdf -------------------------------------------------------------------------------- /doc/book/results/base.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/base.jpg -------------------------------------------------------------------------------- /doc/book/results/perf.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/perf.pdf -------------------------------------------------------------------------------- /doc/book/results/perf.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/perf.xlsx -------------------------------------------------------------------------------- /doc/book/simpcon/ioport.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/ioport.pdf -------------------------------------------------------------------------------- /doc/book/visio/hwo_uml.vsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/visio/hwo_uml.vsd -------------------------------------------------------------------------------- /doc/book/wcet/cache_bb.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/wcet/cache_bb.pdf -------------------------------------------------------------------------------- /java/lib/asm-tree-3.0.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/asm-tree-3.0.jar -------------------------------------------------------------------------------- /java/lib/asm-util-3.0.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/asm-util-3.0.jar -------------------------------------------------------------------------------- /java/lib/jgrapht-jdk1.5.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/jgrapht-jdk1.5.jar -------------------------------------------------------------------------------- /java/lib/log4j-1.2.15.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/log4j-1.2.15.jar -------------------------------------------------------------------------------- /java/lib/velocity-1.5.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/velocity-1.5.jar -------------------------------------------------------------------------------- /modelsim/wb.do: -------------------------------------------------------------------------------- 1 | set NumericStdNoWarnings 1 2 | #view * 3 | view wave 4 | do wb_wave.do 5 | run 20us 6 | -------------------------------------------------------------------------------- /quartus/acxconf/confacx.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/quartus/acxconf/confacx.pof -------------------------------------------------------------------------------- /doc/book/appendix/simpexp.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/simpexp.jpg -------------------------------------------------------------------------------- /doc/book/arch/arch_fetch.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch_fetch.pdf -------------------------------------------------------------------------------- /doc/book/arch/arch_meth32.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch_meth32.pdf -------------------------------------------------------------------------------- /doc/book/intro/intro_fig.vsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/intro/intro_fig.vsd -------------------------------------------------------------------------------- /doc/book/jvm/data_struct.vsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/data_struct.vsd -------------------------------------------------------------------------------- /doc/book/simpcon/sc_rd_ws.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_rd_ws.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/sc_rd_ws.xar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_rd_ws.xar -------------------------------------------------------------------------------- /doc/book/simpcon/sc_sram.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_sram.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/sc_sram.xar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_sram.xar -------------------------------------------------------------------------------- /doc/book/simpcon/sc_wr_ws.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_wr_ws.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/sc_wr_ws.xar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_wr_ws.xar -------------------------------------------------------------------------------- /doc/book/wcet/cache_split.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/wcet/cache_split.pdf -------------------------------------------------------------------------------- /doc/ext/jvmtest/classdiag.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/ext/jvmtest/classdiag.png -------------------------------------------------------------------------------- /java/lib/asm-analysis-3.0.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/asm-analysis-3.0.jar -------------------------------------------------------------------------------- /java/lib/asm-commons-3.0.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/asm-commons-3.0.jar -------------------------------------------------------------------------------- /java/lib/velocity-dep-1.5.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/velocity-dep-1.5.jar -------------------------------------------------------------------------------- /java/pc/src/test/error.txt: -------------------------------------------------------------------------------- 1 | error: 871119062 -1065353216 2 | -1098907648 -1065353216 3 | -0.25 -4.0 4 | 5 | -------------------------------------------------------------------------------- /java/tools/test/test/cg1.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/tools/test/test/cg1.zip -------------------------------------------------------------------------------- /modelsim/sim_cmp.do: -------------------------------------------------------------------------------- 1 | set NumericStdNoWarnings 1 2 | #view * 3 | view wave 4 | do wave_cmp.do 5 | run 40ms 6 | -------------------------------------------------------------------------------- /modelsim/sim_csp.do: -------------------------------------------------------------------------------- 1 | set NumericStdNoWarnings 1 2 | #view * 3 | view wave 4 | do wave_csp.do 5 | run 40ms 6 | -------------------------------------------------------------------------------- /quartus/acxconf/pld_init2.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/quartus/acxconf/pld_init2.pof -------------------------------------------------------------------------------- /quartus/cycconf/cyc_conf.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/quartus/cycconf/cyc_conf.pof -------------------------------------------------------------------------------- /vhdl/cache/test/sim.do: -------------------------------------------------------------------------------- 1 | set NumericStdNoWarnings 1 2 | #view * 3 | view wave 4 | do wave.do 5 | run 200ns 6 | -------------------------------------------------------------------------------- /doc/book/appendix/baseio_p1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/baseio_p1.pdf -------------------------------------------------------------------------------- /doc/book/appendix/baseio_p2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/baseio_p2.pdf -------------------------------------------------------------------------------- /doc/book/appendix/baseio_p3.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/baseio_p3.pdf -------------------------------------------------------------------------------- /doc/book/appendix/baseio_p4.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/baseio_p4.pdf -------------------------------------------------------------------------------- /doc/book/appendix/cycore_p1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/cycore_p1.pdf -------------------------------------------------------------------------------- /doc/book/appendix/cycore_p2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/cycore_p2.pdf -------------------------------------------------------------------------------- /doc/book/appendix/cycore_p3.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/cycore_p3.pdf -------------------------------------------------------------------------------- /doc/book/appendix/cycore_top.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/cycore_top.jpg -------------------------------------------------------------------------------- /doc/book/appendix/dspio_p1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/dspio_p1.pdf -------------------------------------------------------------------------------- /doc/book/appendix/dspio_p2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/dspio_p2.pdf -------------------------------------------------------------------------------- /doc/book/appendix/dspio_p3.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/dspio_p3.pdf -------------------------------------------------------------------------------- /doc/book/appendix/dspio_p4.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/dspio_p4.pdf -------------------------------------------------------------------------------- /doc/book/appendix/dspio_p5.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/dspio_p5.pdf -------------------------------------------------------------------------------- /doc/book/appendix/simpexp_p1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/simpexp_p1.pdf -------------------------------------------------------------------------------- /doc/book/arch/arch_bcfetch.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch_bcfetch.pdf -------------------------------------------------------------------------------- /doc/book/arch/arch_decaddr.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch_decaddr.pdf -------------------------------------------------------------------------------- /doc/book/arch/arch_execute.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch_execute.pdf -------------------------------------------------------------------------------- /doc/book/arch/arch_jop_block.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch_jop_block.pdf -------------------------------------------------------------------------------- /doc/book/arch/arch_meth300.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch_meth300.pdf -------------------------------------------------------------------------------- /doc/book/arch/arch_pipeline.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch_pipeline.pdf -------------------------------------------------------------------------------- /doc/book/intro/java_overview.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/intro/java_overview.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/sc_basic_rd.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_basic_rd.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/sc_basic_rd.xar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_basic_rd.xar -------------------------------------------------------------------------------- /doc/book/simpcon/sc_example.vsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_example.vsd -------------------------------------------------------------------------------- /doc/book/simpcon/sc_sram_prd.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_sram_prd.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/sc_sram_prd.xar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_sram_prd.xar -------------------------------------------------------------------------------- /doc/book/simpcon/sc_timing.xar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_timing.xar -------------------------------------------------------------------------------- /doc/book/simpcon/wb_basic_rd.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/wb_basic_rd.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/wb_basic_rd.xar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/wb_basic_rd.xar -------------------------------------------------------------------------------- /doc/book/wcet/dispatch_split.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/wcet/dispatch_split.pdf -------------------------------------------------------------------------------- /doc/ext/jvmtest/Instructions.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/ext/jvmtest/Instructions.pdf -------------------------------------------------------------------------------- /doc/ext/jvmtest/Instructions.xls: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/ext/jvmtest/Instructions.xls -------------------------------------------------------------------------------- /java/lib/jakarta-regexp-1.3.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/lib/jakarta-regexp-1.3.jar -------------------------------------------------------------------------------- /urjtag.config: -------------------------------------------------------------------------------- 1 | bsdl path /usr/share/urjtag/bsdl/ 2 | cable UsbBlaster ftdi 9fb:6001 3 | detect 4 | svf jop.svf -------------------------------------------------------------------------------- /vhdl/simpcon/sim/sim.do: -------------------------------------------------------------------------------- 1 | set NumericStdNoWarnings 1 2 | #view * 3 | view wave 4 | do wave.do 5 | run 5000ns 6 | -------------------------------------------------------------------------------- /doc/book/appendix/baseio_large.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/baseio_large.jpg -------------------------------------------------------------------------------- /doc/book/arch/arch_indirection.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch_indirection.pdf -------------------------------------------------------------------------------- /doc/book/arch/arch_indirection.vsd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/arch/arch_indirection.vsd -------------------------------------------------------------------------------- /doc/book/related/related_tree.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/related/related_tree.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/sc_pipe_level.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_pipe_level.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/sc_pipe_level.xar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_pipe_level.xar -------------------------------------------------------------------------------- /doc/book/stack/stack_cache_jop.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/stack/stack_cache_jop.pdf -------------------------------------------------------------------------------- /java/target/src/app/oebb/Main.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/app/oebb/Main.java -------------------------------------------------------------------------------- /java/target/src/app/tal/Modem.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/app/tal/Modem.java -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/ArrayOutOfBoundsException.pattern: -------------------------------------------------------------------------------- 1 | Uncaught exception: ArrayIndexOutOfBoundsException.* -------------------------------------------------------------------------------- /modelsim/sim.do: -------------------------------------------------------------------------------- 1 | set NumericStdNoWarnings 1 2 | #view * 3 | view wave 4 | do wave.do 5 | #run 40us 6 | run 10ms 7 | -------------------------------------------------------------------------------- /modelsim/sim_tm_con.do: -------------------------------------------------------------------------------- 1 | set BreakOnAssertion 1 2 | set NumericStdNoWarnings 1 3 | 4 | nolog -all 5 | run 7.5 ms 6 | -------------------------------------------------------------------------------- /quartus/cycconf/cyc_conf_init.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/quartus/cycconf/cyc_conf_init.pof -------------------------------------------------------------------------------- /quartus/wizzard/pll_inst.vhd: -------------------------------------------------------------------------------- 1 | pll_inst : pll PORT MAP ( 2 | inclk0 => inclk0_sig, 3 | c0 => c0_sig 4 | ); 5 | -------------------------------------------------------------------------------- /vhdl/cache/test/sim_ac.do: -------------------------------------------------------------------------------- 1 | set NumericStdNoWarnings 1 2 | #view * 3 | view wave 4 | do wave_ac.do 5 | run 200ns 6 | -------------------------------------------------------------------------------- /vhdl/scio/sim/cmpsync/sim.do: -------------------------------------------------------------------------------- 1 | set NumericStdNoWarnings 1 2 | #view * 3 | view wave 4 | do wave.do 5 | run 5000ns 6 | -------------------------------------------------------------------------------- /doc/book/appendix/cycore_bottom.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/appendix/cycore_bottom.jpg -------------------------------------------------------------------------------- /doc/book/jvm/jvm_stack_invocation.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/jvm/jvm_stack_invocation.pdf -------------------------------------------------------------------------------- /doc/book/related/related_picojava.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/related/related_picojava.pdf -------------------------------------------------------------------------------- /doc/book/results/results_sigdel.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/results_sigdel.pdf -------------------------------------------------------------------------------- /doc/book/results/results_wcet_cfg.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/results_wcet_cfg.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/sc_wait_states.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_wait_states.pdf -------------------------------------------------------------------------------- /doc/book/simpcon/sc_wait_states.xar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/simpcon/sc_wait_states.xar -------------------------------------------------------------------------------- /doc/book/stack/stack_invocation.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/stack/stack_invocation.pdf -------------------------------------------------------------------------------- /doc/papers/nbgc/cp_templates.tar.gz: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/papers/nbgc/cp_templates.tar.gz -------------------------------------------------------------------------------- /doc/papers/nbgc/nocp_templates.tar.gz: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/papers/nbgc/nocp_templates.tar.gz -------------------------------------------------------------------------------- /java/target/src/app/kfl/zentrale.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/app/kfl/zentrale.txt -------------------------------------------------------------------------------- /java/target/src/app/oebb/Logic.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/app/oebb/Logic.java -------------------------------------------------------------------------------- /java/target/src/test/jvm/Switch2.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvm/Switch2.java -------------------------------------------------------------------------------- /modelsim/sim_iic.do: -------------------------------------------------------------------------------- 1 | set NumericStdNoWarnings 1 2 | #view * 3 | view wave 4 | do wave_iic.do 5 | #run 40us 6 | run 50ms 7 | -------------------------------------------------------------------------------- /vhdl/fpu/test_bench/timesoftfloat.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/vhdl/fpu/test_bench/timesoftfloat.exe -------------------------------------------------------------------------------- /README: -------------------------------------------------------------------------------- 1 | JOP stands for Java Optimized Processor. JOP is a Java processor 2 | intended for embedded real-time systems. 3 | 4 | -------------------------------------------------------------------------------- /doc/book/results/results_kfl_mast1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/results_kfl_mast1.pdf -------------------------------------------------------------------------------- /doc/book/results/results_kfl_mast2.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/results_kfl_mast2.pdf -------------------------------------------------------------------------------- /doc/book/results/results_wcet_sort.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/results_wcet_sort.pdf -------------------------------------------------------------------------------- /java/target/src/test/vga/ClockVga.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/vga/ClockVga.java -------------------------------------------------------------------------------- /java/target/src/test/vga/HelloVga.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/vga/HelloVga.java -------------------------------------------------------------------------------- /doc/book/results/results_sigdel_real.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/results/results_sigdel_real.pdf -------------------------------------------------------------------------------- /doc/book/runtime/rt_user_interaction.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/doc/book/runtime/rt_user_interaction.pdf -------------------------------------------------------------------------------- /java/bat/genjvm.bat: -------------------------------------------------------------------------------- 1 | javac com\jopdesign\tools\*.java 2 | java com.jopdesign.tools.GenJvm > com\jopdesign\sys\JVM_template.java 3 | -------------------------------------------------------------------------------- /java/target/src/app/tal/LiftControl.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/app/tal/LiftControl.java -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/MyException.java: -------------------------------------------------------------------------------- 1 | package scjlibs; 2 | 3 | public class MyException extends Exception{ 4 | 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/WaitFreeQueue/HeapMemory.java: -------------------------------------------------------------------------------- 1 | package rttm.WaitFreeQueue; 2 | 3 | public class HeapMemory { 4 | 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/test/vga/BlinkerVga.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/vga/BlinkerVga.java -------------------------------------------------------------------------------- /java/target/src/test/vga/ClockVgaLine.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/vga/ClockVgaLine.java -------------------------------------------------------------------------------- /linux/slip: -------------------------------------------------------------------------------- 1 | slattach -l -p slip -s 115200 /dev/ttyS0 & 2 | sleep 3 3 | ifconfig sl0 mtu 1500 192.168.1.1 dstaddr 192.168.1.2 4 | 5 | -------------------------------------------------------------------------------- /testsuite/env.sh: -------------------------------------------------------------------------------- 1 | # sudo ln -s /dev/ttyUSB1 ~/.wine/dosdevices/com5 2 | export PATH=/opt/altera/quartus/bin:/usr/local/bin:$PATH 3 | -------------------------------------------------------------------------------- /testsuite/recipients.txt: -------------------------------------------------------------------------------- 1 | benedikt@vmars.tuwien.ac.at 2 | martin@jopdesign.com 3 | wpuffits@mail.tuwien.ac.at 4 | jrri@imm.dtu.dk 5 | -------------------------------------------------------------------------------- /testsuite/urjtag.config: -------------------------------------------------------------------------------- 1 | bsdl path /usr/local/share/urjtag/bsdl/ 2 | cable UsbBlaster ftdi 9fb:6001 3 | detect 4 | svf rbf/jop.svf -------------------------------------------------------------------------------- /java/bat/ejip.bat: -------------------------------------------------------------------------------- 1 | javadoc -d /usr/sites/jopdesign/ejip/javadoc ejip 2 | jar cvf /usr/sites/jopdesign/ejip/ejip.jar ejip pcsim util 3 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/MySafelet.java: -------------------------------------------------------------------------------- 1 | package scjlibs; 2 | 3 | public class MySafelet extends GenericSafelet { 4 | 5 | 6 | } 7 | -------------------------------------------------------------------------------- /java/target/src/test/jdk/TestCldcString.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jdk/TestCldcString.java -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/TestSuite.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/TestSuite.java -------------------------------------------------------------------------------- /xilinx/ml50x/impact.in: -------------------------------------------------------------------------------- 1 | setMode -bscan 2 | setCable -p auto 3 | identify 4 | assignfile -p 5 -file ml50x.bit 5 | program -p 5 6 | quit 7 | -------------------------------------------------------------------------------- /java/target/src/bench/jbe/lift/LiftControl.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/bench/jbe/lift/LiftControl.java -------------------------------------------------------------------------------- /java/target/src/rtapi/cdx/utils/javacp/util/Cloneable.java: -------------------------------------------------------------------------------- 1 | package cdx.utils.javacp.util; 2 | 3 | public interface Cloneable { 4 | 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/JopTestSuite.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/JopTestSuite.java -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/TestCase.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/TestCase.java -------------------------------------------------------------------------------- /java/target/src/jdk_base/java/lang/Runnable.java: -------------------------------------------------------------------------------- 1 | package java.lang; 2 | 3 | public interface Runnable { 4 | public abstract void run(); 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/scjlibs/java/lang/Runnable.java: -------------------------------------------------------------------------------- 1 | package java.lang; 2 | 3 | public interface Runnable { 4 | public abstract void run(); 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/BooleanUtils.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/BooleanUtils.java -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/MyArrayList.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/MyArrayList.java -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/StringUtils.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/StringUtils.java -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/TestCaseList.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/TestCaseList.java -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/cog.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/cog.gif -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/cog.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/cog.png -------------------------------------------------------------------------------- /java/target/src/app/lego/explorer/BotMode.java: -------------------------------------------------------------------------------- 1 | package lego.explorer; 2 | 3 | public interface BotMode { 4 | public boolean driver(boolean stop); 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/common/ejip/jtcpip/DatagramPacket.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/common/ejip/jtcpip/DatagramPacket.java -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/PropagatedException.java: -------------------------------------------------------------------------------- 1 | package scjlibs; 2 | 3 | public class PropagatedException extends RuntimeException{ 4 | 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RelativeTime.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/rtapi/javax/realtime/RelativeTime.java -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/TestCaseResult.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/TestCaseResult.java -------------------------------------------------------------------------------- /xilinx/ml401a/ml401.ut: -------------------------------------------------------------------------------- 1 | -g StartUpClk:JTAGCLK 2 | -g UnusedPin:Pullnone 3 | -g LCK_cycle:NoWait 4 | -g Match_cycle:NoWait 5 | -g DriveDone:Yes 6 | -w 7 | 8 | -------------------------------------------------------------------------------- /xilinx/ml50x/ml50x.ut: -------------------------------------------------------------------------------- 1 | -g StartUpClk:JTAGCLK 2 | -g UnusedPin:Pullnone 3 | -g LCK_cycle:NoWait 4 | -g Match_cycle:NoWait 5 | -g DriveDone:Yes 6 | -w 7 | 8 | -------------------------------------------------------------------------------- /xilinx/ml50x/ml50x.xst: -------------------------------------------------------------------------------- 1 | set -tmpdir out/tmp 2 | set -xsthdpdir out/xst 3 | run -p xc5vlx50t-3-ff1136 -top jop -ofn out/ml50x -ifn ml50x.prj -lso ml50x.lso 4 | -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/clock.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/clock.gif -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/clock.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/clock.png -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/xul-16.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/xul-16.gif -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/xul-32.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/xul-32.gif -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/xul-48.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/xul-48.gif -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/xul-92.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/xul-92.gif -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/lib/RXTXcomm.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/lib/RXTXcomm.jar -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/lib/bcel-5.2.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/lib/bcel-5.2.jar -------------------------------------------------------------------------------- /java/target/src/bench/jembench/parallel/raytrace/Ray.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/bench/jembench/parallel/raytrace/Ray.java -------------------------------------------------------------------------------- /java/target/src/bench/jembench/parallel/raytrace/Tri.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/bench/jembench/parallel/raytrace/Tri.java -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/list_head_or_yaffs_Object.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils; 2 | 3 | public interface list_head_or_yaffs_Object 4 | { 5 | 6 | } 7 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RawShort.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | 3 | public interface RawShort extends RawShortRead, RawShortWrite{ 4 | 5 | } 6 | -------------------------------------------------------------------------------- /asm/jopser.sh: -------------------------------------------------------------------------------- 1 | rm -rf generated 2 | mkdir generated || exit 1 3 | gcc -x c -E -C -P src/jvm.asm > generated/jvmser.asm || exit 1 4 | ./build.sh ../generated/jvmser 5 | -------------------------------------------------------------------------------- /java/bat/gendoc.bat: -------------------------------------------------------------------------------- 1 | md doc 2 | javadoc -d doc -author sms util test tcpip com.jopdesign.sys com.jopdesign.tools 3 | md kfl\doc 4 | javadoc -d kfl/doc -author kfl 5 | -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/download.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/download.gif -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/lib/jop-tools.jar: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/lib/jop-tools.jar -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/SimpleTestCaseResult.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/SimpleTestCaseResult.java -------------------------------------------------------------------------------- /scripts/doit.bat: -------------------------------------------------------------------------------- 1 | make pld_init -e BLASTER_TYPE=USB-Blaster 2 | pause 3 | make japp -e BLASTER_TYPE=USB-Blaster COM_PORT=COM18 P1=common P2=util P3=EraseFlash 4 | -------------------------------------------------------------------------------- /xilinx/ml50x_iic/ml50x_iic.ut: -------------------------------------------------------------------------------- 1 | -g StartUpClk:JTAGCLK 2 | -g UnusedPin:Pullnone 3 | -g LCK_cycle:NoWait 4 | -g Match_cycle:NoWait 5 | -g DriveDone:Yes 6 | -w 7 | 8 | -------------------------------------------------------------------------------- /doc/book/jvm/convert.bat: -------------------------------------------------------------------------------- 1 | fig2dev -L latex -m 0.83 exmc.fig exmc.latex 2 | fig2dev -L latex -m 0.83 excc.fig excc.latex 3 | fig2dev -L latex -m 0.83 excc2.fig excc2.latex 4 | -------------------------------------------------------------------------------- /java/pc/src/yaffs2/utils/emulation/HiddenException.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils.emulation; 2 | 3 | public class HiddenException extends RuntimeException 4 | { 5 | 6 | } 7 | -------------------------------------------------------------------------------- /java/target/src/bench/jembench/parallel/raytrace/Camera.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/bench/jembench/parallel/raytrace/Camera.java -------------------------------------------------------------------------------- /java/target/src/bench/jembench/parallel/raytrace/MyVector.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/bench/jembench/parallel/raytrace/MyVector.java -------------------------------------------------------------------------------- /java/target/src/bench/jembench/parallel/raytrace/Sphere.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/bench/jembench/parallel/raytrace/Sphere.java -------------------------------------------------------------------------------- /java/target/src/common/com/jopdesign/io/OutPort.java: -------------------------------------------------------------------------------- 1 | package com.jopdesign.io; 2 | 3 | public class OutPort extends HardwareObject { 4 | 5 | public int port; 6 | } 7 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RawIntArray.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | 3 | public interface RawIntArray extends RawIntArrayRead, RawIntArrayWrite{ 4 | 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/SerializeTestCaseResult.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/SerializeTestCaseResult.java -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/TestCaseResultFactory.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/TestCaseResultFactory.java -------------------------------------------------------------------------------- /scripts/jbench.sh: -------------------------------------------------------------------------------- 1 | make jsim -e TARGET_APP_PATH=../paper/bench/src MAIN_CLASS=jbe/DoAll 2 | #make jsim -e TARGET_APP_PATH=../paper/bench/src/tp MAIN_CLASS=test/AESTest 3 | -------------------------------------------------------------------------------- /testsuite/tests/hello.run: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | set -e -v 3 | #make java_app 4 | #jtag testsuite/urjtag.config 5 | make japp COM_PORT=/dev/ttyS0 6 | #make wcet | grep 'wcet:' 7 | -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/control_play.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/control_play.gif -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/control_play.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/control_play.png -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/jop-download.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/jop-download.png -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/lightning_go.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/lightning_go.png -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/wrench_orange.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/wrench_orange.gif -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/wrench_orange.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/wrench_orange.png -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/xul-document.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/xul-document.png -------------------------------------------------------------------------------- /java/target/src/cldc11/jdk_base_orig/java/lang/MutableInteger.java: -------------------------------------------------------------------------------- 1 | package java.lang; 2 | 3 | public class MutableInteger { 4 | 5 | public int value = 0; 6 | 7 | 8 | } 9 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/NotImplementedException.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils; 2 | 3 | public class NotImplementedException extends RuntimeException 4 | { 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/jdk14/java/util/First_Enumeration.java: -------------------------------------------------------------------------------- 1 | package java.util; 2 | 3 | // just the class to make APT happy ;-) 4 | public class First_Enumeration { 5 | 6 | } 7 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RawLongArray.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | 3 | public interface RawLongArray extends RawLongArrayRead, RawLongArrayWrite{ 4 | 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/SingleThreadTestCaseList.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/SingleThreadTestCaseList.java -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/EarlyCommitTest.pattern: -------------------------------------------------------------------------------- 1 | (17\s+){64}\s+CPU 0\s+Retries: 0\s+Commits: 17\s+Early commits: 17\s+Read set: 32\s+Write set: 28\s+Read or write set: 32 -------------------------------------------------------------------------------- /xilinx/ml50x_iic/ml50x_iic.xst: -------------------------------------------------------------------------------- 1 | set -tmpdir out/tmp 2 | set -xsthdpdir out/xst 3 | run -p xc5vfx70t-1-ff1136 -top jop -ofn out/ml50x_iic -ifn ml50x_iic.prj -lso ml50x_iic.lso 4 | -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/jop-download-12.GIF: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/jop-download-12.GIF -------------------------------------------------------------------------------- /java/target/src/bench/jembench/parallel/raytrace/RenderThread.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/bench/jembench/parallel/raytrace/RenderThread.java -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RawShortArray.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | 3 | public interface RawShortArray extends RawShortArrayRead, RawShortArrayWrite{ 4 | 5 | } 6 | -------------------------------------------------------------------------------- /java/target/src/test/jvmtest/base/MultipleThreadTestCaseList.java: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/target/src/test/jvmtest/base/MultipleThreadTestCaseList.java -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/factory/PooledObject.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils.factory; 2 | 3 | public abstract class PooledObject 4 | { 5 | protected PooledObject next; 6 | } 7 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/MethodcacheLoadsFilterTest.pattern: -------------------------------------------------------------------------------- 1 | 120\s+\s+CPU 0\s+Retries: 0\s+Commits: 1\s+Early commits: 0\s+Read set: [0-9]\s+Write set: 0\s+Read or write set: [0-9]* -------------------------------------------------------------------------------- /linux/ppp: -------------------------------------------------------------------------------- 1 | pppd -d -detach crtscts lock login \ 2 | connect 'chat -v -f ppp.chat' \ 3 | local auth login \ 4 | debug \ 5 | -chap +pap \ 6 | 192.168.3.1:192.168.3.2 /dev/ttyS0 115200 & 7 | -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/icons/websitedesign-arrow.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/jop-devel/jop/HEAD/java/jopeclipse/com.jopdesign.jopeclipse/icons/websitedesign-arrow.gif -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/util/PoolObjectFactory.java: -------------------------------------------------------------------------------- 1 | package scjlibs.util; 2 | 3 | public interface PoolObjectFactory { 4 | 5 | 6 | public PoolObject createObject(); 7 | 8 | } 9 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_DIR.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | /** 4 | * typedef struct __opaque yaffs_DIR; 5 | */ 6 | public interface yaffs_DIR 7 | { 8 | 9 | } 10 | -------------------------------------------------------------------------------- /java/target/src/scjlibs/java/util/PoolObjectFactory.java: -------------------------------------------------------------------------------- 1 | package java.util; 2 | 3 | public interface PoolObjectFactory { 4 | 5 | 6 | public AbstractPoolObject createObject(); 7 | 8 | } 9 | -------------------------------------------------------------------------------- /testsuite/tests/doall.run: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | set -e -v 3 | #make java_app -e P1=test P2=test P3=DoAll 4 | #jtag testsuite/urjtag.config 5 | make japp -e P1=test P2=test P3=DoAll COM_PORT=/dev/ttyS0 6 | -------------------------------------------------------------------------------- /java/target/src/app/csp/Packetizer.java: -------------------------------------------------------------------------------- 1 | package csp; 2 | 3 | /** 4 | * Encapsulates the 5 | * 6 | * @author jrri 7 | * 8 | */ 9 | public class Packetizer { 10 | 11 | 12 | 13 | } 14 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/safeutil/PoolObjectFactory.java: -------------------------------------------------------------------------------- 1 | package scjlibs.safeutil; 2 | 3 | public interface PoolObjectFactory { 4 | 5 | 6 | public AbstractPoolObject createObject(); 7 | 8 | } 9 | -------------------------------------------------------------------------------- /java/target/src/rtapi/test/cyclic/Constants.java: -------------------------------------------------------------------------------- 1 | package test.cyclic; 2 | 3 | public class Constants { 4 | 5 | static final int MAX_LOG_EVENTS = 512; 6 | static final boolean ENABLE_LOG = true; 7 | 8 | } 9 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/IncDecTest.pattern: -------------------------------------------------------------------------------- 1 | -?[0-9]+\s+-?[0-9]+(\s*((CPU [0-9]+)|(SUM/MAX))\s+Retries: [0-9]+\s+Commits: [0-9]+00000\s+Early commits: 0\s+Read set: 2\s+Write set: 1\s+Read or write set: 2)+\s+0 -------------------------------------------------------------------------------- /java/target/src/bench/org/bouncycastle/crypto/CipherParameters.java: -------------------------------------------------------------------------------- 1 | package org.bouncycastle.crypto; 2 | 3 | /** 4 | * all parameter classes implement this. 5 | */ 6 | public interface CipherParameters 7 | { 8 | } 9 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/ModifiedArguments.pattern: -------------------------------------------------------------------------------- 1 | (\s+((CPU [0-9]+)|(SUM/MAX))\s+Retries: [0-9]+\s+Commits: [0-9]+\s+Early commits: 0\s+Read set: [0-9]+\s+Write set: [0-9]+\s+Read or write set: [0-9]+)+(\s+10000)+ -------------------------------------------------------------------------------- /java/target/src/app/csp/IOInterface.java: -------------------------------------------------------------------------------- 1 | package csp; 2 | 3 | public interface IOInterface { 4 | 5 | public IODevice getIODevice(); 6 | public void write(Buffer buffer); 7 | public Buffer read(); 8 | 9 | } 10 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/mem_no_arbiter_test/wave.do: -------------------------------------------------------------------------------- 1 | add wave * 2 | add wave -divider dut 3 | add wave /dut/* 4 | add wave -divider mem_if 5 | add wave /dut/cmp_mem_if/* 6 | add wave -divider memory 7 | add wave /dut/main_mem/* 8 | 9 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_BlockIndex.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffs_BlockIndex 4 | { 5 | // typedef struct { 6 | /**int*/ int seq; 7 | int block; 8 | //} yaffs_BlockIndex; 9 | } 10 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/ModifiedArgumentsEarlyCommit.pattern: -------------------------------------------------------------------------------- 1 | (\s+((CPU [0-9]+)|(SUM/MAX))\s+Retries: [0-9]+\s+Commits: [0-9]+\s+Early commits: [0-9]+\s+Read set: [0-9]+\s+Write set: [0-9]+\s+Read or write set: [0-9]+)+(\s+10)+ -------------------------------------------------------------------------------- /java/target/src/test/wcet/dsvmfp/util/ILink.java: -------------------------------------------------------------------------------- 1 | package wcet.dsvmfp.util; 2 | 3 | import wcet.dsvmfp.util.DsvmPacket; 4 | 5 | // Call back interface 6 | public interface ILink { 7 | public void receive(DsvmPacket p); 8 | } 9 | -------------------------------------------------------------------------------- /testsuite/designs/altde2-70.run: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | set -e -v 3 | QPROJ=altde2-70 4 | make jopser QPROJ=${QPROJ} 5 | 6 | # cp rbf/jop.svf nightly/build/jop-current/designs/${QPROJ}.svf 7 | # masca@imm.dtu.dk wpuffits@mail.tuwien.ac.at -------------------------------------------------------------------------------- /modelsim/sim_tm.do: -------------------------------------------------------------------------------- 1 | set BreakOnAssertion 1 2 | set NumericStdNoWarnings 1 3 | #view * 4 | view wave 5 | do wave_tm.do 6 | #nolog -all 7 | #when -label start_logging {$now == @6.0 ms} {echo "Start logging " ; log -r *;} 8 | run 25 ms 9 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/tm_coordinator/Makefile.in: -------------------------------------------------------------------------------- 1 | TARGET=tb_tm_coordinator 2 | 3 | SRC= \ 4 | $(JOPDIR)/top/jop_config_60.vhd \ 5 | $(JOPDIR)/simpcon/sc_pack.vhd \ 6 | ../../tm_pack.vhd \ 7 | ../../tm_coordinator.vhd \ 8 | $(TARGET).vhd 9 | -------------------------------------------------------------------------------- /doc/book/runtime/rt_intro.tex: -------------------------------------------------------------------------------- 1 | A Java processor alone is not a complete JVM. This chapter describes 2 | the definition of a real-time profile for Java and the description of 3 | the JVM internal data structures to represent classes and objects. 4 | -------------------------------------------------------------------------------- /java/target/src/common/com/jopdesign/io/HWSensorM.java: -------------------------------------------------------------------------------- 1 | package com.jopdesign.io; 2 | 3 | /* 4 | * Monitor Hardware sensor 5 | */ 6 | public class HWSensorM extends HardwareObject { 7 | 8 | public volatile int hwSensorM; 9 | 10 | } -------------------------------------------------------------------------------- /doc/book/wcet/cache_bb.dot: -------------------------------------------------------------------------------- 1 | digraph G { 2 | B1 -> B4 [label="f1"]; 3 | B2 -> B4 [label="f2"]; 4 | B3 -> B4 [label="f3"]; 5 | B4 -> B5 [label="f5"]; 6 | B4 -> B6 [label="f6"]; 7 | B4 [label="iload_1\niload_2\ninvoke foo\nifeq", shape=box]; 8 | } 9 | -------------------------------------------------------------------------------- /java/target/src/common/com/jopdesign/io/HWSensorC.java: -------------------------------------------------------------------------------- 1 | package com.jopdesign.io; 2 | 3 | /* 4 | * calibration Hardware sensor 5 | */ 6 | public class HWSensorC extends HardwareObject { 7 | 8 | public volatile int hwSensorC; 9 | 10 | } -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/PortConfiguration.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils; 2 | 3 | import java.io.PrintStream; 4 | 5 | public interface PortConfiguration 6 | { 7 | public PrintStream logStream(); 8 | 9 | public void YBUG(); 10 | } 11 | -------------------------------------------------------------------------------- /linux/pc_disc.txt: -------------------------------------------------------------------------------- 1 | /dev/hda1 C: NTFS Windows 2 | /dev/hda2 D: VFAT user data 3 | /dev/hda3 100M ext2 /boot 4 | /dev/hda4 ext. partition 5 | /dev/hda5 1G swap 6 | /dev/hda6 5G ext2 /home 7 | /dev/hda7 10G reiserfs / gentoo 8 | /dev/hda8 10G ext2? / fedora 9 | -------------------------------------------------------------------------------- /vhdl/.settings/org.eclipse.core.resources.prefs: -------------------------------------------------------------------------------- 1 | eclipse.preferences.version=1 2 | encoding//Common\ Libraries/IEEE=utf-8 3 | encoding//Common\ Libraries/IEEE\ Synopsys=utf-8 4 | encoding//Common\ Libraries/STD=utf-8 5 | encoding/Common\ Libraries=utf-8 6 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_ObjectBucket.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffs_ObjectBucket 4 | { 5 | //typedef struct { 6 | list_head list = new list_head(this); 7 | int count; 8 | //} yaffs_ObjectBucket; 9 | } 10 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/common/ExitingRunnable.java: -------------------------------------------------------------------------------- 1 | package rttm.common; 2 | 3 | public class ExitingRunnable implements Runnable { 4 | 5 | public void run() { 6 | // do nothing 7 | 8 | rttm.Diagnostics.saveStatistics(); 9 | } 10 | 11 | } 12 | -------------------------------------------------------------------------------- /java/target/src/test/wcet/dsvmfp/SVMData.java: -------------------------------------------------------------------------------- 1 | package wcet.dsvmfp; 2 | 3 | public interface SVMData { 4 | float [][] getTrainingData(); 5 | float [] getTrainingLabels(); 6 | float [][] getTestData(); 7 | float [] getTestLabels(); 8 | 9 | } 10 | -------------------------------------------------------------------------------- /ext/spimaster/timescale.v: -------------------------------------------------------------------------------- 1 | ////////////////////////////////////////////////////////////////////// 2 | // timescale.v 3 | ////////////////////////////////////////////////////////////////////// 4 | `timescale 1ns / 1ps 5 | 6 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/Makefile: -------------------------------------------------------------------------------- 1 | BATCH=y 2 | PATHS=`ls -p|grep /` 3 | 4 | all: 5 | for p in ${PATHS}; do \ 6 | make -C $$p -e BATCH=${BATCH} || exit; \ 7 | done 8 | 9 | 10 | clean: 11 | for p in ${PATHS}; do \ 12 | make clean -C $$p || exit; \ 13 | done 14 | -------------------------------------------------------------------------------- /java/target/src/test/jopui/JopUIDemo.java: -------------------------------------------------------------------------------- 1 | package jopui; 2 | 3 | import com.jopdesign.jopui.JopUi; 4 | 5 | public class JopUIDemo { 6 | public static void main(String[] args) { 7 | 8 | JopUi.register(new JopUiButton()); 9 | JopUi.run(); 10 | } 11 | } 12 | -------------------------------------------------------------------------------- /xilinx/ml401a/mkchain.py: -------------------------------------------------------------------------------- 1 | # 2 | 3 | 4 | 5 | if ( __name__ == "__main__" ): 6 | import vlabif 7 | config = vlabif.DebugConfig(dc_name="Autogen_Debug_Entity", 8 | chain_config_file="chain_config.py") 9 | config.writeVHDL() 10 | 11 | 12 | -------------------------------------------------------------------------------- /java/pc/src/yaffs2/port/emulation/yflash_Page.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port.emulation; 2 | 3 | 4 | public class yflash_Page 5 | { 6 | // typedef struct 7 | // { 8 | /*__u8*/ byte[] data = new byte[yaffs_fileem2k_H.PAGE_SIZE]; // Data + spare 9 | // } yflash_Page; 10 | } 11 | -------------------------------------------------------------------------------- /java/target/src/bench/scd_micro/README.txt: -------------------------------------------------------------------------------- 1 | The code here is taken from the CDx - RTSJ benchmark. 2 | http://adam.lille.inria.fr/soleil/rcd/Download/ 3 | 4 | Basically, it is the code periodically invoked in the real-time thread to perform collision detection, 5 | see Main.java 6 | -------------------------------------------------------------------------------- /java/target/src/paper/jopscj/friend1/Helper.java: -------------------------------------------------------------------------------- 1 | package jopscj.friend1; 2 | 3 | public class Helper { 4 | 5 | Helper() { 6 | 7 | } 8 | 9 | public void foo(A a) { 10 | a.foo(); 11 | } 12 | 13 | public void bar(A a) { 14 | a.bar(); 15 | } 16 | 17 | } 18 | -------------------------------------------------------------------------------- /doc/book/appendix/timing.tex: -------------------------------------------------------------------------------- 1 | \input{../preamble} 2 | 3 | \begin{document} 4 | 5 | \chapter{Bytecode Execution Time} 6 | \subimport{../}{bytetable} 7 | 8 | \chapter{JOP Instruction Set} \label{appx:jop:instr} 9 | \subimport{../}{instruction_set} 10 | 11 | \end{document} 12 | -------------------------------------------------------------------------------- /java/pc/src/yaffs2/port/emulation/yramdisk_Page.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port.emulation; 2 | 3 | public class yramdisk_Page { 4 | // typedef struct 5 | // { 6 | // __u8 data[528]; // Data + spare 7 | // } yramdisk_Page; 8 | 9 | public byte[] data = new byte[528]; 10 | } 11 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_DirectoryStructure.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffs_DirectoryStructure 4 | { 5 | //typedef struct { 6 | public list_head children = new list_head(this); /* list of child links */ 7 | //} yaffs_DirectoryStructure; 8 | } 9 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_SymLinkStructure.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffs_SymLinkStructure 4 | { 5 | //typedef struct { 6 | public byte[] alias; // PORT it's only a pointer 7 | public int aliasIndex; 8 | //} yaffs_SymLinkStructure; 9 | } 10 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/IntegerPointer.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils; 2 | 3 | public class IntegerPointer 4 | { 5 | public int dereferenced; 6 | public IntegerPointer(int value) { 7 | dereferenced = value; 8 | } 9 | public IntegerPointer() { 10 | 11 | } 12 | } 13 | -------------------------------------------------------------------------------- /java/target/src/paper/csp/OutPort.java: -------------------------------------------------------------------------------- 1 | package csp; 2 | 3 | public interface OutPort { 4 | 5 | // all sends are non blocking in the sense that 6 | // they do not wait for the destination to receive the message 7 | public void noAck_send(int buffer[], int cnt); 8 | 9 | } 10 | -------------------------------------------------------------------------------- /java/target/src/paper/jopscj/enemy/C.java: -------------------------------------------------------------------------------- 1 | package jopscj.enemy; 2 | 3 | import jopscj.friend1.A; 4 | 5 | public class C { 6 | 7 | 8 | public static void oneMethod(){ 9 | 10 | // Can not access other than public methods 11 | A.baz(); 12 | 13 | } 14 | 15 | } 16 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/WaitFreeQueue/ImmortalMemory.java: -------------------------------------------------------------------------------- 1 | package rttm.WaitFreeQueue; 2 | 3 | public class ImmortalMemory { 4 | 5 | public static MemoryArea instance() { 6 | System.out.println("ImmortalMemory.instance"); 7 | return new MemoryArea(); 8 | } 9 | 10 | } 11 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/WaitFreeQueue/MemoryScopeException.java: -------------------------------------------------------------------------------- 1 | package rttm.WaitFreeQueue; 2 | 3 | public class MemoryScopeException extends Exception { 4 | 5 | public MemoryScopeException(String string) { 6 | // TODO Auto-generated constructor stub 7 | } 8 | 9 | } 10 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/sim_batch.do: -------------------------------------------------------------------------------- 1 | # Value Range: 0 (note), 1 (warning), 2 (error), 3 (failure), 4 (fatal) 2 | set BreakOnAssertion 1 3 | onbreak { 4 | quit -code 66 5 | } 6 | 7 | set NumericStdNoWarnings 1 8 | run 10 ns 9 | set NumericStdNoWarnings 0 10 | run -all 11 | 12 | quit 13 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/tm/sim.do: -------------------------------------------------------------------------------- 1 | # Value Range: 0 (note), 1 (warning), 2 (error), 3 (failure), 4 (fatal) 2 | set BreakOnAssertion 1 3 | 4 | view wave 5 | do wave.do 6 | 7 | set NumericStdNoWarnings 1 8 | run 10 ns 9 | set NumericStdNoWarnings 0 10 | run -all 11 | 12 | wave zoomfull 13 | -------------------------------------------------------------------------------- /java/pcsim/build.bat: -------------------------------------------------------------------------------- 1 | rmdir /Q /S dist 2 | mkdir dist\lib 3 | mkdir dist\classes 4 | dir /b /s src\*.java > .sourcefiles 5 | javac -sourcepath ../target/src;../target/src/app -d dist\classes @.sourcefiles 6 | del .sourcefiles 7 | cd dist\classes 8 | jar cf ..\lib\pc.jar * 9 | cd ..\.. 10 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/AbstractAsyncEvent.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | import javax.safetycritical.annotate.SCJAllowed; 3 | import static javax.safetycritical.annotate.Level.LEVEL_1; 4 | 5 | @SCJAllowed(LEVEL_1) 6 | public class AbstractAsyncEvent 7 | { 8 | 9 | } 10 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/overflow/sim.do: -------------------------------------------------------------------------------- 1 | # Value Range: 0 (note), 1 (warning), 2 (error), 3 (failure), 4 (fatal) 2 | set BreakOnAssertion 1 3 | 4 | view wave 5 | do wave.do 6 | 7 | set NumericStdNoWarnings 1 8 | run 10 ns 9 | set NumericStdNoWarnings 0 10 | run -all 11 | 12 | wave zoomfull 13 | -------------------------------------------------------------------------------- /java/target/src/bench/cruiser/runcarmodel.sh: -------------------------------------------------------------------------------- 1 | #! /bin/sh 2 | rm -rf dist/ 3 | mkdir dist 4 | javac -Xlint:all -sourcepath .. model/Main.java -d dist 5 | stty -F /dev/ttyS0 115200 raw -echo 6 | tee input.log < /dev/ttyS0 | java -cp dist cruiser/model/Main 2> test.log | tee output.log > /dev/ttyS0 7 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/factory/PrimitiveWrapper.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils.factory; 2 | 3 | public class PrimitiveWrapper extends PooledObject 4 | { 5 | public int _int; 6 | public boolean _boolean; 7 | public String _String; 8 | 9 | public byte[] _byteArray; 10 | } 11 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/PhysicalMemoryName.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | import javax.safetycritical.annotate.SCJAllowed; 3 | 4 | import static javax.safetycritical.annotate.Level.LEVEL_0; 5 | 6 | @SCJAllowed(LEVEL_0) 7 | public interface PhysicalMemoryName { 8 | 9 | }; 10 | -------------------------------------------------------------------------------- /java/target/src/test/ptolemy/Token.java: -------------------------------------------------------------------------------- 1 | package ptolemy; 2 | public class Token { 3 | public Short type; 4 | Object payload; 5 | public Token() {}; 6 | public Short getType() { 7 | return type; 8 | } 9 | public Object getPayload() { 10 | return payload; 11 | } 12 | } 13 | -------------------------------------------------------------------------------- /vhdl/jeopard/mac_coprocessor_wcet.def: -------------------------------------------------------------------------------- 1 | JAVA ../../java/target/src/test/wcet 2 | PACKAGE wcet 3 | 4 | COPROCESSOR MacTestHWDrv 5 | METHOD mac1 6 | PARAMETER size int 7 | PARAMETER alpha int[] 8 | PARAMETER beta int[] 9 | ITERATIONS 10000 10 | COST 6 11 | RETURN int 12 | 13 | 14 | 15 | 16 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/tm_coordinator/sim.do: -------------------------------------------------------------------------------- 1 | # Value Range: 0 (note), 1 (warning), 2 (error), 3 (failure), 4 (fatal) 2 | set BreakOnAssertion 1 3 | 4 | view wave 5 | do wave.do 6 | 7 | set NumericStdNoWarnings 1 8 | run 10 ns 9 | set NumericStdNoWarnings 0 10 | run -all 11 | 12 | wave zoomfull 13 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_HardLinkStructure.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffs_HardLinkStructure 4 | { 5 | //typedef struct { 6 | public yaffs_Object equivalentObject; 7 | /** __u32 */ public int equivalentObjectId; 8 | //} yaffs_HardLinkStructure; 9 | } 10 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/WaitFreeQueue/InaccessibleAreaException.java: -------------------------------------------------------------------------------- 1 | package rttm.WaitFreeQueue; 2 | 3 | public class InaccessibleAreaException extends Exception { 4 | 5 | public InaccessibleAreaException(String string) { 6 | // TODO Auto-generated constructor stub 7 | } 8 | 9 | } 10 | -------------------------------------------------------------------------------- /scripts/README: -------------------------------------------------------------------------------- 1 | This directory contains just a collection of useful, 2 | but private scripts. It shall not be considered as part 3 | of the official JOP distribution. Every developer may 4 | add some convenient scripts here. There shall be no 5 | dependency of the JOP design flow on the scripts here. 6 | -------------------------------------------------------------------------------- /scripts/de270.bat: -------------------------------------------------------------------------------- 1 | rem make japp -e QPROJ=work2c BLASTER_TYPE=USB-Blaster COM_PORT=COM23 TARGET_APP_PATH=../Documents/workspace/jembench/src MAIN_CLASS=jembench/Main P3=Main 2 | 3 | make japp -e QPROJ=work2c BLASTER_TYPE=USB-Blaster COM_PORT=COM23 P1=bench P2=cruiser/control P3=CmpMain JDK16=true 4 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/mem_no_arbiter_test/sim.do: -------------------------------------------------------------------------------- 1 | # Value Range: 0 (note), 1 (warning), 2 (error), 3 (failure), 4 (fatal) 2 | set BreakOnAssertion 1 3 | 4 | view wave 5 | do wave.do 6 | 7 | set NumericStdNoWarnings 1 8 | run 10 ns 9 | set NumericStdNoWarnings 0 10 | run -all 11 | 12 | wave zoomfull 13 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/overflow_rollback/sim.do: -------------------------------------------------------------------------------- 1 | # Value Range: 0 (note), 1 (warning), 2 (error), 3 (failure), 4 (fatal) 2 | set BreakOnAssertion 1 3 | 4 | view wave 5 | do wave.do 6 | 7 | set NumericStdNoWarnings 1 8 | run 10 ns 9 | set NumericStdNoWarnings 0 10 | run -all 11 | 12 | wave zoomfull 13 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/WaitFreeQueue/AddEventHandler.java: -------------------------------------------------------------------------------- 1 | package rttm.WaitFreeQueue; 2 | 3 | import javax.realtime.AsyncEvent; 4 | 5 | public class AddEventHandler { 6 | 7 | public void setEvent(AsyncEvent addedEvent) { 8 | // TODO Auto-generated method stub 9 | 10 | } 11 | 12 | } 13 | -------------------------------------------------------------------------------- /testsuite/devel/wcet/run_wcet.sh: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | 3 | DIR="$( cd "$( dirname "$0" )" && pwd )" 4 | jbe="linefollower lift kfl" 5 | dev="dev_supergraph1 dev_loadonreturn dev_rasmus" 6 | broken="udpip ejipcmp" 7 | benchmarks="${jbe} ${dev}" 8 | for B in ${benchmarks} ; do 9 | ${DIR}/${B} 10 | done 11 | -------------------------------------------------------------------------------- /vhdl/scio/sim/cmpsync/sim.bat: -------------------------------------------------------------------------------- 1 | rem set options=-93 -quiet -check_synthesis -lint -pedanticerrors 2 | set options=-93 -quiet 3 | rmdir /S/Q work 4 | vlib work 5 | vcom %options% ../../../core/jop_types.vhd 6 | vcom %options% tb_cmpsync_3masters.vhd 7 | vcom %options% ../../cmpsync.vhd 8 | 9 | vsim -do sim.do tb_cmpsync -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_ObjectList.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffs_ObjectList 4 | { 5 | //struct yaffs_ObjectList_struct { 6 | yaffs_Object[] objects; 7 | yaffs_ObjectList next; 8 | //}; 9 | 10 | //typedef struct yaffs_ObjectList_struct yaffs_ObjectList; 11 | } 12 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_TnodeList.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffs_TnodeList 4 | { 5 | //struct yaffs_TnodeList_struct { 6 | yaffs_TnodeList next; 7 | yaffs_Tnode[] tnodes; 8 | //}; 9 | 10 | //typedef struct yaffs_TnodeList_struct yaffs_TnodeList; 11 | 12 | } 13 | -------------------------------------------------------------------------------- /doc/book/appendix/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | cd tools && javac *.java 3 | java -cp tools Csv2Latex microcode.csv > microcode.tex 4 | pdflatex timing 5 | 6 | clean: 7 | -cd tools && rm *.class 8 | -rm microcode.tex 9 | -rm *.aux 10 | -rm *.log 11 | -rm *.out 12 | -rm *.bak 13 | -rm *.idx 14 | -rm timing.pdf 15 | -------------------------------------------------------------------------------- /java/target/src/common/ejip123/package-info.java: -------------------------------------------------------------------------------- 1 | /** ejip is a minimalistic tcp/ip stack for embedded realtime applications on jop. 2 | It provides a realtime capable interface to often used network protocols like TCP. 3 | For more information see http://jopwiki.com/Ejip.*/ 4 | package ejip123; -------------------------------------------------------------------------------- /java/pc/src/yaffs2/port/emulation/yflash_Device.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port.emulation; 2 | 3 | 4 | public class yflash_Device 5 | { 6 | // typedef struct 7 | // { 8 | public java.io.RandomAccessFile[] handle = new java.io.RandomAccessFile[yaffs_fileem2k_C.MAX_HANDLES]; 9 | public int nBlocks; 10 | // } yflash_Device; 11 | } 12 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RawScalarAccess.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | import javax.safetycritical.annotate.SCJAllowed; 3 | import static javax.safetycritical.annotate.Level.LEVEL_0; 4 | @SCJAllowed(LEVEL_0) 5 | public interface RawScalarAccess 6 | extends RawIntegralAccess, RawRealAccess { 7 | 8 | 9 | } 10 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/mem_no_arbiter_test/Makefile.in: -------------------------------------------------------------------------------- 1 | TARGET=tb_mem_no_arbiter_test 2 | 3 | SRC= \ 4 | $(JOPDIR)/simpcon/sc_pack.vhd \ 5 | $(JOPDIR)/simpcon/sc_arbiter_pack.vhd \ 6 | $(JOPDIR)/core/jop_types.vhd \ 7 | $(JOPDIR)/memory/sc_sram32_flash.vhd \ 8 | ../sim_memory.vhd \ 9 | ../mem_no_arbiter.vhd \ 10 | $(TARGET).vhd 11 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/overflow/wave.do: -------------------------------------------------------------------------------- 1 | onerror {resume} 2 | add wave -noupdate /tb_overflow/* 3 | add wave -noupdate -divider tmif 4 | add wave -noupdate /tb_overflow/dut/* 5 | add wave -noupdate -divider tm 6 | add wave -noupdate /tb_overflow/dut/cmp_tm/* 7 | add wave -noupdate -divider tag 8 | add wave -noupdate /tb_overflow/dut/cmp_tm/tag/* 9 | -------------------------------------------------------------------------------- /ext/gaisler/version.vhd: -------------------------------------------------------------------------------- 1 | -- pragma translate_off 2 | use std.textio.all; 3 | -- pragma translate_on 4 | package version is 5 | constant grlib_version : integer := 1014; 6 | -- pragma translate_off 7 | constant grlib_date : string := "20070227"; 8 | -- pragma translate_on 9 | constant grlib_build : integer := 2053; 10 | end; 11 | -------------------------------------------------------------------------------- /java/target/src/app/libcsp/csp/transportextentions/ITransportExtension.java: -------------------------------------------------------------------------------- 1 | package libcsp.csp.transportextentions; 2 | 3 | import libcsp.csp.core.ConnectionCore; 4 | import libcsp.csp.core.PacketCore; 5 | 6 | public interface ITransportExtension { 7 | public void deliverPacket(ConnectionCore connection, PacketCore packet); 8 | } 9 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_NANDSpare.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | // PORT No need to be serializable. 4 | public class yaffs_NANDSpare { 5 | 6 | /*Special structure for passing through to mtd */ 7 | 8 | public yaffs_Spare spare = new yaffs_Spare(); 9 | public int eccres1; 10 | public int eccres2; 11 | } 12 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/YYIELDInterface.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils; 2 | 3 | public interface YYIELDInterface 4 | { 5 | /** 6 | * "added for use in scan so processes aren't blocked indefinitely." 7 | * PORT Originally, schedule() is only called only when compiling for Linux. 8 | */ 9 | public void YYIELD(); 10 | } 11 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/util/PoolObject.java: -------------------------------------------------------------------------------- 1 | package scjlibs.util; 2 | 3 | public interface PoolObject { 4 | 5 | public void initialize(); 6 | 7 | public boolean isFree(); 8 | 9 | public void finalize(); 10 | 11 | public PoolObject getNext(); 12 | 13 | public PoolObject setNext(PoolObject object); 14 | 15 | } 16 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/debug/communication/DebugSettings.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils.debug.communication; 2 | 3 | public class DebugSettings 4 | { 5 | public static final int SPARE_SERIALIZED_LENGTH = 16; 6 | 7 | public static final int GENERIC_DEVICE = 1; 8 | public static final int NDATABYTESPERCHUNK = 512; 9 | 10 | } 11 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/util/MemSafe.java: -------------------------------------------------------------------------------- 1 | package scjlibs.util; 2 | 3 | 4 | public @interface MemSafe { 5 | 6 | public MemoryRisk[] risk(); 7 | 8 | } 9 | 10 | enum MemoryRisk { 11 | NONE, 12 | LAZY, 13 | OBJ_REF_TO_NULL, 14 | UNREFERENCED_OBJ, 15 | TEMP_OBJECTS, 16 | MIXED_CONTEXT, 17 | RESIZE, 18 | EXCEPTION 19 | } 20 | -------------------------------------------------------------------------------- /java/target/src/scjlibs/java/util/MemSafe.java: -------------------------------------------------------------------------------- 1 | package java.util; 2 | 3 | 4 | public @interface MemSafe { 5 | 6 | public MemoryRisk[] risk(); 7 | 8 | } 9 | 10 | enum MemoryRisk { 11 | NONE, 12 | LAZY, 13 | OBJ_REF_TO_NULL, 14 | UNREFERENCED_OBJ, 15 | TEMP_OBJECTS, 16 | MIXED_CONTEXT, 17 | RESIZE, 18 | EXCEPTION 19 | } 20 | -------------------------------------------------------------------------------- /eclipse/pcsim/.classpath: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /java/target/src/app/libcsp/csp/interfaces/IMACProtocol.java: -------------------------------------------------------------------------------- 1 | package libcsp.csp.interfaces; 2 | 3 | import libcsp.csp.core.PacketCore; 4 | 5 | public interface IMACProtocol { 6 | 7 | public void initialize(int MACAddress); 8 | 9 | public void transmitPacket(PacketCore packet); 10 | 11 | public void receiveFrame(); 12 | } 13 | -------------------------------------------------------------------------------- /java/target/src/app/libcsp/src/system/SCJApplication.java: -------------------------------------------------------------------------------- 1 | package libcsp.src.system; 2 | 3 | import javax.safetycritical.JopSystem; 4 | 5 | import libcsp.csp.app.ping.PingMission; 6 | 7 | public class SCJApplication { 8 | 9 | public static void main(String[] args) { 10 | JopSystem.startMission(new PingMission()); 11 | } 12 | } -------------------------------------------------------------------------------- /java/target/src/app/oebb/Events.java: -------------------------------------------------------------------------------- 1 | package oebb; 2 | 3 | /** 4 | * Events to signal some state changes between classes 5 | * @author martin 6 | * 7 | */ 8 | public class Events { 9 | 10 | /** 11 | * Set by State on receiving the flag from the ZLB. 12 | * Reset by Logic. 13 | */ 14 | static boolean anmeldenOk; 15 | 16 | } 17 | -------------------------------------------------------------------------------- /quartus/de2-70vga/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 9.0 Build 132 02/25/2009 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP2C70F896) Path("") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /java/target/src/app/csp/IODevice.java: -------------------------------------------------------------------------------- 1 | package csp; 2 | 3 | /** 4 | * The IO device should have at least a control and status register. 5 | * 6 | * @author jrri 7 | * 8 | */ 9 | public interface IODevice { 10 | 11 | public void setControl(int i); 12 | 13 | public int readControl(); 14 | 15 | public int readStatus(); 16 | 17 | } 18 | -------------------------------------------------------------------------------- /quartus/de2-70rttm/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 9.0 Build 132 02/25/2009 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP2C70F896) Path("") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /doc/book/wcet/dispatch_split.dot: -------------------------------------------------------------------------------- 1 | digraph G { 2 | B1 -> B2 [label="f1"]; 3 | B2 -> B31 [label="f2"]; 4 | B2 -> B32 [label="f3"]; 5 | B31 -> B4; 6 | B32 -> B4; 7 | B2 [label="iload_1\niload_2\naload_0", shape=box]; 8 | B31 [label="invoke A.foo", shape=box]; 9 | B32 [label="invoke B.foo", shape=box]; 10 | B4 [label="istore_3", shape=box]; 11 | } 12 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/WaitFreeQueue/MemoryArea.java: -------------------------------------------------------------------------------- 1 | package rttm.WaitFreeQueue; 2 | 3 | public class MemoryArea { 4 | 5 | private Object[] objectArray; 6 | 7 | public Object[] newObjectArray(int size) { 8 | System.out.println("MemoryArea.newObjectArray"); 9 | this.objectArray = new Object[size]; 10 | return this.objectArray; 11 | } 12 | } 13 | -------------------------------------------------------------------------------- /java/target/src/test/wcet/devel/gen_SimpleAssocTest.rb~: -------------------------------------------------------------------------------- 1 | MAX_ASSOC=10 2 | MAX_FIELDS=5 3 | 1.upto(MAX_ASSOC) { |i| 4 | 1.upto(MAX_FIELDS) { |j| 5 | args = (0..(i-1)).map { |ix| "objs#{j}[#{ix}]" } 6 | puts " loop_#{i}_#{j}(#{args.join(",")});" 7 | } 8 | } 9 | 1.upto(MAX_ASSOC) { |i| 10 | 1.upto(MAX_FIELDS) { |j| 11 | 12 | } 13 | } 14 | -------------------------------------------------------------------------------- /quartus/altde2-70/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP1C6Q240) Path("") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /quartus/altde2/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP2C35F672) Path("") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /quartus/altde2cmp/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP2C35F672) Path("") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /quartus/lego/pld.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EPM3064AT44) Path("") File("pld.pof") MfrSpec(OpMask(3)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /java/pc/makeexe.bat: -------------------------------------------------------------------------------- 1 | setlocal 2 | rmdir /Q /S dist 3 | mkdir dist\lib 4 | mkdir dist\exe 5 | call \usr2\gcj_win\setp.bat 6 | rem gcj --main=%1 src/udp/*.java -o dist/exe/%1.exe 7 | rc jop.rc 8 | windres jop.res jop.o 9 | gcj --main=test.%1 src/udp/*.java src/ui/Connection.java \usr2\eclipse\workspace\SWTBuilder\test\%1.java -mwindows jop.o -o %1.exe 10 | endlocal 11 | -------------------------------------------------------------------------------- /java/pc/src/yaffs2/port/emulation/yramdisk_BlockPointer.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port.emulation; 2 | 3 | 4 | public class yramdisk_BlockPointer { 5 | 6 | public yramdisk_BlockPointer() 7 | { 8 | 9 | } 10 | 11 | public yramdisk_BlockPointer(yramdisk_Block value) { 12 | dereferenced = value; 13 | } 14 | 15 | public yramdisk_Block dereferenced; 16 | 17 | } -------------------------------------------------------------------------------- /modelsim/clean.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | -------------------------------------------------------------------------------- /quartus/altde2-70iic/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP1C6Q240) Path("") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /quartus/altde2-70reprap/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP1C6Q240) Path("") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /quartus/altde2dram/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP2C35F672) Path("") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /quartus/altde2sram/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP2C35F672) Path("") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /quartus/cycconf/cyc_conf.quartus: -------------------------------------------------------------------------------- 1 | FILES 2 | { 3 | VHDL_FILE = ..\..\vhdl\config\cyc_conf.vhd; 4 | } 5 | COMPILER_SETTINGS_LIST 6 | { 7 | COMPILER_SETTINGS = cyc_conf; 8 | } 9 | SIMULATOR_SETTINGS_LIST 10 | { 11 | SIMULATOR_SETTINGS = cyc_conf; 12 | } 13 | SOFTWARE_SETTINGS_LIST 14 | { 15 | SOFTWARE_SETTINGS = Debug; 16 | SOFTWARE_SETTINGS = Release; 17 | } 18 | -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- 1 | # 2 | # Ignore generated processor files 3 | # 4 | asm/generated 5 | rbf 6 | 7 | # 8 | # Ignore autogenerated Java files, classfiles and reports 9 | # 10 | java/target/src/common/com/jopdesign/sys/Config.java 11 | java/target/dist 12 | java/target/wcet 13 | java/tools/dist 14 | 15 | # 16 | # Ignore log files and temp files 17 | # 18 | velocity.log* 19 | 20 | -------------------------------------------------------------------------------- /quartus/altde2-70hwScope/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP1C6Q240) Path("") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /eclipse/joptools/.classpath: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/overflow_rollback/wave.do: -------------------------------------------------------------------------------- 1 | onerror {resume} 2 | add wave -noupdate /tb_overflow_rollback/* 3 | add wave -noupdate -divider tmif 4 | add wave -noupdate /tb_overflow_rollback/dut/* 5 | add wave -noupdate -divider tm 6 | add wave -noupdate /tb_overflow_rollback/dut/cmp_tm/* 7 | add wave -noupdate -divider tag 8 | add wave -noupdate /tb_overflow_rollback/dut/cmp_tm/tag/* 9 | -------------------------------------------------------------------------------- /eclipse/pc/.classpath: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/DebugConfiguration.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils; 2 | 3 | public interface DebugConfiguration 4 | { 5 | public int __LINE__(); 6 | // { 7 | // return new Exception().getStackTrace()[1].getLineNumber(); 8 | // } 9 | 10 | public String __FILE__(); 11 | // { 12 | // return new Exception().getStackTrace()[1].getFileName(); 13 | // } 14 | } 15 | -------------------------------------------------------------------------------- /java/target/src/rtapi/hijac/cdx/Error.java: -------------------------------------------------------------------------------- 1 | /** 2 | * @author Frank Zeyda 3 | */ 4 | package hijac.cdx; 5 | 6 | /** 7 | * This class is used to generate program errors. 8 | */ 9 | public class Error { 10 | public static void abort(String msg) { 11 | System.out.println(msg); 12 | System.out.println("Aborting program!"); 13 | System.exit(-1); 14 | } 15 | } 16 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RawMemoryName.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | import javax.safetycritical.annotate.SCJAllowed; 3 | import static javax.safetycritical.annotate.Level.LEVEL_0; 4 | 5 | /** 6 | * A tagging interface for marking objects that identify raw memory types. 7 | * 8 | */ 9 | @SCJAllowed(LEVEL_0) 10 | public interface RawMemoryName { 11 | 12 | } 13 | -------------------------------------------------------------------------------- /java/target/src/rtapi/test/scj/MyAppSCJ.java: -------------------------------------------------------------------------------- 1 | package test.scj; 2 | 3 | import javax.safetycritical.JopSystem; 4 | 5 | public class MyAppSCJ { 6 | 7 | public static void main(String args[]){ 8 | 9 | MainSaflet SS = new MainSaflet(); 10 | 11 | 12 | System.out.println("safelet created"); 13 | 14 | JopSystem.startMission(SS); 15 | 16 | } 17 | 18 | } 19 | -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/build.properties: -------------------------------------------------------------------------------- 1 | source.. = src/ 2 | output.. = bin/ 3 | bin.includes = plugin.xml,\ 4 | META-INF/,\ 5 | .,\ 6 | lib/RXTXcomm.jar,\ 7 | lib/jop-tools.jar,\ 8 | lib/bcel-5.2.jar 9 | src.includes = plugin.xml,\ 10 | META-INF/,\ 11 | src/ 12 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_FileStructure.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffs_FileStructure 4 | { 5 | //typedef struct { 6 | /** __u32 */ public int fileSize; 7 | /** __u32 */ public int scannedFileSize; 8 | /** __u32 */ public int shrinkSize; 9 | public int topLevel; 10 | public yaffs_Tnode top; 11 | //} yaffs_FileStructure; 12 | 13 | 14 | } 15 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/ArgsHandlingTest.java: -------------------------------------------------------------------------------- 1 | package rttm.tests; 2 | 3 | import rttm.atomic; 4 | 5 | public class ArgsHandlingTest { 6 | 7 | public static void main(String[] args) { 8 | System.out.println(transaction(1, 10, 100)); 9 | } 10 | 11 | @atomic private static int transaction(int arg0, int arg1, int arg2) { 12 | return arg0+arg1+arg2; 13 | } 14 | } 15 | -------------------------------------------------------------------------------- /quartus/cycconf/cyc_conf_init.quartus: -------------------------------------------------------------------------------- 1 | FILES 2 | { 3 | VHDL_FILE = ..\..\vhdl\config\cyc_conf_init.vhd; 4 | } 5 | COMPILER_SETTINGS_LIST 6 | { 7 | COMPILER_SETTINGS = cyc_conf_init; 8 | } 9 | SIMULATOR_SETTINGS_LIST 10 | { 11 | SIMULATOR_SETTINGS = cyc_conf_init; 12 | } 13 | SOFTWARE_SETTINGS_LIST 14 | { 15 | SOFTWARE_SETTINGS = Debug; 16 | SOFTWARE_SETTINGS = Release; 17 | } 18 | -------------------------------------------------------------------------------- /java/bat/dosim.bat: -------------------------------------------------------------------------------- 1 | rem 2 | rem usage: dosim pkg MainClass 3 | rem 4 | ren java jop_java 5 | 6 | javac pcsim/com/jopdesign/sys/*.java 7 | javac pcsim/javax/joprt/*.java 8 | javac pcsim/util/*.java 9 | 10 | del %1\*.class 11 | del util\*.class 12 | del sms\*.class 13 | del tcpip\*.class 14 | del test\*.class 15 | 16 | javac %1/%2.java 17 | java -cp pcsim;. %1.%2 18 | ren jop_java java 19 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/GenericEntryFactory.java: -------------------------------------------------------------------------------- 1 | package scjlibs; 2 | 3 | import scjlibs.util.PoolObject; 4 | import scjlibs.util.PoolObjectFactory; 5 | 6 | public class GenericEntryFactory implements PoolObjectFactory { 7 | 8 | @Override 9 | public PoolObject createObject() { 10 | // TODO Auto-generated method stub 11 | return new GenericEntry(); 12 | } 13 | 14 | } 15 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/GenericMission.java: -------------------------------------------------------------------------------- 1 | package scjlibs; 2 | 3 | import javax.safetycritical.Mission; 4 | import javax.safetycritical.annotate.SCJAllowed; 5 | 6 | public abstract class GenericMission extends Mission{ 7 | 8 | @Override 9 | @SCJAllowed 10 | public long missionMemorySize() { 11 | // TODO Auto-generated method stub 12 | return 0; 13 | } 14 | 15 | } 16 | -------------------------------------------------------------------------------- /java/tools/src/com/jopdesign/wcet/report/class.vm: -------------------------------------------------------------------------------- 1 | 2 |

Class: $classreport.getClassInfo()

3 |

Source

4 |

$classreport.getSource().getSourceFile()

5 | #foreach ($l in $classreport.getSource().getLines()) 6 |
7 | 8 | $l.getInfo()| $l.getCode() 9 | 10 |
11 | #end 12 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/WaitFreeQueue/WaitFreeQueue.java: -------------------------------------------------------------------------------- 1 | package rttm.WaitFreeQueue; 2 | 3 | public abstract class WaitFreeQueue { 4 | 5 | public abstract Object poll(long msecs); 6 | 7 | public abstract Object take(); 8 | 9 | public abstract Object peek(); 10 | 11 | public abstract boolean offer(Object x, long msecs); 12 | 13 | public abstract void put(Object x); 14 | 15 | } 16 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/Constants.java: -------------------------------------------------------------------------------- 1 | package scjlibs; 2 | 3 | public class Constants { 4 | 5 | static final int MIN_PRIO = 10; 6 | static final int PEH_PERIOD = 2000; 7 | static final long BACKING_STORE = 4096; 8 | static final long SCOPE_SIZE = BACKING_STORE/2; 9 | public static final int MAX_LOG_EVENTS = 650; 10 | public static final boolean ENABLE_LOG = false; 11 | 12 | } 13 | -------------------------------------------------------------------------------- /quartus/altde2-70cmp/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 9.1 Build 222 10/21/2009 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP2C70F896) Path("Z:/martin/jop/quartus/altde2-70cmp/") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /quartus/altde2-70csp/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 9.1 Build 222 10/21/2009 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP2C70F896) Path("Z:/martin/jop/quartus/altde2-70cmp/") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/UnexpectedException.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils; 2 | 3 | public class UnexpectedException extends RuntimeException 4 | { 5 | public UnexpectedException() 6 | { 7 | } 8 | 9 | public UnexpectedException(Throwable cause) 10 | { 11 | super(cause); 12 | } 13 | 14 | public UnexpectedException(String message) 15 | { 16 | super(message); 17 | } 18 | 19 | } 20 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/yaffs_BlockInfoPointer.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils; 2 | 3 | import yaffs2.port.yaffs_BlockInfo; 4 | 5 | public class yaffs_BlockInfoPointer 6 | { 7 | public yaffs_BlockInfoPointer() 8 | { 9 | } 10 | 11 | public yaffs_BlockInfoPointer(yaffs_BlockInfo value) 12 | { 13 | dereferenced = value; 14 | } 15 | 16 | public yaffs_BlockInfo dereferenced; 17 | } 18 | -------------------------------------------------------------------------------- /java/target/src/paper/scopeuse/ex1/LoopAppSCJ.java: -------------------------------------------------------------------------------- 1 | package scopeuse.ex1; 2 | 3 | import javax.safetycritical.JopSystem; 4 | 5 | public class LoopAppSCJ { 6 | 7 | public static void main(String args[]){ 8 | 9 | LoopSafelet SS = new LoopSafelet(); 10 | SS.setup(); 11 | 12 | System.out.println("Safelet created"); 13 | 14 | JopSystem.startMission(SS); 15 | 16 | } 17 | 18 | } 19 | -------------------------------------------------------------------------------- /quartus/altde2-70cmp_cache/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 9.1 Build 222 10/21/2009 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EP2C70F896) Path("Z:/martin/jop/quartus/altde2-70cmp/") File("jop.sof") MfrSpec(OpMask(1)); 8 | 9 | ChainEnd; 10 | 11 | AlteraBegin; 12 | ChainType(JTAG); 13 | AlteraEnd; 14 | -------------------------------------------------------------------------------- /doc/book/results/sort.dot: -------------------------------------------------------------------------------- 1 | 2 | digraph sort { 3 | /* node [shape = box]; */ 4 | B1 -> B2 [label="1"]; 5 | B2 -> B3 [label="4"]; 6 | B2 -> B9 [label="1"]; 7 | B3 -> B4 [label="4"]; 8 | B4 -> B5 [label="10"]; 9 | B4 -> B8 [label="4"]; 10 | B5 -> B6 [label="0-10"]; 11 | B5 -> B7 [label="0-10"]; 12 | B6 -> B7 [label="0-10"]; 13 | B7 -> B4 [label="10"]; 14 | B8 -> B2 [label="4"]; 15 | } 16 | -------------------------------------------------------------------------------- /java/bat/dobb.bat: -------------------------------------------------------------------------------- 1 | rem version for bb 2 | rem usage: doit Mast/Zentrale 3 | rem 4 | rem javac -classpath .;jcc.jar runtime\*.java 5 | 6 | rem javac com\jopdesign\sys\*.java 7 | 8 | del kfl\*.class 9 | javac kfl/%1.java 10 | 11 | 12 | rem this for kfl: no util, no com.jopdesign.* 13 | java -cp jcc.jar;. JavaCodeCompact -nq -arch JOP -o kfl/%1.bin kfl/*.class java/lang/*.class 14 | rem ..\down kfl\%1.bin 15 | -------------------------------------------------------------------------------- /java/target/src/scjlibs/annotation/ConditionallyScopeSafe.java: -------------------------------------------------------------------------------- 1 | package annotation; 2 | 3 | import static java.lang.annotation.ElementType.METHOD; 4 | import static java.lang.annotation.RetentionPolicy.CLASS; 5 | 6 | import java.lang.annotation.Retention; 7 | import java.lang.annotation.Target; 8 | 9 | @Retention(CLASS) 10 | @Target({METHOD}) 11 | public @interface ConditionallyScopeSafe { 12 | 13 | } 14 | -------------------------------------------------------------------------------- /vhdl/simpcon/sim/sim.bat: -------------------------------------------------------------------------------- 1 | rem set options=-93 -quiet -check_synthesis -lint -pedanticerrors 2 | set options=-93 -quiet 3 | rmdir /S/Q work 4 | vlib work 5 | vcom %options% ../../simpcon/sc_pack.vhd 6 | vcom %options% ../../simpcon/sc_arbiter_pack.vhd 7 | rem %options% tb_arbiter.vhd 8 | vcom %options% tb_arbiter_3masters.vhd 9 | vcom %options% ../sc_arbiter.vhd 10 | 11 | vsim -do sim.do tb_arbiter 12 | 13 | -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/src/com/jopdesign/jopeclipse/internal/ui/IJOPUIHelpContextIds.java: -------------------------------------------------------------------------------- 1 | package com.jopdesign.jopeclipse.internal.ui; 2 | 3 | public interface IJOPUIHelpContextIds { 4 | public static final String PREFIX = "com.jopdesign.jopeclipse.ui."; 5 | 6 | // Launch configuration for dialog tabs 7 | public static final String JOP_MAIN_TAB = PREFIX + "ant_main_tab_context"; 8 | } 9 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/assertions/Assert.java: -------------------------------------------------------------------------------- 1 | package yaffs2.assertions; 2 | 3 | import yaffs2.utils.*; 4 | 5 | public class Assert 6 | { 7 | public static boolean isUnsignedChar(int x) 8 | { 9 | return (x >= 0 && x <= Constants.UNSIGNED_CHAR_MAX); 10 | } 11 | 12 | public static boolean isUnsignedInt(long x) 13 | { 14 | return (x >= 0 && x <= Constants.UNSIGNED_INT_MAX); 15 | } 16 | } 17 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffsfs_DeviceConfiguration.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffsfs_DeviceConfiguration 4 | { 5 | public yaffsfs_DeviceConfiguration(byte[] prefix, int prefixIndex, yaffs_Device dev) 6 | { 7 | this.prefix = prefix; 8 | this.prefixIndex = prefixIndex; 9 | this.dev = dev; 10 | } 11 | 12 | byte[] prefix; int prefixIndex; 13 | yaffs_Device dev; 14 | } 15 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/examples/hijac/cdx/Error.java: -------------------------------------------------------------------------------- 1 | /** 2 | * @author Frank Zeyda 3 | */ 4 | package scjlibs.examples.hijac.cdx; 5 | 6 | /** 7 | * This class is used to generate program errors. 8 | */ 9 | public class Error { 10 | public static void abort(String msg) { 11 | System.out.println(msg); 12 | System.out.println("Aborting program!"); 13 | System.exit(-1); 14 | } 15 | } 16 | -------------------------------------------------------------------------------- /testsuite/devel/wcet/dev_rasmus: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | 3 | DIR="$( cd "$( dirname "$0" )" && pwd )" 4 | source "${DIR}/wcet.sh" 5 | 6 | # Rasmus 7 | export P1=test;export P2=wcet/devel;export P3=Rasmus 8 | echo "[Rasmus] $(logfile '$KEY')" >&2 9 | 10 | make_java 11 | make_sim jsim 1035 12 | make_wcet cs-0-dfa-no 1078 CALLSTRING_LENGTH=0 USE_DFA=no 13 | make_wcet cs-2-dfa-yes 1078 CALLSTRING_LENGTH=2 USE_DFA=yes 14 | -------------------------------------------------------------------------------- /testsuite/run.sh: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | # 3 | # Run regression test suite 4 | # 5 | LOG_DIR=$1 6 | if [ -z ${LOG_DIR} ]; then 7 | echo "Usage: run LOG_DIR" 8 | exit 1 9 | fi 10 | 11 | make init 12 | for f in `ls testsuite/designs/*.run`; do 13 | bash ${f} >> ${LOG_DIR}/`basename ${f}`.log 2>&1 14 | done 15 | for f in `ls testsuite/tests/*.run`; do 16 | bash ${f} >> ${LOG_DIR}/report.txt 2>&1 17 | done 18 | -------------------------------------------------------------------------------- /vhdl/rttm/sim/tm/wave.do: -------------------------------------------------------------------------------- 1 | onerror {resume} 2 | add wave -noupdate /tb_tm/* 3 | add wave -noupdate -divider tmif 4 | add wave -noupdate /tb_tm/dut/* 5 | add wave -noupdate -divider tm 6 | add wave -noupdate /tb_tm/dut/tm/* 7 | add wave -noupdate -divider tag 8 | add wave -noupdate /tb_tm/dut/tm/tag/* 9 | add wave -noupdate -divider write_fifo_buffer 10 | add wave -noupdate /tb_tm/dut/tm/write_fifo_buffer_inst/* 11 | -------------------------------------------------------------------------------- /java/pc/src/yaffs2/platform/emulation/DebugConfiguration.java: -------------------------------------------------------------------------------- 1 | package yaffs2.platform.emulation; 2 | 3 | public class DebugConfiguration implements yaffs2.utils.DebugConfiguration 4 | { 5 | public int __LINE__() 6 | { 7 | return new Exception().getStackTrace()[2].getLineNumber(); 8 | } 9 | 10 | public String __FILE__() 11 | { 12 | return new Exception().getStackTrace()[2].getFileName(); 13 | } 14 | 15 | } 16 | -------------------------------------------------------------------------------- /java/target/src/app/libcsp/csp/transportextentions/TransportUDP.java: -------------------------------------------------------------------------------- 1 | package libcsp.csp.transportextentions; 2 | 3 | import libcsp.csp.core.ConnectionCore; 4 | import libcsp.csp.core.PacketCore; 5 | 6 | public class TransportUDP implements ITransportExtension { 7 | 8 | @Override 9 | public void deliverPacket(ConnectionCore connection, PacketCore packet) { 10 | connection.processPacket(packet); 11 | } 12 | } -------------------------------------------------------------------------------- /java/target/src/test/wcet/StartWCADevel.java: -------------------------------------------------------------------------------- 1 | // Temp code for testing with MS and RUP and WP 2 | 3 | // Put this in the make file 4 | // P2=wcet 5 | // P3=StartWCADevel 6 | // WCET_METHOD=main 7 | package wcet; 8 | 9 | import wcet.wcadevel.WCADevel; 10 | 11 | public class StartWCADevel { 12 | 13 | 14 | public static void main(String[] args) { 15 | 16 | // initialization 17 | WCADevel.dowcet(); 18 | 19 | } 20 | 21 | } 22 | -------------------------------------------------------------------------------- /vhdl/top/jop_config_ztex.vhd: -------------------------------------------------------------------------------- 1 | library ieee; 2 | use ieee.std_logic_1164.all; 3 | 4 | use work.jop_config_global.all; 5 | 6 | package jop_config is 7 | 8 | constant clk_freq : integer := 93000000; 9 | 10 | -- constant for on-chip memory 11 | constant ram_width : integer := STACK_SIZE_GLOBAL; -- address bits of internal ram (sp,...) 12 | 13 | end jop_config; 14 | 15 | package body jop_config is 16 | 17 | end jop_config; 18 | -------------------------------------------------------------------------------- /asm/jopusb.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | -------------------------------------------------------------------------------- /quartus/cyc256x16/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM3064A) MfrSpec(OpMask(0)); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/sopcmin/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 6.0 Build 202 06/20/2006 Service Pack 1 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0)); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/iattr.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class iattr 4 | { 5 | //struct iattr { 6 | /*unsigned int*/ int ia_valid; 7 | /*unsigned*/ int ia_mode; 8 | /*unsigned*/ int ia_uid; 9 | /*unsigned*/ int ia_gid; 10 | /*unsigned*/ int ia_size; 11 | /*unsigned*/ int ia_atime; 12 | /*unsigned*/ int ia_mtime; 13 | /*unsigned*/ int ia_ctime; 14 | /*unsigned int*/ int ia_attr_flags; 15 | //}; 16 | } 17 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RawLong.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | 3 | import javax.safetycritical.annotate.SCJAllowed; 4 | 5 | /** 6 | * An interface to a long accessor object. An accessor object encapsulates the 7 | * protocol required to access a long in raw memory. 8 | * 9 | */ 10 | @SCJAllowed(javax.safetycritical.annotate.Level.LEVEL_0) 11 | public interface RawLong extends RawLongRead, RawLongWrite { 12 | 13 | } 14 | -------------------------------------------------------------------------------- /testsuite/devel/wcet/dev_loadonreturn: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | 3 | DIR="$( cd "$( dirname "$0" )" && pwd )" 4 | source "${DIR}/wcet.sh" 5 | 6 | # Load on Return 7 | export P1=test;export P2=wcet/devel;export P3=LoadOnReturn 8 | echo "[LoadOnReturn] $(logfile '$KEY')" >&2 9 | 10 | make_java 11 | make_sim jsim 26636 12 | make_wcet cs-0-dfa-yes 32882 CALLSTRING_LENGTH=0 USE_DFA=yes 13 | make_wcet cs-2-dfa-yes 32882 CALLSTRING_LENGTH=2 USE_DFA=yes 14 | -------------------------------------------------------------------------------- /asm/jopser.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | -------------------------------------------------------------------------------- /java/target/src/paper/hwScopeEx/sensors/Calibration.java: -------------------------------------------------------------------------------- 1 | package hwScopeEx.sensors; 2 | 3 | /* 4 | * This class is only used to save some computation results as the 5 | * fields of an object which latter can be stored in an array of 6 | * objects. The purpose is to test the aastore bytecode. 7 | */ 8 | public class Calibration { 9 | 10 | /* Calibration parameters 11 | */ 12 | int cal_param_1; 13 | //Integer cal_param_1; 14 | 15 | } 16 | -------------------------------------------------------------------------------- /asm/jopsim.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_TempBuffer.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffs_TempBuffer 4 | { 5 | /*--------------------- Temporary buffers ---------------- 6 | * 7 | * These are chunk-sized working buffers. Each device has a few 8 | */ 9 | 10 | //typedef struct { 11 | /**__u8 **/ byte[] buffer; 12 | int line; /* track from whence this buffer was allocated */ 13 | int maxLine; 14 | //} yaffs_TempBuffer; 15 | } 16 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/Launcher.java: -------------------------------------------------------------------------------- 1 | package scjlibs; 2 | 3 | import javax.safetycritical.JopSystem; 4 | import javax.safetycritical.Safelet; 5 | 6 | public class Launcher { 7 | 8 | /** 9 | * @param args 10 | */ 11 | public static void main(String[] args) { 12 | // TODO Auto-generated method stub 13 | 14 | Safelet safelet = new GenericSafelet(); 15 | JopSystem.startMission(safelet); 16 | 17 | 18 | } 19 | 20 | } 21 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/factory/yaffs_ObjectFactory.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils.factory; 2 | 3 | //import yaffs2.port.yaffs_Object; 4 | import yaffs2.utils.NotImplementedException; 5 | 6 | public class yaffs_ObjectFactory extends 7 | PooledObjectFactory 8 | { 9 | protected PooledObject createInstance() 10 | { 11 | // TODO pooling not yet implemented 12 | throw new NotImplementedException(); 13 | // return new yaffs_Object(); 14 | } 15 | } 16 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RawInt.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | 3 | import static javax.safetycritical.annotate.Level.LEVEL_0; 4 | import javax.safetycritical.annotate.SCJAllowed; 5 | 6 | /** 7 | * An interface to a int accessor object. An accessor object encapsulates the protocol 8 | required to access a int in raw memory. 9 | 10 | * 11 | */ 12 | @SCJAllowed(LEVEL_0) 13 | public interface RawInt extends RawIntRead, RawIntWrite{ 14 | 15 | } 16 | -------------------------------------------------------------------------------- /quartus/acxmin/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 4.1 Build 181 06/29/2004 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7032AET44) MfrSpec(OpMask(0) FullPath("../acxconf/pld_init2.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1K50T144) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/amba/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/cycbg/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 4.2 Build 156 11/29/2004 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/cyccmp/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/cyccsp/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/cycfpu/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/cycmin/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/cycvga/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/dspio/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C12Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/lego/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 7.1 Build 156 04/30/2007 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C12Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/PartiallySerializableObject.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils; 2 | 3 | public abstract class PartiallySerializableObject extends SerializableObject 4 | { 5 | // the other constructors could pose "data integrity" problems 6 | 7 | /** 8 | * The object is not "contained" in another struct. 9 | * 10 | */ 11 | public PartiallySerializableObject(int serializedLength) 12 | { 13 | super(serializedLength); 14 | } 15 | 16 | } 17 | -------------------------------------------------------------------------------- /java/tools/src/com/jopdesign/wcet/report/method.vm: -------------------------------------------------------------------------------- 1 | 2 |

Detailed report for $m.getFQMethodName()

3 | #foreach ($e in $reports) 4 |

$e.getKey()

5 |

Stats

6 | 11 |

Graph

12 | 13 | #end 14 |

DFA results

15 |
16 | $dfaresults
17 | 
18 | 19 | -------------------------------------------------------------------------------- /quartus/cyc12min/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C12Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/cycbaseio/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/cycconf/cyc_conf_init.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 3.0 Build 199 06/26/2003 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Cfg) 7 | Device PartName(EPM7064AET44) Path("C:\usr\cpu\jop\quartus\cycconf\") File("cyc_conf_init.pof") MfrSpec(OpMask(1)); 8 | P ActionCode(Ign) 9 | Device PartName(EP1C6) MfrSpec(OpMask(0)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/cycrttm/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/jpd_cyc12/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C6Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /quartus/usbfpu/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C12Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /vhdl/fpu/readme.txt: -------------------------------------------------------------------------------- 1 | The Compilation order is: 2 | 3 | fpupack.vhd 4 | pre_norm_addsub.vhd 5 | addsub_28.vhd 6 | post_norm_addsub.vhd 7 | pre_norm_mul.vhd 8 | mul_24.vhd 9 | vcom serial_mul.vhd 10 | post_norm_mul.vhd 11 | pre_norm_div.vhd 12 | serial_div.vhd 13 | post_norm_div.vhd 14 | pre_norm_sqrt.vhd 15 | sqrt.vhd 16 | post_norm_sqrt.vhd 17 | comppack.vhd 18 | fpu.vhd 19 | 20 | ***For simulation **** 21 | To run the simulation read readme.txt in folder test_bench. -------------------------------------------------------------------------------- /asm/jopflash.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | -------------------------------------------------------------------------------- /java/pc/src/yaffs2/port/emulation/yflash_Block.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port.emulation; 2 | 3 | 4 | 5 | public class yflash_Block 6 | { 7 | // typedef struct 8 | // { 9 | yflash_Page[] page; // The pages in the block 10 | 11 | yflash_Block() 12 | { 13 | page = new yflash_Page[yaffs_fileem2k_H.PAGES_PER_BLOCK]; 14 | for (int i = 0; i < page.length; i++) 15 | { 16 | page[i] = new yflash_Page(); 17 | } 18 | } 19 | 20 | // } yflash_Block; 21 | } 22 | -------------------------------------------------------------------------------- /java/pc/src/yaffs2/port/emulation/yramdisk_Block.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port.emulation; 2 | 3 | public class yramdisk_Block 4 | { 5 | // typedef struct 6 | // { 7 | // yramdisk_Page page[32]; // The pages in the block 8 | // 9 | // } yramdisk_Block; 10 | 11 | public yramdisk_Page[] page; 12 | 13 | public yramdisk_Block() 14 | { 15 | page = new yramdisk_Page[32]; 16 | for (int i = 0; i < page.length; i++) 17 | page[i] = new yramdisk_Page(); 18 | } 19 | } 20 | -------------------------------------------------------------------------------- /java/target/src/app/libcsp/csp/util/IDispose.java: -------------------------------------------------------------------------------- 1 | package libcsp.csp.util; 2 | 3 | /** 4 | * This interface should be implemented by "disposable objects" or objects that 5 | * should be returned into a resource pool. 6 | * 7 | * @author Mikkel Todberg, Jeppe Lund Andersen 8 | * 9 | */ 10 | public interface IDispose { 11 | 12 | /** 13 | * Returns an object into its corresponding resource pool. 14 | */ 15 | public void dispose(); 16 | } 17 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/port/yaffs_SuperBlockHeader.java: -------------------------------------------------------------------------------- 1 | package yaffs2.port; 2 | 3 | public class yaffs_SuperBlockHeader 4 | { 5 | /* The static layout of bllock usage etc is stored in the super block header */ 6 | //typedef struct { 7 | int StructType; 8 | int version; 9 | int checkpointStartBlock; 10 | int checkpointEndBlock; 11 | int startBlock; 12 | int endBlock; 13 | int[] rfu = new int[100]; 14 | //} yaffs_SuperBlockHeader; 15 | } 16 | -------------------------------------------------------------------------------- /quartus/cyc12baseio/jop.cdf: -------------------------------------------------------------------------------- 1 | /* Quartus II Version 5.0 Build 148 04/26/2005 SJ Web Edition */ 2 | JedecChain; 3 | FileRevision(JESD32A); 4 | DefaultMfr(6E); 5 | 6 | P ActionCode(Ign) 7 | Device PartName(EPM7064AET44) MfrSpec(OpMask(0) FullPath("../cycconf/cyc_conf_init.pof")); 8 | P ActionCode(Cfg) 9 | Device PartName(EP1C12Q240) Path("./") File("jop.sof") MfrSpec(OpMask(1)); 10 | 11 | ChainEnd; 12 | 13 | AlteraBegin; 14 | ChainType(JTAG); 15 | AlteraEnd; 16 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RawByte.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | 3 | import static javax.safetycritical.annotate.Level.LEVEL_0; 4 | import javax.safetycritical.annotate.SCJAllowed; 5 | 6 | /** 7 | * An interface to a byte accessor object. An accessor object 8 | * encapsulates the protocol required to access a byte in raw 9 | * memory. 10 | * 11 | */ 12 | @SCJAllowed(LEVEL_0) 13 | public interface RawByte extends RawByteRead, RawByteWrite { 14 | 15 | } 16 | -------------------------------------------------------------------------------- /java/target/src/rtapi/test/level1/MyLevel1App.java: -------------------------------------------------------------------------------- 1 | package test.level1; 2 | 3 | import javax.safetycritical.JopSystem; 4 | import javax.safetycritical.Safelet; 5 | 6 | public class MyLevel1App { 7 | 8 | public static void main(String args[]){ 9 | 10 | Safelet s = new Level1Safelet(); 11 | 12 | System.out.println("Safelet created"); 13 | 14 | JopSystem.startMission(s); 15 | 16 | System.out.println("Main method finished"); 17 | } 18 | 19 | 20 | } 21 | -------------------------------------------------------------------------------- /eclipse/joptarget/.classpath: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /java/target/src/app/csp/scj/watchdog/WatchDogAppSCJ.java: -------------------------------------------------------------------------------- 1 | package csp.scj.watchdog; 2 | 3 | import javax.safetycritical.JopSystem; 4 | import javax.safetycritical.Mission; 5 | import javax.safetycritical.Safelet; 6 | 7 | public class WatchDogAppSCJ { 8 | 9 | public static void main(String args[]){ 10 | 11 | Safelet safelet = new WatchDogSaflet(); 12 | 13 | System.out.println("Safelet created"); 14 | 15 | JopSystem.startMission(safelet); 16 | 17 | } 18 | 19 | } 20 | -------------------------------------------------------------------------------- /java/target/src/app/libcsp/csp/core/Node.java: -------------------------------------------------------------------------------- 1 | package libcsp.csp.core; 2 | 3 | import libcsp.csp.interfaces.IMACProtocol; 4 | 5 | // This seems more like the elements of a route, not of a CSP node. 6 | // Probably a CSP node will have 7 | public class Node { 8 | 9 | /* Physical address of the interface to reach the node */ 10 | public byte nextHopMacAddress; 11 | 12 | /* Physical interface to reach the node*/ 13 | public IMACProtocol protocolInterface; 14 | } 15 | -------------------------------------------------------------------------------- /java/jopeclipse/com.jopdesign.jopeclipse/src/com/jopdesign/jopeclipse/internal/ui/launchConfigurations/JOPLaunchConfigurationMessages.java: -------------------------------------------------------------------------------- 1 | package com.jopdesign.jopeclipse.internal.ui.launchConfigurations; 2 | 3 | public class JOPLaunchConfigurationMessages { 4 | public static final String BUNDLE_NAME = "com.jopdesign.jopeclipse.internal.ui.launchConfigurations.JOPLaunchConfigurationMessages"; 5 | 6 | public static final String JOP_BOARDCONFIGURATIONTAB_NAME = "Board Configuration"; 7 | } 8 | -------------------------------------------------------------------------------- /java/target/src/paper/jopscj/friend2/B.java: -------------------------------------------------------------------------------- 1 | package jopscj.friend2; 2 | 3 | import jopscj.friend1.Helper; 4 | import jopscj.friend1.A; 5 | 6 | public class B { 7 | 8 | static Helper help; 9 | static A a = new A(); 10 | 11 | public static void setHelper(Helper h){ 12 | help = h; 13 | } 14 | 15 | public static void someMethod(){ 16 | // Can use M3() because is public 17 | A.baz(); 18 | 19 | // Can use private methods 20 | help.foo(a); 21 | help.bar(a); 22 | } 23 | 24 | } 25 | -------------------------------------------------------------------------------- /testsuite/devel/README: -------------------------------------------------------------------------------- 1 | == testsuite/devel == 2 | It is important to record the test procedure for benchmarks, so the results are easily reproducible by others. 3 | The directory testsuite/devel is intended for tests scripts where the results are manually evaluation. 4 | That is, testsuite/devel should contain all tests which are not ready for the fully automated test suite, but can at least be run automatically by means of a script. Typical candidates are evaluations of new benchmarks, work on papers etc. 5 | -------------------------------------------------------------------------------- /xilinx/ztex/ztex.ut: -------------------------------------------------------------------------------- 1 | 2 | -g DebugBitstream:No 3 | -g Binary:no 4 | -b 5 | -g CRC:Enable 6 | -g ConfigRate:6 7 | -g ProgPin:PullUp 8 | -g DonePin:PullUp 9 | -g TckPin:PullUp 10 | -g TdiPin:PullUp 11 | -g TdoPin:PullUp 12 | -g TmsPin:PullUp 13 | -g UnusedPin:PullDown 14 | -g UserID:0xFFFFFFFF 15 | -g StartUpClk:CCLK 16 | -g DONE_cycle:4 17 | -g GTS_cycle:5 18 | -g GWE_cycle:6 19 | -g LCK_cycle:NoWait 20 | -g Security:None 21 | -g Persist:No 22 | -g ReadBack 23 | -g DonePipe:No 24 | -g DriveDone:Yes 25 | -------------------------------------------------------------------------------- /java/pc/build.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21 | -------------------------------------------------------------------------------- /java/target/src/app/libcsp/csp/core/Port.java: -------------------------------------------------------------------------------- 1 | package libcsp.csp.core; 2 | 3 | /** 4 | * The port class is used to associate a port with a socket. The routing logic 5 | * will be able to use objects of the port class to determine if a given port is 6 | * open upon receiving new packets and retrieve the associated socket. 7 | * 8 | * @author Mikkel Todberg, Jeppe Lund Andersen 9 | * 10 | */ 11 | public class Port { 12 | public SocketCore socket; 13 | public boolean isOpen; 14 | } 15 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/Thrower.java: -------------------------------------------------------------------------------- 1 | package scjlibs; 2 | 3 | public class Thrower implements Runnable{ 4 | 5 | int[] nums; 6 | 7 | @Override 8 | public void run() { 9 | // TODO Auto-generated method stub 10 | 11 | System.out.println("Thrower exec..."); 12 | 13 | if (nums.length > 10) { 14 | throw new PropagatedException(); 15 | } 16 | 17 | System.out.println("Ok"); 18 | 19 | } 20 | 21 | public void setNums(int[] nums){ 22 | this.nums = nums; 23 | } 24 | 25 | } 26 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/ProcessorAffinityException.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | import javax.safetycritical.annotate.SCJAllowed; 3 | import static javax.safetycritical.annotate.Level.LEVEL_1; 4 | 5 | @SCJAllowed(LEVEL_1) 6 | public class ProcessorAffinityException extends java.lang.Exception 7 | { 8 | @SCJAllowed(LEVEL_1) 9 | public ProcessorAffinityException() {}; 10 | 11 | @SCJAllowed(LEVEL_1) 12 | public ProcessorAffinityException(java.lang.String msg) {}; 13 | 14 | } 15 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/JOPDirectInterfaceClient.java: -------------------------------------------------------------------------------- 1 | package yaffs2; 2 | 3 | import yaffs2.port.Dtest_C; 4 | 5 | public class JOPDirectInterfaceClient { 6 | public static void main(String[] args) 7 | { 8 | yaffs2.utils.Globals.startUp( 9 | new yaffs2.platform.jop.Simulation_yaffscfg2k_C(), 10 | new yaffs2.platform.jop.PortConfiguration(), 11 | null); 12 | 13 | yaffs2.utils.Globals.configuration.yaffs_StartUp(); 14 | 15 | Dtest_C.small_overwrite_test("/",1); 16 | } 17 | } 18 | -------------------------------------------------------------------------------- /java/target/src/rtapi/javax/realtime/RawByteArray.java: -------------------------------------------------------------------------------- 1 | package javax.realtime; 2 | 3 | import static javax.safetycritical.annotate.Level.LEVEL_0; 4 | import javax.safetycritical.annotate.SCJAllowed; 5 | 6 | /** 7 | * An interface to a byte array accessor object. An accessor object 8 | * encapsulates the protocol required to access a byte array in raw 9 | * memory. 10 | * 11 | */ 12 | @SCJAllowed(LEVEL_0) 13 | public interface RawByteArray extends RawByteArrayRead, RawByteArrayWrite { 14 | 15 | } 16 | -------------------------------------------------------------------------------- /java/target/src/scjlibs/java/util/VectorTestRunner.java.xxx: -------------------------------------------------------------------------------- 1 | package scjlibs.safeutil; 2 | 3 | import org.junit.runner.JUnitCore; 4 | import org.junit.runner.Result; 5 | import org.junit.runner.notification.Failure; 6 | 7 | public class VectorTestRunner { 8 | 9 | public static void main(String[] args) { 10 | 11 | Result result = JUnitCore.runClasses(VectorTest.class); 12 | 13 | for (Failure failure : result.getFailures()) { 14 | System.out.println(failure.toString()); 15 | } 16 | 17 | } 18 | 19 | } -------------------------------------------------------------------------------- /testsuite/devel/wcet/dev_supergraph1: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | 3 | DIR="$( cd "$( dirname "$0" )" && pwd )" 4 | source "${DIR}/wcet.sh" 5 | 6 | # SuperGraph1 7 | export P1=test;export P2=wcet/devel;export P3=SuperGraph1 8 | echo "[SuperGraph1] $(logfile '$KEY')" >&2 9 | 10 | make_java 11 | make_sim jsim 2079873 12 | make_wcet cs-0-dfa-yes 67370795 CALLSTRING_LENGTH=0 USE_DFA=yes 13 | make_wcet cs-1-dfa-yes 2524044 CALLSTRING_LENGTH=1 USE_DFA=yes 14 | make_wcet cs-2-dfa-yes 2079945 CALLSTRING_LENGTH=2 USE_DFA=yes 15 | -------------------------------------------------------------------------------- /java/target/src/common/yaffs2/utils/Yaffs1NANDInterface.java: -------------------------------------------------------------------------------- 1 | package yaffs2.utils; 2 | 3 | import yaffs2.port.yaffs_Device.eraseBlockInNANDInterface; 4 | import yaffs2.port.yaffs_Device.initialiseNANDInterface; 5 | import yaffs2.port.yaffs_Device.readChunkFromNANDInterface; 6 | import yaffs2.port.yaffs_Device.writeChunkToNANDInterface; 7 | 8 | public interface Yaffs1NANDInterface extends readChunkFromNANDInterface, 9 | eraseBlockInNANDInterface, initialiseNANDInterface, writeChunkToNANDInterface 10 | { 11 | } 12 | -------------------------------------------------------------------------------- /java/target/src/paper/scjlibs/safeutil/VectorTestRunner.java: -------------------------------------------------------------------------------- 1 | package scjlibs.safeutil; 2 | 3 | import org.junit.runner.JUnitCore; 4 | import org.junit.runner.Result; 5 | import org.junit.runner.notification.Failure; 6 | 7 | public class VectorTestRunner { 8 | 9 | public static void main(String[] args) { 10 | 11 | Result result = JUnitCore.runClasses(VectorTest.class); 12 | 13 | for (Failure failure : result.getFailures()) { 14 | System.out.println(failure.toString()); 15 | } 16 | 17 | } 18 | 19 | } -------------------------------------------------------------------------------- /java/target/src/rtapi/test/level0/MyLevel0App.java: -------------------------------------------------------------------------------- 1 | package test.level0; 2 | 3 | import javax.safetycritical.*; 4 | 5 | public class MyLevel0App { 6 | 7 | public static Terminal term; 8 | 9 | public static void main(String args[]) { 10 | 11 | term = Terminal.getTerminal(); 12 | 13 | Level0Safelet s = new Level0Safelet(); 14 | term.writeln("Safelet created"); 15 | 16 | JopSystem js = new JopSystem(); 17 | js.startCycle(s); 18 | 19 | term.writeln("Main method finished"); 20 | } 21 | 22 | } 23 | -------------------------------------------------------------------------------- /java/target/src/scjlibs/java/util/AbstractPoolObject.java: -------------------------------------------------------------------------------- 1 | package java.util; 2 | 3 | /** 4 | * Generic object implementing the PoolObject interface. 5 | * 6 | * @author jrri 7 | * 8 | */ 9 | 10 | public abstract class AbstractPoolObject implements PoolObject{ 11 | 12 | ObjectPool objectPool = null; 13 | 14 | public void setPool(ObjectPool objectPool){ 15 | this.objectPool = objectPool; 16 | } 17 | 18 | public ObjectPool getPool(){ 19 | return objectPool; 20 | } 21 | 22 | 23 | } 24 | -------------------------------------------------------------------------------- /java/target/src/test/rttm/tests/linktime/AnnotationCompileTest.java: -------------------------------------------------------------------------------- 1 | package rttm.tests.linktime; 2 | 3 | import rttm.atomic; 4 | 5 | public class AnnotationCompileTest { 6 | static volatile boolean foo = false; 7 | 8 | /** 9 | * @param args 10 | */ 11 | public static void main(String[] args) { 12 | atomicMethod(); 13 | System.out.println("Finished transactional method."); 14 | System.out.println(foo); 15 | } 16 | 17 | @atomic static void atomicMethod() { 18 | foo = true; 19 | } 20 | 21 | } 22 | -------------------------------------------------------------------------------- /java/tools/src/com/jopdesign/wcet/report/input_overview.vm: -------------------------------------------------------------------------------- 1 | 2 |

Call Graph

3 | 4 |

List of referenced methods

5 |