├── .gitignore ├── .gitmodules ├── LICENSE ├── README.md ├── sim └── Makefile ├── src ├── rtl │ ├── 32saif.tar.gz │ ├── MatrixInputQueue │ │ ├── GrayCounter.v │ │ ├── MatrixInput.v │ │ ├── MatrixInput_sram.v │ │ ├── aFifo.v │ │ ├── aFifo_256x8.v │ │ ├── mux_DRAMorlocal.v │ │ ├── saed32sram.v │ │ ├── test_afifo.v │ │ └── test_afifo_sram.v │ ├── MultiplyAccumulateCell.v │ ├── MultiplyAccumulateMatrix.v │ ├── ShiftRegister.v │ ├── SystolicDataSetupCol.v │ ├── SystolicDataSetupRow.v │ ├── Top.sv │ ├── TopTest.sv │ ├── XdataSetup.v │ ├── fsdb │ │ └── features_layer9_fire_squeeze_Conv2D_eightbit_quantized_conv_1.fsdb │ ├── novas.fsdb │ ├── tb_Top.v │ ├── test.sig │ ├── test.svh │ ├── top.v │ └── untar.README ├── tests │ ├── Makefile │ ├── MultiplyAccumulateCell_tb.py │ ├── tb_SystolicDataSetup.v │ ├── tb_SystolicDataSetupCol.v │ └── verilog │ │ ├── run │ │ ├── tb_ShiftRegister │ │ ├── INCA_libs │ │ │ ├── .history.lock │ │ │ ├── history │ │ │ ├── irun.lnx8664.15.22.nc │ │ │ │ ├── .nclib.lock │ │ │ │ ├── .ncrun.lock │ │ │ │ ├── .ncv.lock │ │ │ │ ├── .timestamp.ts │ │ │ │ ├── OVMHOME │ │ │ │ ├── UVMHOME │ │ │ │ ├── bind.lst.lnx8664 │ │ │ │ ├── cds.lib │ │ │ │ ├── cdsrun.lib │ │ │ │ ├── files.ts │ │ │ │ ├── hdl.var │ │ │ │ ├── hdlrun.var │ │ │ │ ├── ncelab.args │ │ │ │ ├── ncelab.env │ │ │ │ ├── ncelab.hrd │ │ │ │ ├── ncsim.args │ │ │ │ ├── ncsim.env │ │ │ │ ├── ncsim_restart.args │ │ │ │ ├── ncsim_restart.env │ │ │ │ ├── ncverilog.args │ │ │ │ ├── ncvlog.args │ │ │ │ ├── ncvlog.env │ │ │ │ ├── ncvlog.files │ │ │ │ ├── ncvlog.hrd │ │ │ │ ├── scv-asramkum01.csg.apple.com_11846_cdsrun.lib │ │ │ │ ├── scv-asramkum01.csg.apple.com_11846_hdlrun.var │ │ │ │ ├── scv-asramkum01.csg.apple.com_12119_cdsrun.lib │ │ │ │ ├── scv-asramkum01.csg.apple.com_12119_hdlrun.var │ │ │ │ ├── scv-asramkum01.csg.apple.com_4362_cdsrun.lib │ │ │ │ ├── scv-asramkum01.csg.apple.com_4362_hdlrun.var │ │ │ │ ├── scv-asramkum01.csg.apple.com_7225_cdsrun.lib │ │ │ │ ├── scv-asramkum01.csg.apple.com_7225_hdlrun.var │ │ │ │ ├── scv-asramkum01.csg.apple.com_8359_cdsrun.lib │ │ │ │ ├── scv-asramkum01.csg.apple.com_8359_hdlrun.var │ │ │ │ ├── scv-asramkum01.csg.apple.com_8830_cdsrun.lib │ │ │ │ └── scv-asramkum01.csg.apple.com_8830_hdlrun.var │ │ │ ├── snap.nc │ │ │ │ ├── .nclib.lock │ │ │ │ ├── .ncrun.lock │ │ │ │ ├── .ncv.lock │ │ │ │ ├── .timestamp.ts │ │ │ │ ├── OVMHOME │ │ │ │ ├── UVMHOME │ │ │ │ ├── bind.lst.lnx8664 │ │ │ │ ├── cds.lib │ │ │ │ ├── cdsrun.lib │ │ │ │ ├── files.ts │ │ │ │ ├── hdl.var │ │ │ │ ├── hdlrun.var │ │ │ │ ├── ncelab.args │ │ │ │ ├── ncelab.env │ │ │ │ ├── ncelab.hrd │ │ │ │ ├── ncsim.args │ │ │ │ ├── ncsim.env │ │ │ │ ├── ncsim_restart.args │ │ │ │ ├── ncsim_restart.env │ │ │ │ ├── ncverilog.args │ │ │ │ ├── ncvlog.args │ │ │ │ ├── ncvlog.env │ │ │ │ ├── ncvlog.files │ │ │ │ ├── ncvlog.hrd │ │ │ │ ├── scv-asramkum01.csg.apple.com_11846_cdsrun.lib │ │ │ │ ├── scv-asramkum01.csg.apple.com_11846_hdlrun.var │ │ │ │ ├── scv-asramkum01.csg.apple.com_12119_cdsrun.lib │ │ │ │ ├── scv-asramkum01.csg.apple.com_12119_hdlrun.var │ │ │ │ ├── scv-asramkum01.csg.apple.com_4362_cdsrun.lib │ │ │ │ ├── scv-asramkum01.csg.apple.com_4362_hdlrun.var │ │ │ │ ├── scv-asramkum01.csg.apple.com_7225_cdsrun.lib │ │ │ │ ├── scv-asramkum01.csg.apple.com_7225_hdlrun.var │ │ │ │ ├── scv-asramkum01.csg.apple.com_8359_cdsrun.lib │ │ │ │ ├── scv-asramkum01.csg.apple.com_8359_hdlrun.var │ │ │ │ ├── scv-asramkum01.csg.apple.com_8830_cdsrun.lib │ │ │ │ └── scv-asramkum01.csg.apple.com_8830_hdlrun.var │ │ │ └── worklib │ │ │ │ ├── .cdsvmod │ │ │ │ ├── .inca.db.061.lnx8664 │ │ │ │ ├── cdsinfo.tag │ │ │ │ └── inca.lnx8664.061.pak │ │ ├── ShiftRegister.vcd │ │ ├── include.f │ │ ├── ncverilog.history │ │ ├── sx_saved.sx │ │ ├── tb_ShiftRegister.v │ │ └── tb_ShiftRegister.v~ │ │ ├── tb_SystolicDataSetup.v~ │ │ ├── tb_SystolicDataSetup │ │ ├── include.f │ │ └── tb_SystolicDataSetup.v │ │ ├── tb_SystolicDataSetupCol │ │ ├── include.colf │ │ └── tb_SystolicDataSetupCol.v │ │ └── tb_XdataSetup │ │ ├── INCA_libs │ │ ├── .history.lock │ │ ├── history │ │ ├── irun.lnx8664.15.22.nc │ │ │ ├── .nclib.lock │ │ │ ├── .ncrun.lock │ │ │ ├── .ncv.lock │ │ │ ├── .timestamp.ts │ │ │ ├── OVMHOME │ │ │ ├── UVMHOME │ │ │ ├── bind.lst.lnx8664 │ │ │ ├── cds.lib │ │ │ ├── cdsrun.lib │ │ │ ├── files.ts │ │ │ ├── hdl.var │ │ │ ├── hdlrun.var │ │ │ ├── ncelab.args │ │ │ ├── ncelab.env │ │ │ ├── ncelab.hrd │ │ │ ├── ncsim.args │ │ │ ├── ncsim.env │ │ │ ├── ncsim_restart.args │ │ │ ├── ncsim_restart.env │ │ │ ├── ncverilog.args │ │ │ ├── ncvlog.args │ │ │ ├── ncvlog.env │ │ │ ├── ncvlog.files │ │ │ ├── ncvlog.hrd │ │ │ ├── scv-asramkum01.csg.apple.com_5315_cdsrun.lib │ │ │ └── scv-asramkum01.csg.apple.com_5315_hdlrun.var │ │ ├── snap.nc │ │ │ ├── .nclib.lock │ │ │ ├── .ncrun.lock │ │ │ ├── .ncv.lock │ │ │ ├── .timestamp.ts │ │ │ ├── OVMHOME │ │ │ ├── UVMHOME │ │ │ ├── bind.lst.lnx8664 │ │ │ ├── cds.lib │ │ │ ├── cdsrun.lib │ │ │ ├── files.ts │ │ │ ├── hdl.var │ │ │ ├── hdlrun.var │ │ │ ├── ncelab.args │ │ │ ├── ncelab.env │ │ │ ├── ncelab.hrd │ │ │ ├── ncsim.args │ │ │ ├── ncsim.env │ │ │ ├── ncsim_restart.args │ │ │ ├── ncsim_restart.env │ │ │ ├── ncverilog.args │ │ │ ├── ncvlog.args │ │ │ ├── ncvlog.env │ │ │ ├── ncvlog.files │ │ │ ├── ncvlog.hrd │ │ │ ├── scv-asramkum01.csg.apple.com_5315_cdsrun.lib │ │ │ └── scv-asramkum01.csg.apple.com_5315_hdlrun.var │ │ └── worklib │ │ │ ├── .cdsvmod │ │ │ ├── .inca.db.061.lnx8664 │ │ │ ├── cdsinfo.tag │ │ │ └── inca.lnx8664.061.pak │ │ ├── include.f │ │ └── ncverilog.history └── tf │ ├── collect_model_data.py │ ├── keras_profiling.py │ └── test_quantization.py └── syn ├── scripts ├── common │ ├── common_setup.tcl │ ├── dont_use.tcl │ ├── rtl.tcl │ └── saed32.tcl └── dc │ ├── dc.tcl │ ├── dc_setup.tcl │ ├── dc_setup_filenames.tcl │ ├── dc_top.tcl │ └── snpsll_hpdu_synth.tcl └── sdc └── SystolicArray.sdc /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/.gitignore -------------------------------------------------------------------------------- /.gitmodules: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/.gitmodules -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/LICENSE -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/README.md -------------------------------------------------------------------------------- /sim/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/sim/Makefile -------------------------------------------------------------------------------- /src/rtl/32saif.tar.gz: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/32saif.tar.gz -------------------------------------------------------------------------------- /src/rtl/MatrixInputQueue/GrayCounter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MatrixInputQueue/GrayCounter.v -------------------------------------------------------------------------------- /src/rtl/MatrixInputQueue/MatrixInput.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MatrixInputQueue/MatrixInput.v -------------------------------------------------------------------------------- /src/rtl/MatrixInputQueue/MatrixInput_sram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MatrixInputQueue/MatrixInput_sram.v -------------------------------------------------------------------------------- /src/rtl/MatrixInputQueue/aFifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MatrixInputQueue/aFifo.v -------------------------------------------------------------------------------- /src/rtl/MatrixInputQueue/aFifo_256x8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MatrixInputQueue/aFifo_256x8.v -------------------------------------------------------------------------------- /src/rtl/MatrixInputQueue/mux_DRAMorlocal.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MatrixInputQueue/mux_DRAMorlocal.v -------------------------------------------------------------------------------- /src/rtl/MatrixInputQueue/saed32sram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MatrixInputQueue/saed32sram.v -------------------------------------------------------------------------------- /src/rtl/MatrixInputQueue/test_afifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MatrixInputQueue/test_afifo.v -------------------------------------------------------------------------------- /src/rtl/MatrixInputQueue/test_afifo_sram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MatrixInputQueue/test_afifo_sram.v -------------------------------------------------------------------------------- /src/rtl/MultiplyAccumulateCell.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MultiplyAccumulateCell.v -------------------------------------------------------------------------------- /src/rtl/MultiplyAccumulateMatrix.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/MultiplyAccumulateMatrix.v -------------------------------------------------------------------------------- /src/rtl/ShiftRegister.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/ShiftRegister.v -------------------------------------------------------------------------------- /src/rtl/SystolicDataSetupCol.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/SystolicDataSetupCol.v -------------------------------------------------------------------------------- /src/rtl/SystolicDataSetupRow.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/SystolicDataSetupRow.v -------------------------------------------------------------------------------- /src/rtl/Top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/Top.sv -------------------------------------------------------------------------------- /src/rtl/TopTest.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/TopTest.sv -------------------------------------------------------------------------------- /src/rtl/XdataSetup.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/XdataSetup.v -------------------------------------------------------------------------------- /src/rtl/fsdb/features_layer9_fire_squeeze_Conv2D_eightbit_quantized_conv_1.fsdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/fsdb/features_layer9_fire_squeeze_Conv2D_eightbit_quantized_conv_1.fsdb -------------------------------------------------------------------------------- /src/rtl/novas.fsdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/novas.fsdb -------------------------------------------------------------------------------- /src/rtl/tb_Top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/tb_Top.v -------------------------------------------------------------------------------- /src/rtl/test.sig: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/test.sig -------------------------------------------------------------------------------- /src/rtl/test.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/test.svh -------------------------------------------------------------------------------- /src/rtl/top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/rtl/top.v -------------------------------------------------------------------------------- /src/rtl/untar.README: -------------------------------------------------------------------------------- 1 | tar -zxvf : To Extract 2 | -------------------------------------------------------------------------------- /src/tests/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/Makefile -------------------------------------------------------------------------------- /src/tests/MultiplyAccumulateCell_tb.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/MultiplyAccumulateCell_tb.py -------------------------------------------------------------------------------- /src/tests/tb_SystolicDataSetup.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/tb_SystolicDataSetup.v -------------------------------------------------------------------------------- /src/tests/tb_SystolicDataSetupCol.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/tb_SystolicDataSetupCol.v -------------------------------------------------------------------------------- /src/tests/verilog/run: -------------------------------------------------------------------------------- 1 | vcs -full64 -sverilog -f include.f -top MultiplyAccumulateMatrix 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/.history.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/history: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/history -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/.nclib.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/.ncrun.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/.ncv.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/.timestamp.ts: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/.timestamp.ts -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/OVMHOME: -------------------------------------------------------------------------------- 1 | (null) 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/UVMHOME: -------------------------------------------------------------------------------- 1 | (null) 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/bind.lst.lnx8664: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/bind.lst.lnx8664 -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/cds.lib: -------------------------------------------------------------------------------- 1 | include ./cdsrun.lib 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/files.ts: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/files.ts -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/hdl.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/hdl.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncelab.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncelab.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncelab.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncelab.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncelab.hrd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncelab.hrd -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncsim.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncsim.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncsim.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncsim.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncsim_restart.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncsim_restart.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncsim_restart.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncsim_restart.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncverilog.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncverilog.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.files: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.files -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.hrd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.hrd -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_11846_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_11846_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_11846_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_11846_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_12119_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_12119_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_12119_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_12119_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_4362_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_4362_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_4362_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_4362_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_7225_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_7225_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_7225_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_7225_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_8359_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_8359_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_8359_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_8359_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_8830_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_8830_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_8830_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_8830_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/.nclib.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/.ncrun.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/.ncv.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/.timestamp.ts: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/.timestamp.ts -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/OVMHOME: -------------------------------------------------------------------------------- 1 | (null) 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/UVMHOME: -------------------------------------------------------------------------------- 1 | (null) 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/bind.lst.lnx8664: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/bind.lst.lnx8664 -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/cds.lib: -------------------------------------------------------------------------------- 1 | include ./cdsrun.lib 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/files.ts: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/files.ts -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/hdl.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/hdl.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncelab.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncelab.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncelab.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncelab.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncelab.hrd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncelab.hrd -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncsim.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncsim.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncsim.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncsim.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncsim_restart.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncsim_restart.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncsim_restart.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncsim_restart.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncverilog.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncverilog.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncvlog.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncvlog.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncvlog.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncvlog.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncvlog.files: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncvlog.files -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncvlog.hrd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/ncvlog.hrd -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_11846_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_11846_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_11846_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_11846_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_12119_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_12119_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_12119_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_12119_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_4362_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_4362_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_4362_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_4362_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_7225_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_7225_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_7225_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_7225_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_8359_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_8359_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_8359_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_8359_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_8830_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_8830_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_8830_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_8830_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/worklib/.cdsvmod: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/worklib/.inca.db.061.lnx8664: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/worklib/.inca.db.061.lnx8664 -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/worklib/cdsinfo.tag: -------------------------------------------------------------------------------- 1 | CDSLIBRARY 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/INCA_libs/worklib/inca.lnx8664.061.pak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/INCA_libs/worklib/inca.lnx8664.061.pak -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/ShiftRegister.vcd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/ShiftRegister.vcd -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/include.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/include.f -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/ncverilog.history: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/ncverilog.history -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/sx_saved.sx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/sx_saved.sx -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/tb_ShiftRegister.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/tb_ShiftRegister.v -------------------------------------------------------------------------------- /src/tests/verilog/tb_ShiftRegister/tb_ShiftRegister.v~: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_ShiftRegister/tb_ShiftRegister.v~ -------------------------------------------------------------------------------- /src/tests/verilog/tb_SystolicDataSetup.v~: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_SystolicDataSetup.v~ -------------------------------------------------------------------------------- /src/tests/verilog/tb_SystolicDataSetup/include.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_SystolicDataSetup/include.f -------------------------------------------------------------------------------- /src/tests/verilog/tb_SystolicDataSetup/tb_SystolicDataSetup.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_SystolicDataSetup/tb_SystolicDataSetup.v -------------------------------------------------------------------------------- /src/tests/verilog/tb_SystolicDataSetupCol/include.colf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_SystolicDataSetupCol/include.colf -------------------------------------------------------------------------------- /src/tests/verilog/tb_SystolicDataSetupCol/tb_SystolicDataSetupCol.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_SystolicDataSetupCol/tb_SystolicDataSetupCol.v -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/.history.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/history: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/history -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/.nclib.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/.ncrun.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/.ncv.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/.timestamp.ts: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/.timestamp.ts -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/OVMHOME: -------------------------------------------------------------------------------- 1 | (null) 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/UVMHOME: -------------------------------------------------------------------------------- 1 | (null) 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/bind.lst.lnx8664: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/bind.lst.lnx8664 -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/cds.lib: -------------------------------------------------------------------------------- 1 | include ./cdsrun.lib 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/files.ts: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/files.ts -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/hdl.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/hdl.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncelab.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncelab.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncelab.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncelab.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncelab.hrd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncelab.hrd -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncsim.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncsim.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncsim.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncsim.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncsim_restart.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncsim_restart.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncsim_restart.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncsim_restart.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncverilog.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncverilog.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.files: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.files -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.hrd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/ncvlog.hrd -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_5315_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_5315_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_5315_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/irun.lnx8664.15.22.nc/scv-asramkum01.csg.apple.com_5315_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/.nclib.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/.ncrun.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/.ncv.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/.timestamp.ts: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/.timestamp.ts -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/OVMHOME: -------------------------------------------------------------------------------- 1 | (null) 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/UVMHOME: -------------------------------------------------------------------------------- 1 | (null) 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/bind.lst.lnx8664: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/bind.lst.lnx8664 -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/cds.lib: -------------------------------------------------------------------------------- 1 | include ./cdsrun.lib 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/files.ts: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/files.ts -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/hdl.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/hdl.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncelab.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncelab.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncelab.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncelab.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncelab.hrd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncelab.hrd -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncsim.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncsim.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncsim.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncsim.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncsim_restart.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncsim_restart.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncsim_restart.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncsim_restart.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncverilog.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncverilog.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncvlog.args: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncvlog.args -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncvlog.env: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncvlog.env -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncvlog.files: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncvlog.files -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncvlog.hrd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/ncvlog.hrd -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_5315_cdsrun.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_5315_cdsrun.lib -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_5315_hdlrun.var: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/snap.nc/scv-asramkum01.csg.apple.com_5315_hdlrun.var -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/worklib/.cdsvmod: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/worklib/.inca.db.061.lnx8664: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/worklib/.inca.db.061.lnx8664 -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/worklib/cdsinfo.tag: -------------------------------------------------------------------------------- 1 | CDSLIBRARY 2 | -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/INCA_libs/worklib/inca.lnx8664.061.pak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/INCA_libs/worklib/inca.lnx8664.061.pak -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/include.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/include.f -------------------------------------------------------------------------------- /src/tests/verilog/tb_XdataSetup/ncverilog.history: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tests/verilog/tb_XdataSetup/ncverilog.history -------------------------------------------------------------------------------- /src/tf/collect_model_data.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tf/collect_model_data.py -------------------------------------------------------------------------------- /src/tf/keras_profiling.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tf/keras_profiling.py -------------------------------------------------------------------------------- /src/tf/test_quantization.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/src/tf/test_quantization.py -------------------------------------------------------------------------------- /syn/scripts/common/common_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/syn/scripts/common/common_setup.tcl -------------------------------------------------------------------------------- /syn/scripts/common/dont_use.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/syn/scripts/common/dont_use.tcl -------------------------------------------------------------------------------- /syn/scripts/common/rtl.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/syn/scripts/common/rtl.tcl -------------------------------------------------------------------------------- /syn/scripts/common/saed32.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/syn/scripts/common/saed32.tcl -------------------------------------------------------------------------------- /syn/scripts/dc/dc.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/syn/scripts/dc/dc.tcl -------------------------------------------------------------------------------- /syn/scripts/dc/dc_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/syn/scripts/dc/dc_setup.tcl -------------------------------------------------------------------------------- /syn/scripts/dc/dc_setup_filenames.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/syn/scripts/dc/dc_setup_filenames.tcl -------------------------------------------------------------------------------- /syn/scripts/dc/dc_top.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/syn/scripts/dc/dc_top.tcl -------------------------------------------------------------------------------- /syn/scripts/dc/snpsll_hpdu_synth.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/syn/scripts/dc/snpsll_hpdu_synth.tcl -------------------------------------------------------------------------------- /syn/sdc/SystolicArray.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/kkiningh/cs231n-project/HEAD/syn/sdc/SystolicArray.sdc --------------------------------------------------------------------------------