├── Export ├── Altium_OpenCartGBA_2024-06-17 │ └── OpenCartGBA │ │ └── FRAM Save │ │ ├── FRAM Save │ │ └── 1_P1.schdoc │ │ └── PCB1.pcbdoc ├── JLCEDA(Professional) - V2.2.21 │ └── ProPrj_OpenCartGBA_2024-06-17.epro └── PADS_OpenCartGBA_2024-06-17 │ └── OpenCartGBA │ └── FRAM Save │ ├── FRAM Save │ └── FRAM Save.txt │ └── PCB1.asc ├── LCEDA ├── FONT │ ├── MjlhNzBmNjExY2IyNDU4Y2IwZTY3NDMxM2QyNmIxMjNfMA==.efon │ ├── Nzg3ZjI0MjRiZTJkNGMxYmJlZDU5NzE4N2IxNjAyMWZfMA==.efon │ ├── OTUyZWI1NWE1ZjI0NDQ1MWE2YjkwYjA2ODI4YzQxN2RfMA==.efon │ └── YmNmZWUxMDdmOGU2NGFmZTg2NjJiNDVmOGRiNDNlOTlfMA==.efon ├── FOOTPRINT │ ├── 1c42373de2fc40ae89ea6e706dbf6393.efoo │ ├── 1f34bf38fa92457b9e9f2b7aa89487d5.efoo │ ├── 2d1ffb1f9ce24723b7031eadb1a32107.efoo │ ├── 3bea3ec32f9a488cb63008b3014408d0.efoo │ ├── 40676f7cae2644ebb21c16b600f6f1dd.efoo │ ├── 447ee8ea4d4b4dd6b83cbb054ac7e9ab.efoo │ ├── 44d23b0f72b843f68f72c8a143a547de.efoo │ ├── 4b8930ab2f0644c58975bed2ba939036.efoo │ ├── 4e43d422063846d6bfacaa765a10ace1.efoo │ ├── 5d49c1a5c7234c19a83664c84ae4b190.efoo │ ├── 63ef532b45f54c18ba11bbad4f5dd530.efoo │ ├── 65382169c9634ae3bb379256bf4a61f0.efoo │ ├── 780cfe4757d946da8e712a249ae57da9.efoo │ ├── 817eda99a9224d3a9aacb1dc559fda1c.efoo │ ├── 851adf84037a4b2a91cb670462569f42.efoo │ ├── 8a71817230f64376a83fef0f32070e1a.efoo │ ├── 90edee8e77ef4fa284b24cf74b80e24c.efoo │ ├── a9ebeb668ad449b7a9b2706ae50f46ae.efoo │ ├── ac44d11bbfd94a648b481b240edb706f.efoo │ ├── b7ea72dc044c4270b2fefe6c1487971b.efoo │ ├── c92e2b42843940799017dc96ff29cfbc.efoo │ ├── ce094245322f49d595b5ee3259dd057b.efoo │ ├── d947e18d52a34e3db8790e227e2bdb88.efoo │ ├── da9019920e5346a1b19688edcd17fd01.efoo │ └── efa8c972e02e404fb4a62645cd2c12d3.efoo ├── PCB │ ├── 29a70f611cb2458cb0e674313d26b123.epcb │ └── 787f2424be2d4c1bbed597187b16021f.epcb ├── POUR │ ├── MjlhNzBmNjExY2IyNDU4Y2IwZTY3NDMxM2QyNmIxMjNfMA==.ecop │ ├── Nzg3ZjI0MjRiZTJkNGMxYmJlZDU5NzE4N2IxNjAyMWZfMA==.ecop │ ├── OTUyZWI1NWE1ZjI0NDQ1MWE2YjkwYjA2ODI4YzQxN2RfMA==.ecop │ ├── YmNmZWUxMDdmOGU2NGFmZTg2NjJiNDVmOGRiNDNlOTlfMA==.ecop │ ├── YzIxZjc0N2EzYmRkNDY0YTk4YWZiNGI5MjE4OGFmZjlfMA==.ecop │ ├── ZGQ3ODcwZGI1NTEyNDk0NTllZDgwNTNlMmMzYzA1MmFfMA==.ecop │ └── ZjU1Mzg0MTMzMDM4NGMzN2JiNTQ2YmI5MTljYjY4YmNfMA==.ecop ├── SHEET │ ├── 69648f301ecb42dea9510d0bc8cc459c │ │ └── 1.esch │ └── e5006e6097224d1484c033315c43c4c3 │ │ └── 1.esch ├── SYMBOL │ ├── 17f584f91a0f4368b6fc42113c66a46c.esym │ ├── 206d0284f7ae4dfeb7173f477471404a.esym │ ├── 226b9a140d4244159cf58e78e4ea3be6.esym │ ├── 24427a2a35c9429cb3644da09b2afd1d.esym │ ├── 287eea2c9b904fb1b454ce32d158bb19.esym │ ├── 29c6bfc75c3b4025a921003f421a860a.esym │ ├── 2ea15a4a22b64559964350357467639b.esym │ ├── 3239f28c1c694f56bf8df9b592299b85.esym │ ├── 39a3bcc2ed654cfba8ee7e5579b2de81.esym │ ├── 4f68495ce0544a8fba994a4a865b9405.esym │ ├── 52ab7d8a4d0f4105b52a5ead4cab5da0.esym │ ├── 5498831feab84a2a807d532daf8236bc.esym │ ├── 5682e4b53de8497592ff2df382d9d64d.esym │ ├── 660a8d0a822842e98f7e7add13257895.esym │ ├── 6b11eaabd7eb4c98a59ba632b4e48dd9.esym │ ├── 7c0bf2b1ea80485499409af98f730f83.esym │ ├── 85b7cae620de49b491e34c18cbc6ee63.esym │ ├── 8b308a194ff64c5e9bb5fec2c8e63e1a.esym │ ├── 9450c34db64948c398295782a541ff11.esym │ ├── bb2f3a74f11a4d679126d37474e43406.esym │ ├── bf239831c3de4c56953c7770046a2f63.esym │ ├── bf5a1e6e59274de383a89a6bbf496cc7.esym │ ├── c8096169eefb4f98b32418807e509f99.esym │ ├── ca095a71eff34221bf560159ba0e5644.esym │ ├── ca151b5fe11c45ae83afbb29197e659a.esym │ ├── de843ea25ca34d888d750e0f6f4844a1.esym │ ├── e940d67deed347a882cd6979563c2518.esym │ └── ed66be57d2ed404ba86ddc7e89540432.esym └── project.json ├── LICENSE ├── QuartusII ├── .gitignore ├── opencartgba.qpf ├── opencartgba.qsf ├── opencartgba.vhd └── opencartgba.vwf └── README.md /Export/JLCEDA(Professional) - V2.2.21/ProPrj_OpenCartGBA_2024-06-17.epro: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/laqieer/opencartgba/2bb1ca53ed9e7ab30fc19eae148e27af00c9e659/Export/JLCEDA(Professional) - V2.2.21/ProPrj_OpenCartGBA_2024-06-17.epro -------------------------------------------------------------------------------- /Export/PADS_OpenCartGBA_2024-06-17/OpenCartGBA/FRAM Save/FRAM Save/FRAM Save.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/laqieer/opencartgba/2bb1ca53ed9e7ab30fc19eae148e27af00c9e659/Export/PADS_OpenCartGBA_2024-06-17/OpenCartGBA/FRAM Save/FRAM Save/FRAM Save.txt -------------------------------------------------------------------------------- /Export/PADS_OpenCartGBA_2024-06-17/OpenCartGBA/FRAM Save/PCB1.asc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/laqieer/opencartgba/2bb1ca53ed9e7ab30fc19eae148e27af00c9e659/Export/PADS_OpenCartGBA_2024-06-17/OpenCartGBA/FRAM Save/PCB1.asc -------------------------------------------------------------------------------- /LCEDA/FONT/MjlhNzBmNjExY2IyNDU4Y2IwZTY3NDMxM2QyNmIxMjNfMA==.efon: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FONT","1.4"] -------------------------------------------------------------------------------- /LCEDA/FONT/Nzg3ZjI0MjRiZTJkNGMxYmJlZDU5NzE4N2IxNjAyMWZfMA==.efon: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FONT","1.4"] -------------------------------------------------------------------------------- /LCEDA/FONT/OTUyZWI1NWE1ZjI0NDQ1MWE2YjkwYjA2ODI4YzQxN2RfMA==.efon: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FONT","1.4"] -------------------------------------------------------------------------------- /LCEDA/FONT/YmNmZWUxMDdmOGU2NGFmZTg2NjJiNDVmOGRiNDNlOTlfMA==.efon: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FONT","1.4"] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/1c42373de2fc40ae89ea6e706dbf6393.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["POLY","ie1",0,"",3,7.874,[38.9763,9.76376,"L",38.9763,20.66925],0] 25 | ["POLY","ie2",0,"",3,7.874,[38.9763,-20.66925,"L",38.9763,-9.76376],0] 26 | ["POLY","ie3",0,"",3,7.874,[38.9763,20.66925,"L",28.85821,20.66925],0] 27 | ["POLY","ie4",0,"",9,3.937,[-19.685,-9.8425,"L",-19.685,9.8425],0] 28 | ["POLY","ie5",0,"",9,3.937,[-19.685,9.8425,"L",19.685,9.8425],0] 29 | ["POLY","ie6",0,"",9,3.937,[19.685,9.8425,"L",19.685,-9.8425],0] 30 | ["POLY","ie7",0,"",9,3.937,[19.685,-9.8425,"L",-19.685,-9.8425],0] 31 | ["POLY","ie8",0,"",3,7.874,[-38.9763,20.66925,"L",-28.85821,20.66925],0] 32 | ["POLY","ie9",0,"",3,7.874,[-38.9763,9.76376,"L",-38.9763,20.66925],0] 33 | ["POLY","ie10",0,"",3,7.874,[-38.9763,-20.66925,"L",-38.9763,-9.76376],0] 34 | ["POLY","ie11",0,"",3,7.874,[38.9763,-20.66925,"L",28.07081,-20.66925],0] 35 | ["POLY","ie12",0,"",3,7.874,[-28.07081,-20.66925,"L",-38.9763,-20.66925],0] 36 | ["POLY","ie13",0,"",3,7.874,[13.11021,20.66925,"L",-13.11021,20.66925],0] 37 | ["POLY","ie14",0,"",3,7.874,[12.32281,-20.66925,"L",-13.89761,-20.66925],0] 38 | ["PAD","ie15",0,"",1,"2",19.09445,0,0,null,["RECT",23.2283,25.1968,50],[],0,0,90,1,0,0,0,0,0,0] 39 | ["PAD","ie16",0,"",1,"1",-19.09445,0,0,null,["RECT",23.2283,25.1968,50],[],0,0,90,1,0,0,0,0,0,0] 40 | ["ATTR","ie17",0,"",3,null,null,"Footprint","Custom:R_0402_AGB-Opt",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 41 | ["ATTR","ie18",0,"",3,null,null,"Designator","R?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 42 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/1f34bf38fa92457b9e9f2b7aa89487d5.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 5 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 6 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 7 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 8 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 9 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 10 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 11 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 12 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 13 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 14 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 15 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 16 | ["LAYER",15,"SIGNAL","Inner1",0,"#999966",1,"#4c4c33",1] 17 | ["LAYER",16,"SIGNAL","Inner2",0,"#008000",1,"#004000",1] 18 | ["LAYER",17,"SIGNAL","Inner3",0,"#00ff00",1,"#007f00",1] 19 | ["LAYER",18,"SIGNAL","Inner4",0,"#bc8e00",1,"#5e4700",1] 20 | ["LAYER",19,"SIGNAL","Inner5",0,"#70dbfa",1,"#386d7d",1] 21 | ["LAYER",20,"SIGNAL","Inner6",0,"#00cc66",1,"#006633",1] 22 | ["LAYER",21,"SIGNAL","Inner7",0,"#9966ff",1,"#4c337f",1] 23 | ["LAYER",22,"SIGNAL","Inner8",0,"#800080",1,"#400040",1] 24 | ["LAYER",23,"SIGNAL","Inner9",0,"#008080",1,"#004040",1] 25 | ["LAYER",24,"SIGNAL","Inner10",0,"#15935f",1,"#0a492f",1] 26 | ["LAYER",25,"SIGNAL","Inner11",0,"#000080",1,"#000040",1] 27 | ["LAYER",26,"SIGNAL","Inner12",0,"#00b400",1,"#005a00",1] 28 | ["LAYER",27,"SIGNAL","Inner13",0,"#2e4756",1,"#17232b",1] 29 | ["LAYER",28,"SIGNAL","Inner14",0,"#99842f",1,"#4c4217",1] 30 | ["LAYER",29,"SIGNAL","Inner15",0,"#ffffaa",1,"#7f7f55",1] 31 | ["LAYER",30,"SIGNAL","Inner16",0,"#99842f",1,"#4c4217",1] 32 | ["LAYER",31,"SIGNAL","Inner17",0,"#2e4756",1,"#17232b",1] 33 | ["LAYER",32,"SIGNAL","Inner18",0,"#3535ff",1,"#1a1a7f",1] 34 | ["LAYER",33,"SIGNAL","Inner19",0,"#8000bc",1,"#40005e",1] 35 | ["LAYER",34,"SIGNAL","Inner20",0,"#43ae5f",1,"#21572f",1] 36 | ["LAYER",35,"SIGNAL","Inner21",0,"#c3ecce",1,"#617667",1] 37 | ["LAYER",36,"SIGNAL","Inner22",0,"#728978",1,"#39443c",1] 38 | ["LAYER",37,"SIGNAL","Inner23",0,"#39503f",1,"#1c281f",1] 39 | ["LAYER",38,"SIGNAL","Inner24",0,"#0c715d",1,"#06382e",1] 40 | ["LAYER",39,"SIGNAL","Inner25",0,"#5a8a80",1,"#2d4540",1] 41 | ["LAYER",40,"SIGNAL","Inner26",0,"#2b937e",1,"#15493f",1] 42 | ["LAYER",41,"SIGNAL","Inner27",0,"#23999d",1,"#114c4e",1] 43 | ["LAYER",42,"SIGNAL","Inner28",0,"#45b4e3",1,"#225a71",1] 44 | ["LAYER",43,"SIGNAL","Inner29",0,"#215da1",1,"#102e50",1] 45 | ["LAYER",44,"SIGNAL","Inner30",0,"#4564d7",1,"#22326b",1] 46 | ["LAYER",45,"SIGNAL","Inner31",0,"#6969e9",1,"#343474",1] 47 | ["LAYER",46,"SIGNAL","Inner32",0,"#9069e9",1,"#483474",1] 48 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 49 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 50 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 51 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 52 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 53 | ["LAYER",52,"COMPONENT_MODEL","Component Model Layer",0,"#ffffff",1,"#7f7f7f",1] 54 | ["LAYER",53,"3D_SHELL_OUTLINE","3D Shell Outline Layer",0,"#66ff99",1,"#337f4c",1] 55 | ["LAYER",54,"3D_SHELL_TOP","3D Shell Top Layer",0,"#ffccff",1,"#7f667f",1] 56 | ["LAYER",55,"3D_SHELL_BOTTOM","3D Shell Bottom Layer",0,"#0066cc",1,"#003366",1] 57 | ["LAYER",56,"DRILL_DRAWING","Drill Drawing Layer",0,"#99ffff",1,"#4c7f7f",1] 58 | ["LAYER",71,"CUSTOM","Custom1",0,"#9069e9",1,"#483474",1] 59 | ["LAYER",72,"CUSTOM","Custom2",0,"#6969e9",1,"#343474",1] 60 | ["LAYER",73,"CUSTOM","Custom3",0,"#4564d7",1,"#22326b",1] 61 | ["LAYER",74,"CUSTOM","Custom4",0,"#215da1",1,"#102e50",1] 62 | ["LAYER",75,"CUSTOM","Custom5",0,"#45b4e3",1,"#225a71",1] 63 | ["LAYER",76,"CUSTOM","Custom6",0,"#23999d",1,"#114c4e",1] 64 | ["LAYER",77,"CUSTOM","Custom7",0,"#2b937e",1,"#15493f",1] 65 | ["LAYER",78,"CUSTOM","Custom8",0,"#5a8a80",1,"#2d4540",1] 66 | ["LAYER",79,"CUSTOM","Custom9",0,"#0c715d",1,"#06382e",1] 67 | ["LAYER",80,"CUSTOM","Custom10",0,"#39503f",1,"#1c281f",1] 68 | ["LAYER",81,"CUSTOM","Custom11",0,"#728978",1,"#39443c",1] 69 | ["LAYER",82,"CUSTOM","Custom12",0,"#c3ecce",1,"#617667",1] 70 | ["LAYER",83,"CUSTOM","Custom13",0,"#43ae5f",1,"#21572f",1] 71 | ["LAYER",84,"CUSTOM","Custom14",0,"#8000bc",1,"#40005e",1] 72 | ["LAYER",85,"CUSTOM","Custom15",0,"#3535ff",1,"#1a1a7f",1] 73 | ["LAYER",86,"CUSTOM","Custom16",0,"#2e4756",1,"#17232b",1] 74 | ["LAYER",87,"CUSTOM","Custom17",0,"#99842f",1,"#4c4217",1] 75 | ["LAYER",88,"CUSTOM","Custom18",0,"#ffffaa",1,"#7f7f55",1] 76 | ["LAYER",89,"CUSTOM","Custom19",0,"#99842f",1,"#4c4217",1] 77 | ["LAYER",90,"CUSTOM","Custom20",0,"#2e4756",1,"#17232b",1] 78 | ["LAYER",91,"CUSTOM","Custom21",0,"#00b400",1,"#005a00",1] 79 | ["LAYER",92,"CUSTOM","Custom22",0,"#000080",1,"#000040",1] 80 | ["LAYER",93,"CUSTOM","Custom23",0,"#15935f",1,"#a.492f",1] 81 | ["LAYER",94,"CUSTOM","Custom24",0,"#008080",1,"#004040",1] 82 | ["LAYER",95,"CUSTOM","Custom25",0,"#800080",1,"#400040",1] 83 | ["LAYER",96,"CUSTOM","Custom26",0,"#9966ff",1,"#4c337f",1] 84 | ["LAYER",97,"CUSTOM","Custom27",0,"#00cc66",1,"#006633",1] 85 | ["LAYER",98,"CUSTOM","Custom28",0,"#70dbfa",1,"#386d7d",1] 86 | ["LAYER",99,"CUSTOM","Custom29",0,"#bc8e00",1,"#5e4700",1] 87 | ["LAYER",100,"CUSTOM","Custom30",0,"#00ff00",1,"#007f00",1] 88 | ["LAYER",101,"SUBSTRATE","SUBSTRATE1",0,"#000000",1,"#000000",1] 89 | ["LAYER",102,"SUBSTRATE","SUBSTRATE2",0,"#000000",1,"#000000",1] 90 | ["LAYER",103,"SUBSTRATE","SUBSTRATE3",0,"#000000",1,"#000000",1] 91 | ["LAYER",104,"SUBSTRATE","SUBSTRATE4",0,"#000000",1,"#000000",1] 92 | ["LAYER",105,"SUBSTRATE","SUBSTRATE5",0,"#000000",1,"#000000",1] 93 | ["LAYER",106,"SUBSTRATE","SUBSTRATE6",0,"#000000",1,"#000000",1] 94 | ["LAYER",107,"SUBSTRATE","SUBSTRATE7",0,"#000000",1,"#000000",1] 95 | ["LAYER",108,"SUBSTRATE","SUBSTRATE8",0,"#000000",1,"#000000",1] 96 | ["LAYER",109,"SUBSTRATE","SUBSTRATE9",0,"#000000",1,"#000000",1] 97 | ["LAYER",110,"SUBSTRATE","SUBSTRATE10",0,"#000000",1,"#000000",1] 98 | ["LAYER",111,"SUBSTRATE","SUBSTRATE11",0,"#000000",1,"#000000",1] 99 | ["LAYER",112,"SUBSTRATE","SUBSTRATE12",0,"#000000",1,"#000000",1] 100 | ["LAYER",113,"SUBSTRATE","SUBSTRATE13",0,"#000000",1,"#000000",1] 101 | ["LAYER",114,"SUBSTRATE","SUBSTRATE14",0,"#000000",1,"#000000",1] 102 | ["LAYER",115,"SUBSTRATE","SUBSTRATE15",0,"#000000",1,"#000000",1] 103 | ["LAYER",116,"SUBSTRATE","SUBSTRATE16",0,"#000000",1,"#000000",1] 104 | ["LAYER",117,"SUBSTRATE","SUBSTRATE17",0,"#000000",1,"#000000",1] 105 | ["LAYER",118,"SUBSTRATE","SUBSTRATE18",0,"#000000",1,"#000000",1] 106 | ["LAYER",119,"SUBSTRATE","SUBSTRATE19",0,"#000000",1,"#000000",1] 107 | ["LAYER",120,"SUBSTRATE","SUBSTRATE20",0,"#000000",1,"#000000",1] 108 | ["LAYER",121,"SUBSTRATE","SUBSTRATE21",0,"#000000",1,"#000000",1] 109 | ["LAYER",122,"SUBSTRATE","SUBSTRATE22",0,"#000000",1,"#000000",1] 110 | ["LAYER",123,"SUBSTRATE","SUBSTRATE23",0,"#000000",1,"#000000",1] 111 | ["LAYER",124,"SUBSTRATE","SUBSTRATE24",0,"#000000",1,"#000000",1] 112 | ["LAYER",125,"SUBSTRATE","SUBSTRATE25",0,"#000000",1,"#000000",1] 113 | ["LAYER",126,"SUBSTRATE","SUBSTRATE26",0,"#000000",1,"#000000",1] 114 | ["LAYER",127,"SUBSTRATE","SUBSTRATE27",0,"#000000",1,"#000000",1] 115 | ["LAYER",128,"SUBSTRATE","SUBSTRATE28",0,"#000000",1,"#000000",1] 116 | ["LAYER",129,"SUBSTRATE","SUBSTRATE29",0,"#000000",1,"#000000",1] 117 | ["LAYER",130,"SUBSTRATE","SUBSTRATE30",0,"#000000",1,"#000000",1] 118 | ["ACTIVE_LAYER",1] 119 | ["POLY","e1",0,"",3,3.937,[-11.213,35,"L",-10.102,35],0] 120 | ["POLY","e2",0,"",3,3.937,[-10.102,35,"L",-10.102,-35],0] 121 | ["POLY","e3",0,"",3,3.937,[60,-35,"L",-60,-35],0] 122 | ["POLY","e4",0,"",3,3.937,[-60,35,"L",60,35],0] 123 | ["PAD","e5",0,"",1,"1",-39.37,0,0,null,["RECT",43.307,51.181],[],0,0,90,1,0,1.9689999999999999,1.9689999999999999,0,0,0] 124 | ["PAD","e6",0,"",1,"2",39.37,0,0,null,["RECT",43.307,51.181],[],-0.001,0,90,1,0,1.9689999999999999,1.9689999999999999,0,0,0] 125 | ["FILL","e7",0,"",49,0.2,0,[["CIRCLE",-39.37,-25.59,2.361]],0] 126 | ["FILL","e8",0,"",13,0.2,0,[-25.223,25.375,"L",-18.057,25.375,-18.057,14.475,-25.166,14.475,-25.166,14.537,-25.223,25.375],0] 127 | ["FILL","e9",0,"",13,0.2,0,[-25.226,-14.437,"L",-18.06,-14.437,-18.06,-25.337,-25.169,-25.337,-25.169,-25.275,-25.226,-14.437],0] 128 | ["FILL","e10",0,"",13,0.2,0,[-50.802,7.48,"L",-23.202,7.48,-23.202,2.413,-50.864,2.413,-50.864,3.07,-50.802,7.48],0] 129 | ["FILL","e11",0,"",13,0.2,0,[-40.135,-11.589,"L",-40.135,16.011,-35.068,16.011,-35.068,-11.651,-35.725,-11.651,-40.135,-11.589],0] 130 | ["FILL","e12",0,"",13,0.2,0,[25.133,3.333,"L",52.733,3.333,52.733,-1.734,25.071,-1.734,25.071,-1.077,25.133,3.333],0] 131 | ["FILL","e13",0,"",50,0.2,0,[-39.37,-17.719,"L",-39.37,17.715,-21.654,17.715,-21.654,-17.719,-39.37,-17.719],0] 132 | ["FILL","e14",0,"",50,0.2,0,[21.654,-17.721,"L",21.654,17.713,39.37,17.713,39.37,-17.721,21.654,-17.721],0] 133 | ["POLY","e15",0,"",48,2,[-39.37,-25.59,"L",-39.37,25.591,39.37,25.591,39.37,-25.59,-39.37,-25.59],0] 134 | ["FILL","e16",0,"",3,0.2,0,[-61.968,-35,"L",-61.968,-30,-12.07,-30,-11.968,-30,-11.968,-35,-61.968,-35,-61.968,-35],0] 135 | ["FILL","e17",0,"",3,0.2,0,[-11.967,35,"L",-11.967,30,-61.865,30,-61.967,30,-61.967,35,-11.967,35,-11.967,35],0] 136 | ["CONNECT","e13",["e5"]] 137 | ["CONNECT","e14",["e6"]] 138 | ["ATTR","e18",0,"",3,null,null,"Footprint","CAP-SMD_L2.0-W1.3-FD",0,0,"default",45,6,0,0,3,0,0,0,0,0] 139 | ["ATTR","e19",0,"",3,null,null,"Designator","U?",0,0,"default",45,6,0,0,3,0,0,0,0,0] 140 | ["CANVAS",0,0,"mil",5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/2d1ffb1f9ce24723b7031eadb1a32107.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["PAD","ie1",0,"",1,"1",0,0,0,null,["RECT",39.37,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 25 | ["ATTR","ie2",0,"",3,null,null,"Footprint","Custom:Nintendo_1x1_Pad",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 26 | ["ATTR","ie3",0,"",3,null,null,"Designator","PAD?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 27 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/3bea3ec32f9a488cb63008b3014408d0.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["PAD","ie1",0,"",1,"1",0,39.37,0,null,["RECT",118.11,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 25 | ["PAD","ie2",0,"",1,"3",39.37,0,90,null,["RECT",39.37,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 26 | ["PAD","ie3",0,"",1,"4",-39.37,0,90,null,["RECT",39.37,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 27 | ["PAD","ie4",0,"",1,"5",0,-39.37,0,null,["RECT",118.11,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 28 | ["PAD","ie5",0,"",1,"2",0,0,0,null,["RECT",39.37,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 29 | ["ATTR","ie6",0,"",3,null,null,"Footprint","Custom:Nintendo_3x3_GNDPad",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 30 | ["ATTR","ie7",0,"",3,null,null,"Designator","PAD?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 31 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/40676f7cae2644ebb21c16b600f6f1dd.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["POLY","ie1",0,"",3,9.8425,[88.5825,225.39325,"L",350.393,225.39325],0] 25 | ["POLY","ie2",0,"",3,9.8425,[49.2125,225.41293,"L",88.5825,225.39325],0] 26 | ["POLY","ie3",0,"",3,9.8425,[-350.393,225.39325,"L",-49.2125,225.41293],0] 27 | ["POLY","ie4",0,"",3,9.8425,[88.59352,168.30675,"L",-83.65578,168.30128],0] 28 | ["POLY","ie5",0,"",3,9.8425,[-350.393,168.30675,"L",-178.1437,168.31222],0] 29 | ["POLY","ie6",0,"",3,9.8425,[350.393,-168.30675,"L",-350.393,-168.30675],0] 30 | ["POLY","ie7",0,"",3,9.8425,[350.393,225.39325,"L",350.393,-168.30675],0] 31 | ["POLY","ie8",0,"",3,9.8425,[88.59352,168.30675,"L",88.5825,-168.30675],0] 32 | ["POLY","ie9",0,"",3,9.8425,[-350.393,225.39325,"L",-350.393,-168.30675],0] 33 | ["POLY","ie10",0,"",3,9.8425,[-178.1437,168.31222,"ARC",180,-83.65578,168.30128],0] 34 | ["POLY","ie11",0,"",3,9.8425,[-49.2125,225.41293,"ARC",180,49.2125,225.41293],0] 35 | ["PAD","ie12",0,"",1,"31",383.8575,127.9525,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 36 | ["PAD","ie13",0,"",1,"10",-383.8575,-29.5275,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 37 | ["PAD","ie14",0,"",1,"26",383.8575,29.5275,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 38 | ["PAD","ie15",0,"",1,"18",383.8575,-127.9525,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 39 | ["PAD","ie16",0,"",1,"12",-383.8575,-68.8975,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 40 | ["PAD","ie17",0,"",1,"19",383.8575,-108.2675,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 41 | ["PAD","ie18",0,"",1,"23",383.8575,-29.5275,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 42 | ["PAD","ie19",0,"",1,"20",383.8575,-88.5825,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 43 | ["PAD","ie20",0,"",1,"14",-383.8575,-108.2675,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 44 | ["PAD","ie21",0,"",1,"6",-383.8575,49.2125,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 45 | ["PAD","ie22",0,"",1,"21",383.8575,-68.8975,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 46 | ["PAD","ie23",0,"",1,"30",383.8575,108.2675,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 47 | ["PAD","ie24",0,"",1,"28",383.8575,68.8975,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 48 | ["PAD","ie25",0,"",1,"24",383.8575,-9.8425,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 49 | ["PAD","ie26",0,"",1,"22",383.8575,-49.2125,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 50 | ["PAD","ie27",0,"",1,"16",-383.8575,-147.6375,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 51 | ["PAD","ie28",0,"",1,"7",-383.8575,29.5275,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 52 | ["PAD","ie29",0,"",1,"5",-383.8575,68.8975,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 53 | ["PAD","ie30",0,"",1,"15",-383.8575,-127.9525,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 54 | ["PAD","ie31",0,"",1,"13",-383.8575,-88.5825,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 55 | ["PAD","ie32",0,"",1,"9",-383.8575,-9.8425,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 56 | ["PAD","ie33",0,"",1,"27",383.8575,49.2125,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 57 | ["PAD","ie34",0,"",1,"29",383.8575,88.5825,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 58 | ["PAD","ie35",0,"",1,"32",383.8575,147.6375,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 59 | ["PAD","ie36",0,"",1,"25",383.8575,9.8425,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 60 | ["PAD","ie37",0,"",1,"11",-383.8575,-49.2125,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 61 | ["PAD","ie38",0,"",1,"8",-383.8575,9.8425,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 62 | ["PAD","ie39",0,"",1,"4",-383.8575,88.5825,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 63 | ["PAD","ie40",0,"",1,"17",383.8575,-147.6375,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 64 | ["PAD","ie41",0,"",1,"1",-383.8575,147.6375,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 65 | ["PAD","ie42",0,"",1,"3",-383.8575,108.2675,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 66 | ["PAD","ie43",0,"",1,"2",-383.8575,127.9525,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 67 | ["PAD","ie44",0,"",1,"33",122.047,147.6375,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 68 | ["PAD","ie45",0,"",1,"34",122.047,-49.2125,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 69 | ["PAD","ie46",0,"",1,"35",122.047,-88.5825,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 70 | ["PAD","ie47",0,"",1,"36",122.047,68.8975,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 71 | ["PAD","ie48",0,"",1,"37",122.047,49.2125,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 72 | ["PAD","ie49",0,"",1,"38",122.047,-29.5275,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 73 | ["PAD","ie50",0,"",1,"39",122.047,-108.2675,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 74 | ["PAD","ie51",0,"",1,"40",122.047,108.2675,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 75 | ["PAD","ie52",0,"",1,"41",122.047,-147.6375,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 76 | ["PAD","ie53",0,"",1,"42",122.047,-127.9525,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 77 | ["PAD","ie54",0,"",1,"43",122.047,-68.8975,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 78 | ["PAD","ie55",0,"",1,"44",122.047,-9.8425,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 79 | ["PAD","ie56",0,"",1,"45",122.047,127.9525,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 80 | ["PAD","ie57",0,"",1,"46",122.047,29.5275,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 81 | ["PAD","ie58",0,"",1,"47",122.047,88.5825,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 82 | ["PAD","ie59",0,"",1,"48",122.047,9.8425,0,null,["RECT",43.307,9.8425,0],[],0,0,90,1,0,0,0,0,0,0] 83 | ["ATTR","ie60",0,"",3,null,null,"Footprint","NintendoFootprints:GBA-Flash",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 84 | ["ATTR","ie61",0,"",3,null,null,"Designator","U?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 85 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/447ee8ea4d4b4dd6b83cbb054ac7e9ab.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.4"] 2 | ["CANVAS",0,0,"mil",5,5,5,5] 3 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 4 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 5 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 6 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 7 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 8 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 9 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 10 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 11 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 12 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 15 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 16 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 17 | ["LAYER",15,"SIGNAL","Inner1",0,"#999966",1,"#4c4c33",1] 18 | ["LAYER",16,"SIGNAL","Inner2",0,"#008000",1,"#004000",1] 19 | ["LAYER",17,"SIGNAL","Inner3",0,"#00ff00",1,"#007f00",1] 20 | ["LAYER",18,"SIGNAL","Inner4",0,"#bc8e00",1,"#5e4700",1] 21 | ["LAYER",19,"SIGNAL","Inner5",0,"#70dbfa",1,"#386d7d",1] 22 | ["LAYER",20,"SIGNAL","Inner6",0,"#00cc66",1,"#006633",1] 23 | ["LAYER",21,"SIGNAL","Inner7",0,"#9966ff",1,"#4c337f",1] 24 | ["LAYER",22,"SIGNAL","Inner8",0,"#800080",1,"#400040",1] 25 | ["LAYER",23,"SIGNAL","Inner9",0,"#008080",1,"#004040",1] 26 | ["LAYER",24,"SIGNAL","Inner10",0,"#15935f",1,"#0a492f",1] 27 | ["LAYER",25,"SIGNAL","Inner11",0,"#000080",1,"#000040",1] 28 | ["LAYER",26,"SIGNAL","Inner12",0,"#00b400",1,"#005a00",1] 29 | ["LAYER",27,"SIGNAL","Inner13",0,"#2e4756",1,"#17232b",1] 30 | ["LAYER",28,"SIGNAL","Inner14",0,"#99842f",1,"#4c4217",1] 31 | ["LAYER",29,"SIGNAL","Inner15",0,"#ffffaa",1,"#7f7f55",1] 32 | ["LAYER",30,"SIGNAL","Inner16",0,"#99842f",1,"#4c4217",1] 33 | ["LAYER",31,"SIGNAL","Inner17",0,"#2e4756",1,"#17232b",1] 34 | ["LAYER",32,"SIGNAL","Inner18",0,"#3535ff",1,"#1a1a7f",1] 35 | ["LAYER",33,"SIGNAL","Inner19",0,"#8000bc",1,"#40005e",1] 36 | ["LAYER",34,"SIGNAL","Inner20",0,"#43ae5f",1,"#21572f",1] 37 | ["LAYER",35,"SIGNAL","Inner21",0,"#c3ecce",1,"#617667",1] 38 | ["LAYER",36,"SIGNAL","Inner22",0,"#728978",1,"#39443c",1] 39 | ["LAYER",37,"SIGNAL","Inner23",0,"#39503f",1,"#1c281f",1] 40 | ["LAYER",38,"SIGNAL","Inner24",0,"#0c715d",1,"#06382e",1] 41 | ["LAYER",39,"SIGNAL","Inner25",0,"#5a8a80",1,"#2d4540",1] 42 | ["LAYER",40,"SIGNAL","Inner26",0,"#2b937e",1,"#15493f",1] 43 | ["LAYER",41,"SIGNAL","Inner27",0,"#23999d",1,"#114c4e",1] 44 | ["LAYER",42,"SIGNAL","Inner28",0,"#45b4e3",1,"#225a71",1] 45 | ["LAYER",43,"SIGNAL","Inner29",0,"#215da1",1,"#102e50",1] 46 | ["LAYER",44,"SIGNAL","Inner30",0,"#4564d7",1,"#22326b",1] 47 | ["LAYER",45,"SIGNAL","Inner31",0,"#6969e9",1,"#343474",1] 48 | ["LAYER",46,"SIGNAL","Inner32",0,"#9069e9",1,"#483474",1] 49 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 50 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 51 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 52 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 53 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 54 | ["LAYER",52,"COMPONENT_MODEL","Component Model Layer",0,"#ffffff",1,"#7f7f7f",1] 55 | ["LAYER",53,"3D_SHELL_OUTLINE","3D Shell Outline Layer",3,"#66ff99",1,"#337f4c",1] 56 | ["LAYER",54,"3D_SHELL_TOP","3D Shell Top Layer",3,"#ffccff",1,"#7f667f",1] 57 | ["LAYER",55,"3D_SHELL_BOTTOM","3D Shell Bottom Layer",3,"#0066cc",1,"#003366",1] 58 | ["LAYER",56,"DRILL_DRAWING","Drill Drawing Layer",3,"#99ffff",1,"#4c7f7f",1] 59 | ["LAYER",57,"OTHER","Ratline Layer",7,"#6464ff",1,"#32327f",1] 60 | ["LAYER",71,"CUSTOM","Custom1",0,"#9069e9",1,"#483474",1] 61 | ["LAYER",72,"CUSTOM","Custom2",0,"#6969e9",1,"#343474",1] 62 | ["LAYER",73,"CUSTOM","Custom3",0,"#4564d7",1,"#22326b",1] 63 | ["LAYER",74,"CUSTOM","Custom4",0,"#215da1",1,"#102e50",1] 64 | ["LAYER",75,"CUSTOM","Custom5",0,"#45b4e3",1,"#225a71",1] 65 | ["LAYER",76,"CUSTOM","Custom6",0,"#23999d",1,"#114c4e",1] 66 | ["LAYER",77,"CUSTOM","Custom7",0,"#2b937e",1,"#15493f",1] 67 | ["LAYER",78,"CUSTOM","Custom8",0,"#5a8a80",1,"#2d4540",1] 68 | ["LAYER",79,"CUSTOM","Custom9",0,"#0c715d",1,"#06382e",1] 69 | ["LAYER",80,"CUSTOM","Custom10",0,"#39503f",1,"#1c281f",1] 70 | ["LAYER",81,"CUSTOM","Custom11",0,"#728978",1,"#39443c",1] 71 | ["LAYER",82,"CUSTOM","Custom12",0,"#c3ecce",1,"#617667",1] 72 | ["LAYER",83,"CUSTOM","Custom13",0,"#43ae5f",1,"#21572f",1] 73 | ["LAYER",84,"CUSTOM","Custom14",0,"#8000bc",1,"#40005e",1] 74 | ["LAYER",85,"CUSTOM","Custom15",0,"#3535ff",1,"#1a1a7f",1] 75 | ["LAYER",86,"CUSTOM","Custom16",0,"#2e4756",1,"#17232b",1] 76 | ["LAYER",87,"CUSTOM","Custom17",0,"#99842f",1,"#4c4217",1] 77 | ["LAYER",88,"CUSTOM","Custom18",0,"#ffffaa",1,"#7f7f55",1] 78 | ["LAYER",89,"CUSTOM","Custom19",0,"#99842f",1,"#4c4217",1] 79 | ["LAYER",90,"CUSTOM","Custom20",0,"#2e4756",1,"#17232b",1] 80 | ["LAYER",91,"CUSTOM","Custom21",0,"#00b400",1,"#005a00",1] 81 | ["LAYER",92,"CUSTOM","Custom22",0,"#000080",1,"#000040",1] 82 | ["LAYER",93,"CUSTOM","Custom23",0,"#15935f",1,"#0a492f",1] 83 | ["LAYER",94,"CUSTOM","Custom24",0,"#008080",1,"#004040",1] 84 | ["LAYER",95,"CUSTOM","Custom25",0,"#800080",1,"#400040",1] 85 | ["LAYER",96,"CUSTOM","Custom26",0,"#9966ff",1,"#4c337f",1] 86 | ["LAYER",97,"CUSTOM","Custom27",0,"#00cc66",1,"#006633",1] 87 | ["LAYER",98,"CUSTOM","Custom28",0,"#70dbfa",1,"#386d7d",1] 88 | ["LAYER",99,"CUSTOM","Custom29",0,"#bc8e00",1,"#5e4700",1] 89 | ["LAYER",100,"CUSTOM","Custom30",0,"#00ff00",1,"#007f00",1] 90 | ["LAYER",101,"SUBSTRATE","SUBSTRATE1",0,"#000000",1,"#000000",1] 91 | ["LAYER",102,"SUBSTRATE","SUBSTRATE2",0,"#000000",1,"#000000",1] 92 | ["LAYER",103,"SUBSTRATE","SUBSTRATE3",0,"#000000",1,"#000000",1] 93 | ["LAYER",104,"SUBSTRATE","SUBSTRATE4",0,"#000000",1,"#000000",1] 94 | ["LAYER",105,"SUBSTRATE","SUBSTRATE5",0,"#000000",1,"#000000",1] 95 | ["LAYER",106,"SUBSTRATE","SUBSTRATE6",0,"#000000",1,"#000000",1] 96 | ["LAYER",107,"SUBSTRATE","SUBSTRATE7",0,"#000000",1,"#000000",1] 97 | ["LAYER",108,"SUBSTRATE","SUBSTRATE8",0,"#000000",1,"#000000",1] 98 | ["LAYER",109,"SUBSTRATE","SUBSTRATE9",0,"#000000",1,"#000000",1] 99 | ["LAYER",110,"SUBSTRATE","SUBSTRATE10",0,"#000000",1,"#000000",1] 100 | ["LAYER",111,"SUBSTRATE","SUBSTRATE11",0,"#000000",1,"#000000",1] 101 | ["LAYER",112,"SUBSTRATE","SUBSTRATE12",0,"#000000",1,"#000000",1] 102 | ["LAYER",113,"SUBSTRATE","SUBSTRATE13",0,"#000000",1,"#000000",1] 103 | ["LAYER",114,"SUBSTRATE","SUBSTRATE14",0,"#000000",1,"#000000",1] 104 | ["LAYER",115,"SUBSTRATE","SUBSTRATE15",0,"#000000",1,"#000000",1] 105 | ["LAYER",116,"SUBSTRATE","SUBSTRATE16",0,"#000000",1,"#000000",1] 106 | ["LAYER",117,"SUBSTRATE","SUBSTRATE17",0,"#000000",1,"#000000",1] 107 | ["LAYER",118,"SUBSTRATE","SUBSTRATE18",0,"#000000",1,"#000000",1] 108 | ["LAYER",119,"SUBSTRATE","SUBSTRATE19",0,"#000000",1,"#000000",1] 109 | ["LAYER",120,"SUBSTRATE","SUBSTRATE20",0,"#000000",1,"#000000",1] 110 | ["LAYER",121,"SUBSTRATE","SUBSTRATE21",0,"#000000",1,"#000000",1] 111 | ["LAYER",122,"SUBSTRATE","SUBSTRATE22",0,"#000000",1,"#000000",1] 112 | ["LAYER",123,"SUBSTRATE","SUBSTRATE23",0,"#000000",1,"#000000",1] 113 | ["LAYER",124,"SUBSTRATE","SUBSTRATE24",0,"#000000",1,"#000000",1] 114 | ["LAYER",125,"SUBSTRATE","SUBSTRATE25",0,"#000000",1,"#000000",1] 115 | ["LAYER",126,"SUBSTRATE","SUBSTRATE26",0,"#000000",1,"#000000",1] 116 | ["LAYER",127,"SUBSTRATE","SUBSTRATE27",0,"#000000",1,"#000000",1] 117 | ["LAYER",128,"SUBSTRATE","SUBSTRATE28",0,"#000000",1,"#000000",1] 118 | ["LAYER",129,"SUBSTRATE","SUBSTRATE29",0,"#000000",1,"#000000",1] 119 | ["LAYER",130,"SUBSTRATE","SUBSTRATE30",0,"#000000",1,"#000000",1] 120 | ["ACTIVE_LAYER",1] 121 | ["RULE","1","safeClearance",1,["mil",[[6],[6,6],[6,6,6],[6,6,6,6],[6,6,6,6,6],[6,6,6,6,6,6],[6,6,6,6,6,6,6],[11.8,11.8,11.8,11.8,11.8,11.8,11.8]]]] 122 | ["RULE","2","otherClearance",1,["mm",0]] 123 | ["RULE","3","trackWidth",1,["mil",5,10,100]] 124 | ["RULE","11","netLength",1,["mm",0,0]] 125 | ["RULE","10","differentialPair",1,["mil",5,10,100,6,6,50,10]] 126 | ["RULE","4","blindVia",1,[]] 127 | ["RULE","5","viaSize",1,["mm",9.8425,12.0079,196.8504,5.9055,6.0039,118.1102]] 128 | ["RULE","6","innerPlane",1,["mil",10,10,"0",10,10,90,"0",10,10,90]] 129 | ["RULE","7","copperRegion",1,["mil",10,10,"0",10,10,90,"0","0",10,10,90]] 130 | ["RULE","8","pasteMaskExpansion",1,["mil",0,0]] 131 | ["RULE","9","solderMaskExpansion",1,["mil",4,4,-1000,-1000]] 132 | ["RULE","12","Common",1,[0,1,0,0,0,[1,2,12],[]]] 133 | ["PRIMITIVE","ALL",1,0] 134 | ["PRIMITIVE","COMPONENT",1,1] 135 | ["PRIMITIVE","PROPERTY",1,1] 136 | ["PRIMITIVE","COMPONENTSILK",1,1] 137 | ["PRIMITIVE","TRACK",1,1] 138 | ["PRIMITIVE","VIA",1,1] 139 | ["PRIMITIVE","TESTPOINT",1,1] 140 | ["PRIMITIVE","PAD",1,1] 141 | ["PRIMITIVE","PADSPAIR",1,0] 142 | ["PRIMITIVE","NETWORK",1,0] 143 | ["PRIMITIVE","SUTUREHOLE",1,1] 144 | ["PRIMITIVE","TEARDROP",1,0] 145 | ["PRIMITIVE","RATLINE",0,0] 146 | ["PRIMITIVE","GROUP",1,0] 147 | ["PRIMITIVE","TEXT",1,1] 148 | ["PRIMITIVE","IMAGE",1,1] 149 | ["PRIMITIVE","PICTURE",1,1] 150 | ["PRIMITIVE","DIMENSION",1,1] 151 | ["PRIMITIVE","BOARDOUTLINE",1,1] 152 | ["PRIMITIVE","SLOTREGION",1,1] 153 | ["PRIMITIVE","FILLREGIEN",1,1] 154 | ["PRIMITIVE","COPPEROUTLINE",1,1] 155 | ["PRIMITIVE","COPPERFILLED",1,0] 156 | ["PRIMITIVE","PROHIBITEDREGION",1,1] 157 | ["PRIMITIVE","LINE",1,1] 158 | ["PRIMITIVE","LOCKED",1,1] 159 | ["PRIMITIVE","UNLOCKED",1,1] 160 | ["PRIMITIVE","SHELL",1,1] 161 | ["PRIMITIVE","BOSS",1,1] 162 | ["PRIMITIVE","CREASE",1,1] 163 | ["PRIMITIVE","TOPSHELLCUT",1,1] 164 | ["PRIMITIVE","SIDESHELLCUT",1,1] 165 | ["PRIMITIVE","TOPBOTTOMENTITY",1,1] 166 | ["PRIMITIVE","SIDEENTITY",1,1] 167 | ["SILK_OPTS",3,"#000000","#FFFFFF"] 168 | ["SILK_OPTS",4,"#000000","#FFFFFF"] 169 | ["PREFERENCE",1,10,1,12.0078,24.0158] 170 | ["PANELIZE",0,1,1,0,0,1] 171 | ["PANELIZE_STAMP",1,0,1,21.6535,8,33.4646] 172 | ["PANELIZE_SIDE",1,1,236.2205,78.7402,39.3701,19.685] 173 | ["PANELIZE_STAMP",0,0,1,21.6535,8,33.4646] 174 | ["PANELIZE_SIDE",0,0,236.2205,78.7402,39.3701,19.685] 175 | ["PAD","e6",0,"",12,"1",-200,0,0,["ROUND",43.3,43.3],["RECT",66.9,66.9,0],[],0,0,0,1,0,2,2,0,0,0] 176 | ["PAD","e7",0,"",12,"2",-100,0,0,["ROUND",43.3,43.3],["ELLIPSE",66.9,66.9],[],0,0,0,1,0,2,2,0,0,0] 177 | ["PAD","e8",0,"",12,"3",0,0,0,["ROUND",43.3,43.3],["ELLIPSE",66.9,66.9],[],0,0,0,1,0,2,2,0,0,0] 178 | ["PAD","e9",0,"",12,"4",100,0,0,["ROUND",43.3,43.3],["ELLIPSE",66.9,66.9],[],0,0,0,1,0,2,2,0,0,0] 179 | ["PAD","e10",0,"",12,"5",200,0,0,["ROUND",43.3,43.3],["ELLIPSE",66.9,66.9],[],0,0,0,1,0,2,2,0,0,0] 180 | ["PAD","e22",0,"",12,"6",300,0,0,["ROUND",43.3,43.3],["ELLIPSE",66.9,66.9],[],0,0,0,1,0,2,2,0,0,0] 181 | ["FILL","e11",0,"",49,0.2,0,[["CIRCLE",-250,-50,2.4]],0] 182 | ["FILL","e12",0,"",50,0.2,0,[["CIRCLE",-200,0,12.6]],0] 183 | ["FILL","e13",0,"",50,0.2,0,[["CIRCLE",-100,0,12.6]],0] 184 | ["FILL","e14",0,"",50,0.2,0,[["CIRCLE",0,0,12.6]],0] 185 | ["FILL","e15",0,"",50,0.2,0,[["CIRCLE",100,0,12.6]],0] 186 | ["FILL","e16",0,"",50,0.2,0,[["CIRCLE",200,0,12.6]],0] 187 | ["POLY","e1",0,"",3,10,[-149.8021,44.8579,"L",-148.8615,-44.2818],0] 188 | ["POLY","e2",0,"",3,10,[-259.0084,44.0114,"L",-258.7262,-44.031],0] 189 | ["POLY","e3",0,"",3,10,[358.981,44.8579,"L",359,-44.2818],0] 190 | ["POLY","e4",0,"",3,10,[-257.4534,45.1,"L",355.8466,45.1],0] 191 | ["POLY","e5",0,"",3,10,[-258.3,-45,"L",355,-45],0] 192 | ["POLY","e23",0,"",48,2,[-150.3,-26.4,"L",-148.3,-26.4,-130.6,-50,-70,-50,-60.8,-38,-52.3,-26.4,-48.3,-26.4,-30.6,-50,30,-50,39,-38.3,40.5,-36.2,47.7,-26.4,51.7,-26.4,69.4,-50,130,-50,138.7,-38.6,139.8,-37.1,147.7,-26.4,151.7,-26.4,169.4,-50,230,-50,237.9,-39.7,239.3,-37.9,240.7,-35.9,247.7,-26.4,251.7,-26.4,269.4,-50,330,-50,338.9,-38.4,347.7,-26.4,349.7,-26.4,349.7,26.4,347.7,26.4,340.3,36.4,339,38.2,330,50,269.4,50,251.7,26.4,247.7,26.4,238.8,38.6,235.9,42.4,230,50,169.4,50,151.7,26.4,147.7,26.4,144.4,30.8,143.3,32.3,139.5,37.6,133.8,45,130,50,69.4,50,51.7,26.4,47.7,26.4,43.9,31.5,43.2,32.6,42.2,33.8,38.8,38.4,35.5,42.8,30,50,-30.6,50,-48.3,26.4,-52.3,26.4,-58.4,34.8,-59.7,36.4,-63.6,41.7,-70,50,-130.6,50,-137.6,40.6,-148.3,26.4,-150.3,26.4,-150.3,-26.4],0] 193 | ["POLY","e24",0,"",48,2,[250,26.4,"L",248,26.4,240.6,36.4,239.3,38.2,230.3,50,169.7,50,152,26.4,148,26.4,139.1,38.6,136.2,42.4,130.3,50,69.7,50,52,26.4,48,26.4,44.7,30.8,43.6,32.3,39.8,37.6,34.1,45,30.3,50,-30.3,50,-48,26.4,-52,26.4,-55.8,31.5,-56.5,32.6,-57.5,33.8,-60.9,38.4,-64.2,42.8,-69.7,50,-130.3,50,-148,26.4,-152,26.4,-158.1,34.8,-159.4,36.4,-163.3,41.7,-169.7,50,-230.3,50,-237.3,40.6,-248,26.4,-250,26.4,-250,-26.4,-248,-26.4,-230.3,-50,-169.7,-50,-160.5,-38,-152,-26.4,-148,-26.4,-130.3,-50,-69.7,-50,-60.7,-38.3,-59.2,-36.2,-52,-26.4,-48,-26.4,-30.3,-50,30.3,-50,39,-38.6,40.1,-37.1,48,-26.4,52,-26.4,69.7,-50,130.3,-50,138.2,-39.7,139.6,-37.9,141,-35.9,148,-26.4,152,-26.4,169.7,-50,230.3,-50,239.2,-38.4,248,-26.4,250,-26.4],0] 194 | ["ATTR","e18",0,"",3,null,null,"Footprint","JTAG_5P-P2.54",0,0,"default",45,6,0,0,3,0,0,0,0,0] 195 | ["ATTR","e19",0,"",3,null,null,"Designator","U?",0,0,"default",45,6,0,0,3,0,0,0,0,0] 196 | ["ATTR","e20",0,"",3,null,null,"Device","",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/44d23b0f72b843f68f72c8a143a547de.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 5 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 6 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 7 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 8 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 9 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 10 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 11 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 12 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 13 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 14 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 15 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 16 | ["LAYER",15,"SIGNAL","Inner1",0,"#999966",1,"#4c4c33",1] 17 | ["LAYER",16,"SIGNAL","Inner2",0,"#008000",1,"#004000",1] 18 | ["LAYER",17,"SIGNAL","Inner3",0,"#00ff00",1,"#007f00",1] 19 | ["LAYER",18,"SIGNAL","Inner4",0,"#bc8e00",1,"#5e4700",1] 20 | ["LAYER",19,"SIGNAL","Inner5",0,"#70dbfa",1,"#386d7d",1] 21 | ["LAYER",20,"SIGNAL","Inner6",0,"#00cc66",1,"#006633",1] 22 | ["LAYER",21,"SIGNAL","Inner7",0,"#9966ff",1,"#4c337f",1] 23 | ["LAYER",22,"SIGNAL","Inner8",0,"#800080",1,"#400040",1] 24 | ["LAYER",23,"SIGNAL","Inner9",0,"#008080",1,"#004040",1] 25 | ["LAYER",24,"SIGNAL","Inner10",0,"#15935f",1,"#0a492f",1] 26 | ["LAYER",25,"SIGNAL","Inner11",0,"#000080",1,"#000040",1] 27 | ["LAYER",26,"SIGNAL","Inner12",0,"#00b400",1,"#005a00",1] 28 | ["LAYER",27,"SIGNAL","Inner13",0,"#2e4756",1,"#17232b",1] 29 | ["LAYER",28,"SIGNAL","Inner14",0,"#99842f",1,"#4c4217",1] 30 | ["LAYER",29,"SIGNAL","Inner15",0,"#ffffaa",1,"#7f7f55",1] 31 | ["LAYER",30,"SIGNAL","Inner16",0,"#99842f",1,"#4c4217",1] 32 | ["LAYER",31,"SIGNAL","Inner17",0,"#2e4756",1,"#17232b",1] 33 | ["LAYER",32,"SIGNAL","Inner18",0,"#3535ff",1,"#1a1a7f",1] 34 | ["LAYER",33,"SIGNAL","Inner19",0,"#8000bc",1,"#40005e",1] 35 | ["LAYER",34,"SIGNAL","Inner20",0,"#43ae5f",1,"#21572f",1] 36 | ["LAYER",35,"SIGNAL","Inner21",0,"#c3ecce",1,"#617667",1] 37 | ["LAYER",36,"SIGNAL","Inner22",0,"#728978",1,"#39443c",1] 38 | ["LAYER",37,"SIGNAL","Inner23",0,"#39503f",1,"#1c281f",1] 39 | ["LAYER",38,"SIGNAL","Inner24",0,"#0c715d",1,"#06382e",1] 40 | ["LAYER",39,"SIGNAL","Inner25",0,"#5a8a80",1,"#2d4540",1] 41 | ["LAYER",40,"SIGNAL","Inner26",0,"#2b937e",1,"#15493f",1] 42 | ["LAYER",41,"SIGNAL","Inner27",0,"#23999d",1,"#114c4e",1] 43 | ["LAYER",42,"SIGNAL","Inner28",0,"#45b4e3",1,"#225a71",1] 44 | ["LAYER",43,"SIGNAL","Inner29",0,"#215da1",1,"#102e50",1] 45 | ["LAYER",44,"SIGNAL","Inner30",0,"#4564d7",1,"#22326b",1] 46 | ["LAYER",45,"SIGNAL","Inner31",0,"#6969e9",1,"#343474",1] 47 | ["LAYER",46,"SIGNAL","Inner32",0,"#9069e9",1,"#483474",1] 48 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 49 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 50 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 51 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 52 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 53 | ["LAYER",52,"COMPONENT_MODEL","Component Model Layer",0,"#ffffff",1,"#7f7f7f",1] 54 | ["LAYER",53,"3D_SHELL_OUTLINE","3D Shell Outline Layer",0,"#66ff99",1,"#337f4c",1] 55 | ["LAYER",54,"3D_SHELL_TOP","3D Shell Top Layer",0,"#ffccff",1,"#7f667f",1] 56 | ["LAYER",55,"3D_SHELL_BOTTOM","3D Shell Bottom Layer",0,"#0066cc",1,"#003366",1] 57 | ["LAYER",56,"DRILL_DRAWING","Drill Drawing Layer",0,"#99ffff",1,"#4c7f7f",1] 58 | ["LAYER",71,"CUSTOM","Custom1",0,"#9069e9",1,"#483474",1] 59 | ["LAYER",72,"CUSTOM","Custom2",0,"#6969e9",1,"#343474",1] 60 | ["LAYER",73,"CUSTOM","Custom3",0,"#4564d7",1,"#22326b",1] 61 | ["LAYER",74,"CUSTOM","Custom4",0,"#215da1",1,"#102e50",1] 62 | ["LAYER",75,"CUSTOM","Custom5",0,"#45b4e3",1,"#225a71",1] 63 | ["LAYER",76,"CUSTOM","Custom6",0,"#23999d",1,"#114c4e",1] 64 | ["LAYER",77,"CUSTOM","Custom7",0,"#2b937e",1,"#15493f",1] 65 | ["LAYER",78,"CUSTOM","Custom8",0,"#5a8a80",1,"#2d4540",1] 66 | ["LAYER",79,"CUSTOM","Custom9",0,"#0c715d",1,"#06382e",1] 67 | ["LAYER",80,"CUSTOM","Custom10",0,"#39503f",1,"#1c281f",1] 68 | ["LAYER",81,"CUSTOM","Custom11",0,"#728978",1,"#39443c",1] 69 | ["LAYER",82,"CUSTOM","Custom12",0,"#c3ecce",1,"#617667",1] 70 | ["LAYER",83,"CUSTOM","Custom13",0,"#43ae5f",1,"#21572f",1] 71 | ["LAYER",84,"CUSTOM","Custom14",0,"#8000bc",1,"#40005e",1] 72 | ["LAYER",85,"CUSTOM","Custom15",0,"#3535ff",1,"#1a1a7f",1] 73 | ["LAYER",86,"CUSTOM","Custom16",0,"#2e4756",1,"#17232b",1] 74 | ["LAYER",87,"CUSTOM","Custom17",0,"#99842f",1,"#4c4217",1] 75 | ["LAYER",88,"CUSTOM","Custom18",0,"#ffffaa",1,"#7f7f55",1] 76 | ["LAYER",89,"CUSTOM","Custom19",0,"#99842f",1,"#4c4217",1] 77 | ["LAYER",90,"CUSTOM","Custom20",0,"#2e4756",1,"#17232b",1] 78 | ["LAYER",91,"CUSTOM","Custom21",0,"#00b400",1,"#005a00",1] 79 | ["LAYER",92,"CUSTOM","Custom22",0,"#000080",1,"#000040",1] 80 | ["LAYER",93,"CUSTOM","Custom23",0,"#15935f",1,"#a.492f",1] 81 | ["LAYER",94,"CUSTOM","Custom24",0,"#008080",1,"#004040",1] 82 | ["LAYER",95,"CUSTOM","Custom25",0,"#800080",1,"#400040",1] 83 | ["LAYER",96,"CUSTOM","Custom26",0,"#9966ff",1,"#4c337f",1] 84 | ["LAYER",97,"CUSTOM","Custom27",0,"#00cc66",1,"#006633",1] 85 | ["LAYER",98,"CUSTOM","Custom28",0,"#70dbfa",1,"#386d7d",1] 86 | ["LAYER",99,"CUSTOM","Custom29",0,"#bc8e00",1,"#5e4700",1] 87 | ["LAYER",100,"CUSTOM","Custom30",0,"#00ff00",1,"#007f00",1] 88 | ["LAYER",101,"SUBSTRATE","SUBSTRATE1",0,"#000000",1,"#000000",1] 89 | ["LAYER",102,"SUBSTRATE","SUBSTRATE2",0,"#000000",1,"#000000",1] 90 | ["LAYER",103,"SUBSTRATE","SUBSTRATE3",0,"#000000",1,"#000000",1] 91 | ["LAYER",104,"SUBSTRATE","SUBSTRATE4",0,"#000000",1,"#000000",1] 92 | ["LAYER",105,"SUBSTRATE","SUBSTRATE5",0,"#000000",1,"#000000",1] 93 | ["LAYER",106,"SUBSTRATE","SUBSTRATE6",0,"#000000",1,"#000000",1] 94 | ["LAYER",107,"SUBSTRATE","SUBSTRATE7",0,"#000000",1,"#000000",1] 95 | ["LAYER",108,"SUBSTRATE","SUBSTRATE8",0,"#000000",1,"#000000",1] 96 | ["LAYER",109,"SUBSTRATE","SUBSTRATE9",0,"#000000",1,"#000000",1] 97 | ["LAYER",110,"SUBSTRATE","SUBSTRATE10",0,"#000000",1,"#000000",1] 98 | ["LAYER",111,"SUBSTRATE","SUBSTRATE11",0,"#000000",1,"#000000",1] 99 | ["LAYER",112,"SUBSTRATE","SUBSTRATE12",0,"#000000",1,"#000000",1] 100 | ["LAYER",113,"SUBSTRATE","SUBSTRATE13",0,"#000000",1,"#000000",1] 101 | ["LAYER",114,"SUBSTRATE","SUBSTRATE14",0,"#000000",1,"#000000",1] 102 | ["LAYER",115,"SUBSTRATE","SUBSTRATE15",0,"#000000",1,"#000000",1] 103 | ["LAYER",116,"SUBSTRATE","SUBSTRATE16",0,"#000000",1,"#000000",1] 104 | ["LAYER",117,"SUBSTRATE","SUBSTRATE17",0,"#000000",1,"#000000",1] 105 | ["LAYER",118,"SUBSTRATE","SUBSTRATE18",0,"#000000",1,"#000000",1] 106 | ["LAYER",119,"SUBSTRATE","SUBSTRATE19",0,"#000000",1,"#000000",1] 107 | ["LAYER",120,"SUBSTRATE","SUBSTRATE20",0,"#000000",1,"#000000",1] 108 | ["LAYER",121,"SUBSTRATE","SUBSTRATE21",0,"#000000",1,"#000000",1] 109 | ["LAYER",122,"SUBSTRATE","SUBSTRATE22",0,"#000000",1,"#000000",1] 110 | ["LAYER",123,"SUBSTRATE","SUBSTRATE23",0,"#000000",1,"#000000",1] 111 | ["LAYER",124,"SUBSTRATE","SUBSTRATE24",0,"#000000",1,"#000000",1] 112 | ["LAYER",125,"SUBSTRATE","SUBSTRATE25",0,"#000000",1,"#000000",1] 113 | ["LAYER",126,"SUBSTRATE","SUBSTRATE26",0,"#000000",1,"#000000",1] 114 | ["LAYER",127,"SUBSTRATE","SUBSTRATE27",0,"#000000",1,"#000000",1] 115 | ["LAYER",128,"SUBSTRATE","SUBSTRATE28",0,"#000000",1,"#000000",1] 116 | ["LAYER",129,"SUBSTRATE","SUBSTRATE29",0,"#000000",1,"#000000",1] 117 | ["LAYER",130,"SUBSTRATE","SUBSTRATE30",0,"#000000",1,"#000000",1] 118 | ["ACTIVE_LAYER",1] 119 | ["POLY","e1",0,"",3,6,[16.8,-26,"L",54.5,-26],0] 120 | ["POLY","e2",0,"",3,6,[54.5,-26,"L",54.5,26],0] 121 | ["POLY","e3",0,"",3,6,[54.5,26,"L",16.8,26],0] 122 | ["POLY","e4",0,"",3,6,[-16.8,-26,"L",-54.5,-26],0] 123 | ["POLY","e5",0,"",3,6,[-54.5,-26,"L",-54.5,26],0] 124 | ["POLY","e6",0,"",3,6,[-54.5,26,"L",-16.8,26],0] 125 | ["PAD","e7",0,"",1,"2",29.7,0,0,null,["RECT",31.8,34],[],0,0,90,1,0,2,2,-3937.0080000000003,-3937.0080000000003,0] 126 | ["PAD","e8",0,"",1,"1",-29.7,0,0,null,["RECT",31.8,34],[],0,0,90,1,0,2,2,-3937.0080000000003,-3937.0080000000003,0] 127 | ["FILL","e9",0,"",49,0.2,0,[["CIRCLE",-31.5,-15.7,2.4]],0] 128 | ["FILL","e10",0,"",50,0.2,0,[-31.5,-15.7,"L",-31.5,15.7,-19.7,15.7,-19.7,-15.7,-31.5,-15.7],0] 129 | ["FILL","e11",0,"",50,0.2,0,[31.5,-15.7,"L",31.5,15.7,19.7,15.7,19.7,-15.7,31.5,-15.7],0] 130 | ["POLY","e12",0,"",48,2,[-31.5,-15.7,"L",-31.5,15.7,31.5,15.7,31.5,-15.7,-31.5,-15.7],0] 131 | ["FILL","e13",0,"",7,0.2,0,[47,17.7,"L",15.6,17.7,13.6,15.7,13.6,6.8,28.2,6.8,28.2,-6.2,13.6,-6.2,13.6,-15.7,15.6,-17.7,47,-17.7,49,-15.7,49,15.7,47,17.7],0] 132 | ["FILL","e14",0,"",7,0.2,0,[-14,15.7,"L",-14,6.8,-28.9,6.8,-28.9,-6.2,-14,-6.2,-14,-15.7,-15.9,-17.7,-47,-17.7,-49,-15.7,-49,15.7,-47,17.7,-15.9,17.7,-14,15.7],0] 133 | ["CONNECT","e10",["e8"]] 134 | ["CONNECT","e11",["e7"]] 135 | ["ATTR","e15",0,"",3,null,null,"Footprint","R0603",0,0,"default",45,6,0,0,3,0,0,0,0,0] 136 | ["ATTR","e16",0,"",3,null,null,"Designator","U?",0,0,"default",45,6,0,0,3,0,0,0,0,0] 137 | ["CANVAS",0,0,"mil",5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/65382169c9634ae3bb379256bf4a61f0.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["PAD","ie1",0,"",1,"1",0,-39.37,0,null,["RECT",118.11,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 25 | ["PAD","ie2",0,"",1,"3",-39.37,0,90,null,["RECT",39.37,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 26 | ["PAD","ie3",0,"",1,"4",39.37,0,90,null,["RECT",39.37,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 27 | ["PAD","ie4",0,"",1,"5",0,39.37,0,null,["RECT",118.11,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 28 | ["PAD","ie5",0,"",1,"2",0,0,0,null,["RECT",39.37,39.37,0],[],0,0,90,1,0,0,0,0,0,0] 29 | ["ATTR","ie6",0,"",3,null,null,"Footprint","Custom:Nintendo_3x3_GNDPad",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 30 | ["ATTR","ie7",0,"",3,null,null,"Designator","PAD?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 31 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/817eda99a9224d3a9aacb1dc559fda1c.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["POLY","ie1",0,"",9,3.937,[-31.496,-15.748,"L",-31.496,15.748],0] 25 | ["POLY","ie2",0,"",9,3.937,[-31.496,15.748,"L",31.496,15.748],0] 26 | ["POLY","ie3",0,"",9,3.937,[31.496,15.748,"L",31.496,-15.748],0] 27 | ["POLY","ie4",0,"",9,3.937,[31.496,-15.748,"L",-31.496,-15.748],0] 28 | ["POLY","ie5",0,"",3,7.874,[-25.09838,33.4645,"L",25.09838,33.4645],0] 29 | ["POLY","ie6",0,"",13,1.9685,[-58.2676,-28.7401,"L",-58.2676,28.7401],0] 30 | ["POLY","ie7",0,"",13,1.9685,[-58.2676,28.7401,"L",58.2676,28.7401],0] 31 | ["POLY","ie8",0,"",13,1.9685,[58.2676,28.7401,"L",58.2676,-28.7401],0] 32 | ["POLY","ie9",0,"",13,1.9685,[58.2676,-28.7401,"L",-58.2676,-28.7401],0] 33 | ["POLY","ie10",0,"",3,7.874,[-53.1495,33.4645,"L",-40.84637,33.4645],0] 34 | ["POLY","ie11",0,"",3,7.874,[40.84637,33.4645,"L",53.1495,33.4645],0] 35 | ["POLY","ie12",0,"",3,7.874,[40.84637,-33.4645,"L",53.1495,-33.4645],0] 36 | ["POLY","ie13",0,"",3,7.874,[-25.09838,-33.4645,"L",25.09838,-33.4645],0] 37 | ["POLY","ie14",0,"",3,7.874,[-53.1495,-33.4645,"L",-40.84637,-33.4645],0] 38 | ["POLY","ie15",0,"",3,7.874,[53.1495,33.4645,"L",53.1495,7.874],0] 39 | ["POLY","ie16",0,"",3,7.874,[53.1495,-33.4645,"L",53.1495,-7.874],0] 40 | ["POLY","ie17",0,"",3,7.874,[-53.1495,-7.874,"L",-53.1495,-33.4645],0] 41 | ["POLY","ie18",0,"",3,7.874,[-53.1495,33.4645,"L",-53.1495,7.874],0] 42 | ["PAD","ie19",0,"",1,"2",31.00387,0,0,null,["RECT",34.44875,37.4015,50],[],0,0,90,1,0,0,0,0,0,0] 43 | ["PAD","ie20",0,"",1,"1",-31.00387,0,0,null,["RECT",34.44875,37.4015,50],[],0,0,90,1,0,0,0,0,0,0] 44 | ["ATTR","ie21",0,"",3,null,null,"Footprint","Custom:R_0603_AGB",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 45 | ["ATTR","ie22",0,"",3,null,null,"Designator","R?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 46 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/851adf84037a4b2a91cb670462569f42.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["PAD","ie1",0,"",12,"1",0,0,0,["ROUND",76.7715,76.7715],["ELLIPSE",82.677,82.677],[],0,0,90,1,0,0,0,0,0,0] 25 | ["ATTR","ie2",0,"",3,null,null,"Footprint","Custom:TestPoint_Plated_Hole_D2.0mm",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 26 | ["ATTR","ie3",0,"",3,null,null,"Designator","PLATEDHOLE?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 27 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/8a71817230f64376a83fef0f32070e1a.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 5 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 6 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 7 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 8 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 9 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 10 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 11 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 12 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 13 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 14 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 15 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 16 | ["LAYER",15,"SIGNAL","Inner1",0,"#999966",1,"#4c4c33",1] 17 | ["LAYER",16,"SIGNAL","Inner2",0,"#008000",1,"#004000",1] 18 | ["LAYER",17,"SIGNAL","Inner3",0,"#00ff00",1,"#007f00",1] 19 | ["LAYER",18,"SIGNAL","Inner4",0,"#bc8e00",1,"#5e4700",1] 20 | ["LAYER",19,"SIGNAL","Inner5",0,"#70dbfa",1,"#386d7d",1] 21 | ["LAYER",20,"SIGNAL","Inner6",0,"#00cc66",1,"#006633",1] 22 | ["LAYER",21,"SIGNAL","Inner7",0,"#9966ff",1,"#4c337f",1] 23 | ["LAYER",22,"SIGNAL","Inner8",0,"#800080",1,"#400040",1] 24 | ["LAYER",23,"SIGNAL","Inner9",0,"#008080",1,"#004040",1] 25 | ["LAYER",24,"SIGNAL","Inner10",0,"#15935f",1,"#0a492f",1] 26 | ["LAYER",25,"SIGNAL","Inner11",0,"#000080",1,"#000040",1] 27 | ["LAYER",26,"SIGNAL","Inner12",0,"#00b400",1,"#005a00",1] 28 | ["LAYER",27,"SIGNAL","Inner13",0,"#2e4756",1,"#17232b",1] 29 | ["LAYER",28,"SIGNAL","Inner14",0,"#99842f",1,"#4c4217",1] 30 | ["LAYER",29,"SIGNAL","Inner15",0,"#ffffaa",1,"#7f7f55",1] 31 | ["LAYER",30,"SIGNAL","Inner16",0,"#99842f",1,"#4c4217",1] 32 | ["LAYER",31,"SIGNAL","Inner17",0,"#2e4756",1,"#17232b",1] 33 | ["LAYER",32,"SIGNAL","Inner18",0,"#3535ff",1,"#1a1a7f",1] 34 | ["LAYER",33,"SIGNAL","Inner19",0,"#8000bc",1,"#40005e",1] 35 | ["LAYER",34,"SIGNAL","Inner20",0,"#43ae5f",1,"#21572f",1] 36 | ["LAYER",35,"SIGNAL","Inner21",0,"#c3ecce",1,"#617667",1] 37 | ["LAYER",36,"SIGNAL","Inner22",0,"#728978",1,"#39443c",1] 38 | ["LAYER",37,"SIGNAL","Inner23",0,"#39503f",1,"#1c281f",1] 39 | ["LAYER",38,"SIGNAL","Inner24",0,"#0c715d",1,"#06382e",1] 40 | ["LAYER",39,"SIGNAL","Inner25",0,"#5a8a80",1,"#2d4540",1] 41 | ["LAYER",40,"SIGNAL","Inner26",0,"#2b937e",1,"#15493f",1] 42 | ["LAYER",41,"SIGNAL","Inner27",0,"#23999d",1,"#114c4e",1] 43 | ["LAYER",42,"SIGNAL","Inner28",0,"#45b4e3",1,"#225a71",1] 44 | ["LAYER",43,"SIGNAL","Inner29",0,"#215da1",1,"#102e50",1] 45 | ["LAYER",44,"SIGNAL","Inner30",0,"#4564d7",1,"#22326b",1] 46 | ["LAYER",45,"SIGNAL","Inner31",0,"#6969e9",1,"#343474",1] 47 | ["LAYER",46,"SIGNAL","Inner32",0,"#9069e9",1,"#483474",1] 48 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 49 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 50 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 51 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 52 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 53 | ["LAYER",52,"COMPONENT_MODEL","Component Model Layer",0,"#ffffff",1,"#7f7f7f",1] 54 | ["LAYER",53,"3D_SHELL_OUTLINE","3D Shell Outline Layer",0,"#66ff99",1,"#337f4c",1] 55 | ["LAYER",54,"3D_SHELL_TOP","3D Shell Top Layer",0,"#ffccff",1,"#7f667f",1] 56 | ["LAYER",55,"3D_SHELL_BOTTOM","3D Shell Bottom Layer",0,"#0066cc",1,"#003366",1] 57 | ["LAYER",56,"DRILL_DRAWING","Drill Drawing Layer",0,"#99ffff",1,"#4c7f7f",1] 58 | ["LAYER",71,"CUSTOM","Custom1",0,"#9069e9",1,"#483474",1] 59 | ["LAYER",72,"CUSTOM","Custom2",0,"#6969e9",1,"#343474",1] 60 | ["LAYER",73,"CUSTOM","Custom3",0,"#4564d7",1,"#22326b",1] 61 | ["LAYER",74,"CUSTOM","Custom4",0,"#215da1",1,"#102e50",1] 62 | ["LAYER",75,"CUSTOM","Custom5",0,"#45b4e3",1,"#225a71",1] 63 | ["LAYER",76,"CUSTOM","Custom6",0,"#23999d",1,"#114c4e",1] 64 | ["LAYER",77,"CUSTOM","Custom7",0,"#2b937e",1,"#15493f",1] 65 | ["LAYER",78,"CUSTOM","Custom8",0,"#5a8a80",1,"#2d4540",1] 66 | ["LAYER",79,"CUSTOM","Custom9",0,"#0c715d",1,"#06382e",1] 67 | ["LAYER",80,"CUSTOM","Custom10",0,"#39503f",1,"#1c281f",1] 68 | ["LAYER",81,"CUSTOM","Custom11",0,"#728978",1,"#39443c",1] 69 | ["LAYER",82,"CUSTOM","Custom12",0,"#c3ecce",1,"#617667",1] 70 | ["LAYER",83,"CUSTOM","Custom13",0,"#43ae5f",1,"#21572f",1] 71 | ["LAYER",84,"CUSTOM","Custom14",0,"#8000bc",1,"#40005e",1] 72 | ["LAYER",85,"CUSTOM","Custom15",0,"#3535ff",1,"#1a1a7f",1] 73 | ["LAYER",86,"CUSTOM","Custom16",0,"#2e4756",1,"#17232b",1] 74 | ["LAYER",87,"CUSTOM","Custom17",0,"#99842f",1,"#4c4217",1] 75 | ["LAYER",88,"CUSTOM","Custom18",0,"#ffffaa",1,"#7f7f55",1] 76 | ["LAYER",89,"CUSTOM","Custom19",0,"#99842f",1,"#4c4217",1] 77 | ["LAYER",90,"CUSTOM","Custom20",0,"#2e4756",1,"#17232b",1] 78 | ["LAYER",91,"CUSTOM","Custom21",0,"#00b400",1,"#005a00",1] 79 | ["LAYER",92,"CUSTOM","Custom22",0,"#000080",1,"#000040",1] 80 | ["LAYER",93,"CUSTOM","Custom23",0,"#15935f",1,"#a.492f",1] 81 | ["LAYER",94,"CUSTOM","Custom24",0,"#008080",1,"#004040",1] 82 | ["LAYER",95,"CUSTOM","Custom25",0,"#800080",1,"#400040",1] 83 | ["LAYER",96,"CUSTOM","Custom26",0,"#9966ff",1,"#4c337f",1] 84 | ["LAYER",97,"CUSTOM","Custom27",0,"#00cc66",1,"#006633",1] 85 | ["LAYER",98,"CUSTOM","Custom28",0,"#70dbfa",1,"#386d7d",1] 86 | ["LAYER",99,"CUSTOM","Custom29",0,"#bc8e00",1,"#5e4700",1] 87 | ["LAYER",100,"CUSTOM","Custom30",0,"#00ff00",1,"#007f00",1] 88 | ["LAYER",101,"SUBSTRATE","SUBSTRATE1",0,"#000000",1,"#000000",1] 89 | ["LAYER",102,"SUBSTRATE","SUBSTRATE2",0,"#000000",1,"#000000",1] 90 | ["LAYER",103,"SUBSTRATE","SUBSTRATE3",0,"#000000",1,"#000000",1] 91 | ["LAYER",104,"SUBSTRATE","SUBSTRATE4",0,"#000000",1,"#000000",1] 92 | ["LAYER",105,"SUBSTRATE","SUBSTRATE5",0,"#000000",1,"#000000",1] 93 | ["LAYER",106,"SUBSTRATE","SUBSTRATE6",0,"#000000",1,"#000000",1] 94 | ["LAYER",107,"SUBSTRATE","SUBSTRATE7",0,"#000000",1,"#000000",1] 95 | ["LAYER",108,"SUBSTRATE","SUBSTRATE8",0,"#000000",1,"#000000",1] 96 | ["LAYER",109,"SUBSTRATE","SUBSTRATE9",0,"#000000",1,"#000000",1] 97 | ["LAYER",110,"SUBSTRATE","SUBSTRATE10",0,"#000000",1,"#000000",1] 98 | ["LAYER",111,"SUBSTRATE","SUBSTRATE11",0,"#000000",1,"#000000",1] 99 | ["LAYER",112,"SUBSTRATE","SUBSTRATE12",0,"#000000",1,"#000000",1] 100 | ["LAYER",113,"SUBSTRATE","SUBSTRATE13",0,"#000000",1,"#000000",1] 101 | ["LAYER",114,"SUBSTRATE","SUBSTRATE14",0,"#000000",1,"#000000",1] 102 | ["LAYER",115,"SUBSTRATE","SUBSTRATE15",0,"#000000",1,"#000000",1] 103 | ["LAYER",116,"SUBSTRATE","SUBSTRATE16",0,"#000000",1,"#000000",1] 104 | ["LAYER",117,"SUBSTRATE","SUBSTRATE17",0,"#000000",1,"#000000",1] 105 | ["LAYER",118,"SUBSTRATE","SUBSTRATE18",0,"#000000",1,"#000000",1] 106 | ["LAYER",119,"SUBSTRATE","SUBSTRATE19",0,"#000000",1,"#000000",1] 107 | ["LAYER",120,"SUBSTRATE","SUBSTRATE20",0,"#000000",1,"#000000",1] 108 | ["LAYER",121,"SUBSTRATE","SUBSTRATE21",0,"#000000",1,"#000000",1] 109 | ["LAYER",122,"SUBSTRATE","SUBSTRATE22",0,"#000000",1,"#000000",1] 110 | ["LAYER",123,"SUBSTRATE","SUBSTRATE23",0,"#000000",1,"#000000",1] 111 | ["LAYER",124,"SUBSTRATE","SUBSTRATE24",0,"#000000",1,"#000000",1] 112 | ["LAYER",125,"SUBSTRATE","SUBSTRATE25",0,"#000000",1,"#000000",1] 113 | ["LAYER",126,"SUBSTRATE","SUBSTRATE26",0,"#000000",1,"#000000",1] 114 | ["LAYER",127,"SUBSTRATE","SUBSTRATE27",0,"#000000",1,"#000000",1] 115 | ["LAYER",128,"SUBSTRATE","SUBSTRATE28",0,"#000000",1,"#000000",1] 116 | ["LAYER",129,"SUBSTRATE","SUBSTRATE29",0,"#000000",1,"#000000",1] 117 | ["LAYER",130,"SUBSTRATE","SUBSTRATE30",0,"#000000",1,"#000000",1] 118 | ["ACTIVE_LAYER",1] 119 | ["POLY","e1",0,"",3,10,[-255.9,118.1,"L",255.9,118.1],0] 120 | ["POLY","e2",0,"",3,10,[255.9,118.1,"L",255.9,-118.1],0] 121 | ["POLY","e3",0,"",3,10,[255.9,-118.1,"L",-255.9,-118.1],0] 122 | ["POLY","e4",0,"",3,10,[-255.9,-118.1,"L",-255.9,118.1],0] 123 | ["PAD","e5",0,"",12,"1",-196.8,0,0,["ROUND",39.4,39.4],["ELLIPSE",70.9,70.9],[],0,0,0,1,0,2,2,0,0,0] 124 | ["PAD","e6",0,"",12,"2",196.9,0,0,["ROUND",39.4,39.4],["ELLIPSE",70.9,70.9],[],0,0,0,1,0,2,2,0,0,0] 125 | ["FILL","e7",0,"",49,0.2,0,[["CIRCLE",-255.9,-118.1,2.4]],0] 126 | ["FILL","e8",0,"",50,0.2,0,[["CIRCLE",196.9,0,11.8]],0] 127 | ["FILL","e9",0,"",50,0.2,0,[["CIRCLE",-196.8,0,11.8]],0] 128 | ["POLY","e10",0,"",48,2,[-255.9,-118.1,"L",-255.9,118.1,255.9,118.1,255.9,-118.1,-255.9,-118.1],0] 129 | ["ATTR","e11",0,"",3,null,null,"Footprint","CAP-TH_L13.0-W6.0-P10.00-D0.6",0,0,"default",45,6,0,0,3,0,0,0,0,0] 130 | ["ATTR","e12",0,"",3,null,null,"Designator","U?",0,0,"default",45,6,0,0,3,0,0,0,0,0] 131 | ["CANVAS",0,0,"mil",5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/90edee8e77ef4fa284b24cf74b80e24c.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 5 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 6 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 7 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 8 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 9 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 10 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 11 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 12 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 13 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 14 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 15 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 16 | ["LAYER",15,"SIGNAL","Inner1",0,"#999966",1,"#4c4c33",1] 17 | ["LAYER",16,"SIGNAL","Inner2",0,"#008000",1,"#004000",1] 18 | ["LAYER",17,"SIGNAL","Inner3",0,"#00ff00",1,"#007f00",1] 19 | ["LAYER",18,"SIGNAL","Inner4",0,"#bc8e00",1,"#5e4700",1] 20 | ["LAYER",19,"SIGNAL","Inner5",0,"#70dbfa",1,"#386d7d",1] 21 | ["LAYER",20,"SIGNAL","Inner6",0,"#00cc66",1,"#006633",1] 22 | ["LAYER",21,"SIGNAL","Inner7",0,"#9966ff",1,"#4c337f",1] 23 | ["LAYER",22,"SIGNAL","Inner8",0,"#800080",1,"#400040",1] 24 | ["LAYER",23,"SIGNAL","Inner9",0,"#008080",1,"#004040",1] 25 | ["LAYER",24,"SIGNAL","Inner10",0,"#15935f",1,"#0a492f",1] 26 | ["LAYER",25,"SIGNAL","Inner11",0,"#000080",1,"#000040",1] 27 | ["LAYER",26,"SIGNAL","Inner12",0,"#00b400",1,"#005a00",1] 28 | ["LAYER",27,"SIGNAL","Inner13",0,"#2e4756",1,"#17232b",1] 29 | ["LAYER",28,"SIGNAL","Inner14",0,"#99842f",1,"#4c4217",1] 30 | ["LAYER",29,"SIGNAL","Inner15",0,"#ffffaa",1,"#7f7f55",1] 31 | ["LAYER",30,"SIGNAL","Inner16",0,"#99842f",1,"#4c4217",1] 32 | ["LAYER",31,"SIGNAL","Inner17",0,"#2e4756",1,"#17232b",1] 33 | ["LAYER",32,"SIGNAL","Inner18",0,"#3535ff",1,"#1a1a7f",1] 34 | ["LAYER",33,"SIGNAL","Inner19",0,"#8000bc",1,"#40005e",1] 35 | ["LAYER",34,"SIGNAL","Inner20",0,"#43ae5f",1,"#21572f",1] 36 | ["LAYER",35,"SIGNAL","Inner21",0,"#c3ecce",1,"#617667",1] 37 | ["LAYER",36,"SIGNAL","Inner22",0,"#728978",1,"#39443c",1] 38 | ["LAYER",37,"SIGNAL","Inner23",0,"#39503f",1,"#1c281f",1] 39 | ["LAYER",38,"SIGNAL","Inner24",0,"#0c715d",1,"#06382e",1] 40 | ["LAYER",39,"SIGNAL","Inner25",0,"#5a8a80",1,"#2d4540",1] 41 | ["LAYER",40,"SIGNAL","Inner26",0,"#2b937e",1,"#15493f",1] 42 | ["LAYER",41,"SIGNAL","Inner27",0,"#23999d",1,"#114c4e",1] 43 | ["LAYER",42,"SIGNAL","Inner28",0,"#45b4e3",1,"#225a71",1] 44 | ["LAYER",43,"SIGNAL","Inner29",0,"#215da1",1,"#102e50",1] 45 | ["LAYER",44,"SIGNAL","Inner30",0,"#4564d7",1,"#22326b",1] 46 | ["LAYER",45,"SIGNAL","Inner31",0,"#6969e9",1,"#343474",1] 47 | ["LAYER",46,"SIGNAL","Inner32",0,"#9069e9",1,"#483474",1] 48 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 49 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 50 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 51 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 52 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 53 | ["LAYER",52,"COMPONENT_MODEL","Component Model Layer",0,"#ffffff",1,"#7f7f7f",1] 54 | ["LAYER",53,"3D_SHELL_OUTLINE","3D Shell Outline Layer",0,"#66ff99",1,"#337f4c",1] 55 | ["LAYER",54,"3D_SHELL_TOP","3D Shell Top Layer",0,"#ffccff",1,"#7f667f",1] 56 | ["LAYER",55,"3D_SHELL_BOTTOM","3D Shell Bottom Layer",0,"#0066cc",1,"#003366",1] 57 | ["LAYER",56,"DRILL_DRAWING","Drill Drawing Layer",0,"#99ffff",1,"#4c7f7f",1] 58 | ["LAYER",71,"CUSTOM","Custom1",0,"#9069e9",1,"#483474",1] 59 | ["LAYER",72,"CUSTOM","Custom2",0,"#6969e9",1,"#343474",1] 60 | ["LAYER",73,"CUSTOM","Custom3",0,"#4564d7",1,"#22326b",1] 61 | ["LAYER",74,"CUSTOM","Custom4",0,"#215da1",1,"#102e50",1] 62 | ["LAYER",75,"CUSTOM","Custom5",0,"#45b4e3",1,"#225a71",1] 63 | ["LAYER",76,"CUSTOM","Custom6",0,"#23999d",1,"#114c4e",1] 64 | ["LAYER",77,"CUSTOM","Custom7",0,"#2b937e",1,"#15493f",1] 65 | ["LAYER",78,"CUSTOM","Custom8",0,"#5a8a80",1,"#2d4540",1] 66 | ["LAYER",79,"CUSTOM","Custom9",0,"#0c715d",1,"#06382e",1] 67 | ["LAYER",80,"CUSTOM","Custom10",0,"#39503f",1,"#1c281f",1] 68 | ["LAYER",81,"CUSTOM","Custom11",0,"#728978",1,"#39443c",1] 69 | ["LAYER",82,"CUSTOM","Custom12",0,"#c3ecce",1,"#617667",1] 70 | ["LAYER",83,"CUSTOM","Custom13",0,"#43ae5f",1,"#21572f",1] 71 | ["LAYER",84,"CUSTOM","Custom14",0,"#8000bc",1,"#40005e",1] 72 | ["LAYER",85,"CUSTOM","Custom15",0,"#3535ff",1,"#1a1a7f",1] 73 | ["LAYER",86,"CUSTOM","Custom16",0,"#2e4756",1,"#17232b",1] 74 | ["LAYER",87,"CUSTOM","Custom17",0,"#99842f",1,"#4c4217",1] 75 | ["LAYER",88,"CUSTOM","Custom18",0,"#ffffaa",1,"#7f7f55",1] 76 | ["LAYER",89,"CUSTOM","Custom19",0,"#99842f",1,"#4c4217",1] 77 | ["LAYER",90,"CUSTOM","Custom20",0,"#2e4756",1,"#17232b",1] 78 | ["LAYER",91,"CUSTOM","Custom21",0,"#00b400",1,"#005a00",1] 79 | ["LAYER",92,"CUSTOM","Custom22",0,"#000080",1,"#000040",1] 80 | ["LAYER",93,"CUSTOM","Custom23",0,"#15935f",1,"#a.492f",1] 81 | ["LAYER",94,"CUSTOM","Custom24",0,"#008080",1,"#004040",1] 82 | ["LAYER",95,"CUSTOM","Custom25",0,"#800080",1,"#400040",1] 83 | ["LAYER",96,"CUSTOM","Custom26",0,"#9966ff",1,"#4c337f",1] 84 | ["LAYER",97,"CUSTOM","Custom27",0,"#00cc66",1,"#006633",1] 85 | ["LAYER",98,"CUSTOM","Custom28",0,"#70dbfa",1,"#386d7d",1] 86 | ["LAYER",99,"CUSTOM","Custom29",0,"#bc8e00",1,"#5e4700",1] 87 | ["LAYER",100,"CUSTOM","Custom30",0,"#00ff00",1,"#007f00",1] 88 | ["LAYER",101,"SUBSTRATE","SUBSTRATE1",0,"#000000",1,"#000000",1] 89 | ["LAYER",102,"SUBSTRATE","SUBSTRATE2",0,"#000000",1,"#000000",1] 90 | ["LAYER",103,"SUBSTRATE","SUBSTRATE3",0,"#000000",1,"#000000",1] 91 | ["LAYER",104,"SUBSTRATE","SUBSTRATE4",0,"#000000",1,"#000000",1] 92 | ["LAYER",105,"SUBSTRATE","SUBSTRATE5",0,"#000000",1,"#000000",1] 93 | ["LAYER",106,"SUBSTRATE","SUBSTRATE6",0,"#000000",1,"#000000",1] 94 | ["LAYER",107,"SUBSTRATE","SUBSTRATE7",0,"#000000",1,"#000000",1] 95 | ["LAYER",108,"SUBSTRATE","SUBSTRATE8",0,"#000000",1,"#000000",1] 96 | ["LAYER",109,"SUBSTRATE","SUBSTRATE9",0,"#000000",1,"#000000",1] 97 | ["LAYER",110,"SUBSTRATE","SUBSTRATE10",0,"#000000",1,"#000000",1] 98 | ["LAYER",111,"SUBSTRATE","SUBSTRATE11",0,"#000000",1,"#000000",1] 99 | ["LAYER",112,"SUBSTRATE","SUBSTRATE12",0,"#000000",1,"#000000",1] 100 | ["LAYER",113,"SUBSTRATE","SUBSTRATE13",0,"#000000",1,"#000000",1] 101 | ["LAYER",114,"SUBSTRATE","SUBSTRATE14",0,"#000000",1,"#000000",1] 102 | ["LAYER",115,"SUBSTRATE","SUBSTRATE15",0,"#000000",1,"#000000",1] 103 | ["LAYER",116,"SUBSTRATE","SUBSTRATE16",0,"#000000",1,"#000000",1] 104 | ["LAYER",117,"SUBSTRATE","SUBSTRATE17",0,"#000000",1,"#000000",1] 105 | ["LAYER",118,"SUBSTRATE","SUBSTRATE18",0,"#000000",1,"#000000",1] 106 | ["LAYER",119,"SUBSTRATE","SUBSTRATE19",0,"#000000",1,"#000000",1] 107 | ["LAYER",120,"SUBSTRATE","SUBSTRATE20",0,"#000000",1,"#000000",1] 108 | ["LAYER",121,"SUBSTRATE","SUBSTRATE21",0,"#000000",1,"#000000",1] 109 | ["LAYER",122,"SUBSTRATE","SUBSTRATE22",0,"#000000",1,"#000000",1] 110 | ["LAYER",123,"SUBSTRATE","SUBSTRATE23",0,"#000000",1,"#000000",1] 111 | ["LAYER",124,"SUBSTRATE","SUBSTRATE24",0,"#000000",1,"#000000",1] 112 | ["LAYER",125,"SUBSTRATE","SUBSTRATE25",0,"#000000",1,"#000000",1] 113 | ["LAYER",126,"SUBSTRATE","SUBSTRATE26",0,"#000000",1,"#000000",1] 114 | ["LAYER",127,"SUBSTRATE","SUBSTRATE27",0,"#000000",1,"#000000",1] 115 | ["LAYER",128,"SUBSTRATE","SUBSTRATE28",0,"#000000",1,"#000000",1] 116 | ["LAYER",129,"SUBSTRATE","SUBSTRATE29",0,"#000000",1,"#000000",1] 117 | ["LAYER",130,"SUBSTRATE","SUBSTRATE30",0,"#000000",1,"#000000",1] 118 | ["ACTIVE_LAYER",1] 119 | ["POLY","e1",0,"",3,10,[-98.4,49.2,"L",98.4,49.2],0] 120 | ["POLY","e2",0,"",3,10,[98.4,-50,"L",-98.4,-50],0] 121 | ["POLY","e3",0,"",3,10,[98.4,49.2,"L",98.4,40],0] 122 | ["POLY","e4",0,"",3,10,[98.4,-50,"L",98.4,-40],0] 123 | ["POLY","e5",0,"",3,10,[-98.4,49.2,"L",-98.4,40],0] 124 | ["POLY","e6",0,"",3,10,[-98.4,-50,"L",-98.4,-40],0] 125 | ["PAD","e7",0,"",12,"1",-98.4,0,0,["ROUND",39.4,39.4],["ELLIPSE",63,63],[],0,0,0,1,0,2,2,0,0,0] 126 | ["PAD","e8",0,"",12,"2",98.4,0,0,["ROUND",39.4,39.4],["ELLIPSE",63,63],[],0,0,0,1,0,2,2,0,0,0] 127 | ["FILL","e9",0,"",49,0.2,0,[["CIRCLE",-112.2,-49.2,2.4]],0] 128 | ["FILL","e10",0,"",50,0.2,0,[["CIRCLE",98.4,0,13.8]],0] 129 | ["FILL","e11",0,"",50,0.2,0,[["CIRCLE",-98.4,0,13.8]],0] 130 | ["POLY","e12",0,"",48,2,[-98.4,49.2,"L",98.4,49.2,98.4,-49.2,-98.4,-49.2,-98.4,49.2],0] 131 | ["ATTR","e13",0,"",3,null,null,"Footprint","CAP-TH_L5.0-W2.5-P5.00-D1.0",0,0,"default",45,6,0,0,3,0,0,0,0,0] 132 | ["ATTR","e14",0,"",3,null,null,"Designator","U?",0,0,"default",45,6,0,0,3,0,0,0,0,0] 133 | ["CANVAS",0,0,"mil",5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/a9ebeb668ad449b7a9b2706ae50f46ae.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["POLY","ie1",0,"",9,3.937,[-31.496,-15.748,"L",-31.496,15.748],0] 25 | ["POLY","ie2",0,"",9,3.937,[-31.496,15.748,"L",31.496,15.748],0] 26 | ["POLY","ie3",0,"",9,3.937,[31.496,15.748,"L",31.496,-15.748],0] 27 | ["POLY","ie4",0,"",9,3.937,[31.496,-15.748,"L",-31.496,-15.748],0] 28 | ["POLY","ie5",0,"",3,7.874,[-53.1495,33.4645,"L",53.1495,33.4645],0] 29 | ["POLY","ie6",0,"",13,1.9685,[-58.2676,-28.7401,"L",-58.2676,28.7401],0] 30 | ["POLY","ie7",0,"",13,1.9685,[-58.2676,28.7401,"L",58.2676,28.7401],0] 31 | ["POLY","ie8",0,"",13,1.9685,[58.2676,28.7401,"L",58.2676,-28.7401],0] 32 | ["POLY","ie9",0,"",13,1.9685,[58.2676,-28.7401,"L",-58.2676,-28.7401],0] 33 | ["POLY","ie10",0,"",3,7.874,[-53.1495,-33.4645,"L",53.1495,-33.4645],0] 34 | ["POLY","ie11",0,"",3,7.874,[53.1495,-33.4645,"L",53.1495,33.4645],0] 35 | ["POLY","ie12",0,"",3,7.874,[-53.1495,33.4645,"L",-53.1495,-33.4645],0] 36 | ["PAD","ie13",0,"",1,"2",31.00387,0,0,null,["RECT",34.44875,37.4015,50],[],0,0,90,1,0,0,0,0,0,0] 37 | ["PAD","ie14",0,"",1,"1",-31.00387,0,0,null,["RECT",34.44875,37.4015,50],[],0,0,90,1,0,0,0,0,0,0] 38 | ["ATTR","ie15",0,"",3,null,null,"Footprint","Custom:C_0603_AGB",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 39 | ["ATTR","ie16",0,"",3,null,null,"Designator","R?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 40 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/ac44d11bbfd94a648b481b240edb706f.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["POLY","ie1",0,"",3,7.874,[-49.2125,366.73155,"L",-183.0705,366.73155],0] 25 | ["POLY","ie2",0,"",3,7.874,[49.2125,366.73155,"L",183.0705,366.73155],0] 26 | ["POLY","ie3",0,"",13,1.9685,[259.842,372.4402,"L",-259.842,372.4402],0] 27 | ["POLY","ie4",0,"",13,1.9685,[259.842,-372.4402,"L",259.842,372.4402],0] 28 | ["POLY","ie5",0,"",13,1.9685,[-259.842,-372.4402,"L",259.842,-372.4402],0] 29 | ["POLY","ie6",0,"",13,1.9685,[-259.842,372.4402,"L",-259.842,-372.4402],0] 30 | ["POLY","ie7",0,"",9,3.937,[-199.9996,323.03085,"L",-160.6296,362.40085],0] 31 | ["POLY","ie8",0,"",9,3.937,[-199.9996,-362.40085,"L",-199.9996,323.03085],0] 32 | ["POLY","ie9",0,"",9,3.937,[199.9996,-362.40085,"L",-199.9996,-362.40085],0] 33 | ["POLY","ie10",0,"",9,3.937,[199.9996,362.40085,"L",199.9996,-362.40085],0] 34 | ["POLY","ie11",0,"",9,3.937,[-160.6296,362.40085,"L",199.9996,362.40085],0] 35 | ["POLY","ie12",0,"",3,7.874,[-183.0705,366.73155,"L",-183.0705,-366.73155],0] 36 | ["POLY","ie13",0,"",3,7.874,[183.0705,366.73155,"L",183.0705,-366.73155],0] 37 | ["POLY","ie14",0,"",3,7.874,[-183.0705,-366.73155,"L",183.0705,-366.73155],0] 38 | ["POLY","ie15",0,"",10,4.7244,null,0] 39 | ["POLY","ie16",0,"",3,7.874,[-49.2125,366.73155,"ARC",180,49.2125,366.73155],0] 40 | ["PAD","ie17",0,"",1,"1",-219.97988,330.708,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 41 | ["PAD","ie18",0,"",1,"2",-219.97988,299.212,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 42 | ["PAD","ie19",0,"",1,"3",-219.97988,267.716,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 43 | ["PAD","ie20",0,"",1,"4",-219.97988,236.22,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 44 | ["PAD","ie21",0,"",1,"5",-219.97988,204.724,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 45 | ["PAD","ie22",0,"",1,"6",-219.97988,173.228,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 46 | ["PAD","ie23",0,"",1,"7",-219.97988,141.732,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 47 | ["PAD","ie24",0,"",1,"8",-219.97988,110.236,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 48 | ["PAD","ie25",0,"",1,"9",-219.97988,78.74,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 49 | ["PAD","ie26",0,"",1,"10",-219.97988,47.244,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 50 | ["PAD","ie27",0,"",1,"11",-219.97988,15.748,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 51 | ["PAD","ie28",0,"",1,"12",-219.97988,-15.748,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 52 | ["PAD","ie29",0,"",1,"13",-219.97988,-47.244,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 53 | ["PAD","ie30",0,"",1,"14",-219.97988,-78.74,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 54 | ["PAD","ie31",0,"",1,"15",-219.97988,-110.236,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 55 | ["PAD","ie32",0,"",1,"16",-219.97988,-141.732,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 56 | ["PAD","ie33",0,"",1,"17",-219.97988,-173.228,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 57 | ["PAD","ie34",0,"",1,"18",-219.97988,-204.724,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 58 | ["PAD","ie35",0,"",1,"19",-219.97988,-236.22,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 59 | ["PAD","ie36",0,"",1,"20",-219.97988,-267.716,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 60 | ["PAD","ie37",0,"",1,"21",-219.97988,-299.212,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 61 | ["PAD","ie38",0,"",1,"22",-219.97988,-330.708,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 62 | ["PAD","ie39",0,"",1,"23",219.97987,-330.708,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 63 | ["PAD","ie40",0,"",1,"24",219.97987,-299.212,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 64 | ["PAD","ie41",0,"",1,"25",219.97987,-267.716,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 65 | ["PAD","ie42",0,"",1,"26",219.97987,-236.22,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 66 | ["PAD","ie43",0,"",1,"27",219.97987,-204.724,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 67 | ["PAD","ie44",0,"",1,"28",219.97987,-173.228,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 68 | ["PAD","ie45",0,"",1,"29",219.97987,-141.732,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 69 | ["PAD","ie46",0,"",1,"30",219.97987,-110.236,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 70 | ["PAD","ie47",0,"",1,"31",219.97987,-78.74,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 71 | ["PAD","ie48",0,"",1,"32",219.97987,-47.244,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 72 | ["PAD","ie49",0,"",1,"33",219.97987,-15.748,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 73 | ["PAD","ie50",0,"",1,"34",219.97987,15.748,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 74 | ["PAD","ie51",0,"",1,"35",219.97987,47.244,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 75 | ["PAD","ie52",0,"",1,"36",219.97987,78.74,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 76 | ["PAD","ie53",0,"",1,"37",219.97987,110.236,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 77 | ["PAD","ie54",0,"",1,"38",219.97987,141.732,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 78 | ["PAD","ie55",0,"",1,"39",219.97987,173.228,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 79 | ["PAD","ie56",0,"",1,"40",219.97987,204.724,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 80 | ["PAD","ie57",0,"",1,"41",219.97987,236.22,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 81 | ["PAD","ie58",0,"",1,"42",219.97987,267.716,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 82 | ["PAD","ie59",0,"",1,"43",219.97987,299.212,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 83 | ["PAD","ie60",0,"",1,"44",219.97987,330.708,0,null,["RECT",60.03925,21.6535,50],[],0,0,90,1,0,0,0,0,0,0] 84 | ["ATTR","ie61",0,"",3,null,null,"Footprint","Package_SO:TSOP-II-44_10.16x18.41mm_P0.8mm",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 85 | ["ATTR","ie62",0,"",3,null,null,"Designator","U?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 86 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/b7ea72dc044c4270b2fefe6c1487971b.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 5 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 6 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 7 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 8 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 9 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 10 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 11 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 12 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 13 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 14 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 15 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 16 | ["LAYER",15,"SIGNAL","Inner1",0,"#999966",1,"#4c4c33",1] 17 | ["LAYER",16,"SIGNAL","Inner2",0,"#008000",1,"#004000",1] 18 | ["LAYER",17,"SIGNAL","Inner3",0,"#00ff00",1,"#007f00",1] 19 | ["LAYER",18,"SIGNAL","Inner4",0,"#bc8e00",1,"#5e4700",1] 20 | ["LAYER",19,"SIGNAL","Inner5",0,"#70dbfa",1,"#386d7d",1] 21 | ["LAYER",20,"SIGNAL","Inner6",0,"#00cc66",1,"#006633",1] 22 | ["LAYER",21,"SIGNAL","Inner7",0,"#9966ff",1,"#4c337f",1] 23 | ["LAYER",22,"SIGNAL","Inner8",0,"#800080",1,"#400040",1] 24 | ["LAYER",23,"SIGNAL","Inner9",0,"#008080",1,"#004040",1] 25 | ["LAYER",24,"SIGNAL","Inner10",0,"#15935f",1,"#0a492f",1] 26 | ["LAYER",25,"SIGNAL","Inner11",0,"#000080",1,"#000040",1] 27 | ["LAYER",26,"SIGNAL","Inner12",0,"#00b400",1,"#005a00",1] 28 | ["LAYER",27,"SIGNAL","Inner13",0,"#2e4756",1,"#17232b",1] 29 | ["LAYER",28,"SIGNAL","Inner14",0,"#99842f",1,"#4c4217",1] 30 | ["LAYER",29,"SIGNAL","Inner15",0,"#ffffaa",1,"#7f7f55",1] 31 | ["LAYER",30,"SIGNAL","Inner16",0,"#99842f",1,"#4c4217",1] 32 | ["LAYER",31,"SIGNAL","Inner17",0,"#2e4756",1,"#17232b",1] 33 | ["LAYER",32,"SIGNAL","Inner18",0,"#3535ff",1,"#1a1a7f",1] 34 | ["LAYER",33,"SIGNAL","Inner19",0,"#8000bc",1,"#40005e",1] 35 | ["LAYER",34,"SIGNAL","Inner20",0,"#43ae5f",1,"#21572f",1] 36 | ["LAYER",35,"SIGNAL","Inner21",0,"#c3ecce",1,"#617667",1] 37 | ["LAYER",36,"SIGNAL","Inner22",0,"#728978",1,"#39443c",1] 38 | ["LAYER",37,"SIGNAL","Inner23",0,"#39503f",1,"#1c281f",1] 39 | ["LAYER",38,"SIGNAL","Inner24",0,"#0c715d",1,"#06382e",1] 40 | ["LAYER",39,"SIGNAL","Inner25",0,"#5a8a80",1,"#2d4540",1] 41 | ["LAYER",40,"SIGNAL","Inner26",0,"#2b937e",1,"#15493f",1] 42 | ["LAYER",41,"SIGNAL","Inner27",0,"#23999d",1,"#114c4e",1] 43 | ["LAYER",42,"SIGNAL","Inner28",0,"#45b4e3",1,"#225a71",1] 44 | ["LAYER",43,"SIGNAL","Inner29",0,"#215da1",1,"#102e50",1] 45 | ["LAYER",44,"SIGNAL","Inner30",0,"#4564d7",1,"#22326b",1] 46 | ["LAYER",45,"SIGNAL","Inner31",0,"#6969e9",1,"#343474",1] 47 | ["LAYER",46,"SIGNAL","Inner32",0,"#9069e9",1,"#483474",1] 48 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 49 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 50 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 51 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 52 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 53 | ["LAYER",52,"COMPONENT_MODEL","Component Model Layer",0,"#ffffff",1,"#7f7f7f",1] 54 | ["LAYER",53,"3D_SHELL_OUTLINE","3D Shell Outline Layer",0,"#66ff99",1,"#337f4c",1] 55 | ["LAYER",54,"3D_SHELL_TOP","3D Shell Top Layer",0,"#ffccff",1,"#7f667f",1] 56 | ["LAYER",55,"3D_SHELL_BOTTOM","3D Shell Bottom Layer",0,"#0066cc",1,"#003366",1] 57 | ["LAYER",56,"DRILL_DRAWING","Drill Drawing Layer",0,"#99ffff",1,"#4c7f7f",1] 58 | ["LAYER",71,"CUSTOM","Custom1",0,"#9069e9",1,"#483474",1] 59 | ["LAYER",72,"CUSTOM","Custom2",0,"#6969e9",1,"#343474",1] 60 | ["LAYER",73,"CUSTOM","Custom3",0,"#4564d7",1,"#22326b",1] 61 | ["LAYER",74,"CUSTOM","Custom4",0,"#215da1",1,"#102e50",1] 62 | ["LAYER",75,"CUSTOM","Custom5",0,"#45b4e3",1,"#225a71",1] 63 | ["LAYER",76,"CUSTOM","Custom6",0,"#23999d",1,"#114c4e",1] 64 | ["LAYER",77,"CUSTOM","Custom7",0,"#2b937e",1,"#15493f",1] 65 | ["LAYER",78,"CUSTOM","Custom8",0,"#5a8a80",1,"#2d4540",1] 66 | ["LAYER",79,"CUSTOM","Custom9",0,"#0c715d",1,"#06382e",1] 67 | ["LAYER",80,"CUSTOM","Custom10",0,"#39503f",1,"#1c281f",1] 68 | ["LAYER",81,"CUSTOM","Custom11",0,"#728978",1,"#39443c",1] 69 | ["LAYER",82,"CUSTOM","Custom12",0,"#c3ecce",1,"#617667",1] 70 | ["LAYER",83,"CUSTOM","Custom13",0,"#43ae5f",1,"#21572f",1] 71 | ["LAYER",84,"CUSTOM","Custom14",0,"#8000bc",1,"#40005e",1] 72 | ["LAYER",85,"CUSTOM","Custom15",0,"#3535ff",1,"#1a1a7f",1] 73 | ["LAYER",86,"CUSTOM","Custom16",0,"#2e4756",1,"#17232b",1] 74 | ["LAYER",87,"CUSTOM","Custom17",0,"#99842f",1,"#4c4217",1] 75 | ["LAYER",88,"CUSTOM","Custom18",0,"#ffffaa",1,"#7f7f55",1] 76 | ["LAYER",89,"CUSTOM","Custom19",0,"#99842f",1,"#4c4217",1] 77 | ["LAYER",90,"CUSTOM","Custom20",0,"#2e4756",1,"#17232b",1] 78 | ["LAYER",91,"CUSTOM","Custom21",0,"#00b400",1,"#005a00",1] 79 | ["LAYER",92,"CUSTOM","Custom22",0,"#000080",1,"#000040",1] 80 | ["LAYER",93,"CUSTOM","Custom23",0,"#15935f",1,"#a.492f",1] 81 | ["LAYER",94,"CUSTOM","Custom24",0,"#008080",1,"#004040",1] 82 | ["LAYER",95,"CUSTOM","Custom25",0,"#800080",1,"#400040",1] 83 | ["LAYER",96,"CUSTOM","Custom26",0,"#9966ff",1,"#4c337f",1] 84 | ["LAYER",97,"CUSTOM","Custom27",0,"#00cc66",1,"#006633",1] 85 | ["LAYER",98,"CUSTOM","Custom28",0,"#70dbfa",1,"#386d7d",1] 86 | ["LAYER",99,"CUSTOM","Custom29",0,"#bc8e00",1,"#5e4700",1] 87 | ["LAYER",100,"CUSTOM","Custom30",0,"#00ff00",1,"#007f00",1] 88 | ["LAYER",101,"SUBSTRATE","SUBSTRATE1",0,"#000000",1,"#000000",1] 89 | ["LAYER",102,"SUBSTRATE","SUBSTRATE2",0,"#000000",1,"#000000",1] 90 | ["LAYER",103,"SUBSTRATE","SUBSTRATE3",0,"#000000",1,"#000000",1] 91 | ["LAYER",104,"SUBSTRATE","SUBSTRATE4",0,"#000000",1,"#000000",1] 92 | ["LAYER",105,"SUBSTRATE","SUBSTRATE5",0,"#000000",1,"#000000",1] 93 | ["LAYER",106,"SUBSTRATE","SUBSTRATE6",0,"#000000",1,"#000000",1] 94 | ["LAYER",107,"SUBSTRATE","SUBSTRATE7",0,"#000000",1,"#000000",1] 95 | ["LAYER",108,"SUBSTRATE","SUBSTRATE8",0,"#000000",1,"#000000",1] 96 | ["LAYER",109,"SUBSTRATE","SUBSTRATE9",0,"#000000",1,"#000000",1] 97 | ["LAYER",110,"SUBSTRATE","SUBSTRATE10",0,"#000000",1,"#000000",1] 98 | ["LAYER",111,"SUBSTRATE","SUBSTRATE11",0,"#000000",1,"#000000",1] 99 | ["LAYER",112,"SUBSTRATE","SUBSTRATE12",0,"#000000",1,"#000000",1] 100 | ["LAYER",113,"SUBSTRATE","SUBSTRATE13",0,"#000000",1,"#000000",1] 101 | ["LAYER",114,"SUBSTRATE","SUBSTRATE14",0,"#000000",1,"#000000",1] 102 | ["LAYER",115,"SUBSTRATE","SUBSTRATE15",0,"#000000",1,"#000000",1] 103 | ["LAYER",116,"SUBSTRATE","SUBSTRATE16",0,"#000000",1,"#000000",1] 104 | ["LAYER",117,"SUBSTRATE","SUBSTRATE17",0,"#000000",1,"#000000",1] 105 | ["LAYER",118,"SUBSTRATE","SUBSTRATE18",0,"#000000",1,"#000000",1] 106 | ["LAYER",119,"SUBSTRATE","SUBSTRATE19",0,"#000000",1,"#000000",1] 107 | ["LAYER",120,"SUBSTRATE","SUBSTRATE20",0,"#000000",1,"#000000",1] 108 | ["LAYER",121,"SUBSTRATE","SUBSTRATE21",0,"#000000",1,"#000000",1] 109 | ["LAYER",122,"SUBSTRATE","SUBSTRATE22",0,"#000000",1,"#000000",1] 110 | ["LAYER",123,"SUBSTRATE","SUBSTRATE23",0,"#000000",1,"#000000",1] 111 | ["LAYER",124,"SUBSTRATE","SUBSTRATE24",0,"#000000",1,"#000000",1] 112 | ["LAYER",125,"SUBSTRATE","SUBSTRATE25",0,"#000000",1,"#000000",1] 113 | ["LAYER",126,"SUBSTRATE","SUBSTRATE26",0,"#000000",1,"#000000",1] 114 | ["LAYER",127,"SUBSTRATE","SUBSTRATE27",0,"#000000",1,"#000000",1] 115 | ["LAYER",128,"SUBSTRATE","SUBSTRATE28",0,"#000000",1,"#000000",1] 116 | ["LAYER",129,"SUBSTRATE","SUBSTRATE29",0,"#000000",1,"#000000",1] 117 | ["LAYER",130,"SUBSTRATE","SUBSTRATE30",0,"#000000",1,"#000000",1] 118 | ["ACTIVE_LAYER",1] 119 | ["POLY","e1",0,"",3,10,[54.725,15.739,"L",54.725,-15.758],0] 120 | ["POLY","e2",0,"",3,10,[11.034,27.938,"L",42.529,27.938],0] 121 | ["POLY","e3",0,"",3,10,[11.034,-27.954,"L",42.529,-27.954],0] 122 | ["POLY","e4",0,"",3,10,[-11.639,28.167,"L",-43.135,28.167],0] 123 | ["POLY","e5",0,"",3,10,[-55.333,15.969,"L",-55.333,-15.526],0] 124 | ["POLY","e6",0,"",3,10,[-11.639,-27.723,"L",-43.135,-27.723],0] 125 | ["PAD","e7",0,"",1,"2",27.56,0,0,null,["RECT",31.496,35.433],[],-0.005,0,90,1,0,2,2,0,0,0] 126 | ["PAD","e8",0,"",1,"1",-27.56,0,0,null,["RECT",31.496,35.433],[],0.005,0,90,1,0,2,2,0,0,0] 127 | ["POLY","e9",0,"",3,10,[-55.335,15.969,"ARC",-90,-43.137,28.167],0] 128 | ["POLY","e10",0,"",3,10,[-43.137,-27.725,"ARC",-90.005,-55.335,-15.526],0] 129 | ["POLY","e11",0,"",3,10,[42.527,27.938,"ARC",-90.005,54.725,15.739],0] 130 | ["POLY","e12",0,"",3,10,[54.725,-15.756,"ARC",-90,42.527,-27.954],0] 131 | ["FILL","e13",0,"",49,0.2,0,[["CIRCLE",-31.496,-15.748,2.362]],0] 132 | ["FILL","e14",0,"",50,0.2,0,[-31.496,-15.748,"L",-31.496,15.748,-19.685,15.748,-19.685,-15.748,-31.496,-15.748],0] 133 | ["FILL","e15",0,"",50,0.2,0,[31.496,-15.748,"L",31.496,15.748,19.685,15.748,19.685,-15.748,31.496,-15.748],0] 134 | ["POLY","e16",0,"",48,2,[-31.496,-15.748,"L",-31.496,15.748,31.496,15.748,31.496,-15.748,-31.496,-15.748],0] 135 | ["CONNECT","e14",["e8"]] 136 | ["CONNECT","e15",["e7"]] 137 | ["ATTR","e17",0,"",3,null,null,"Footprint","C0603",0,0,"default",45,6,0,0,3,0,0,0,0,0] 138 | ["ATTR","e18",0,"",3,null,null,"Designator","U?",0,0,"default",45,6,0,0,3,0,0,0,0,0] 139 | ["CANVAS",0,0,"mil",5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/c92e2b42843940799017dc96ff29cfbc.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.4"] 2 | ["CANVAS",0,0,"mil",5,5,5,5] 3 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 4 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 5 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 6 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 7 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 8 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 9 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 10 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 11 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 12 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 15 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 16 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 17 | ["LAYER",15,"SIGNAL","Inner1",0,"#999966",1,"#4c4c33",1] 18 | ["LAYER",16,"SIGNAL","Inner2",0,"#008000",1,"#004000",1] 19 | ["LAYER",17,"SIGNAL","Inner3",0,"#00ff00",1,"#007f00",1] 20 | ["LAYER",18,"SIGNAL","Inner4",0,"#bc8e00",1,"#5e4700",1] 21 | ["LAYER",19,"SIGNAL","Inner5",0,"#70dbfa",1,"#386d7d",1] 22 | ["LAYER",20,"SIGNAL","Inner6",0,"#00cc66",1,"#006633",1] 23 | ["LAYER",21,"SIGNAL","Inner7",0,"#9966ff",1,"#4c337f",1] 24 | ["LAYER",22,"SIGNAL","Inner8",0,"#800080",1,"#400040",1] 25 | ["LAYER",23,"SIGNAL","Inner9",0,"#008080",1,"#004040",1] 26 | ["LAYER",24,"SIGNAL","Inner10",0,"#15935f",1,"#0a492f",1] 27 | ["LAYER",25,"SIGNAL","Inner11",0,"#000080",1,"#000040",1] 28 | ["LAYER",26,"SIGNAL","Inner12",0,"#00b400",1,"#005a00",1] 29 | ["LAYER",27,"SIGNAL","Inner13",0,"#2e4756",1,"#17232b",1] 30 | ["LAYER",28,"SIGNAL","Inner14",0,"#99842f",1,"#4c4217",1] 31 | ["LAYER",29,"SIGNAL","Inner15",0,"#ffffaa",1,"#7f7f55",1] 32 | ["LAYER",30,"SIGNAL","Inner16",0,"#99842f",1,"#4c4217",1] 33 | ["LAYER",31,"SIGNAL","Inner17",0,"#2e4756",1,"#17232b",1] 34 | ["LAYER",32,"SIGNAL","Inner18",0,"#3535ff",1,"#1a1a7f",1] 35 | ["LAYER",33,"SIGNAL","Inner19",0,"#8000bc",1,"#40005e",1] 36 | ["LAYER",34,"SIGNAL","Inner20",0,"#43ae5f",1,"#21572f",1] 37 | ["LAYER",35,"SIGNAL","Inner21",0,"#c3ecce",1,"#617667",1] 38 | ["LAYER",36,"SIGNAL","Inner22",0,"#728978",1,"#39443c",1] 39 | ["LAYER",37,"SIGNAL","Inner23",0,"#39503f",1,"#1c281f",1] 40 | ["LAYER",38,"SIGNAL","Inner24",0,"#0c715d",1,"#06382e",1] 41 | ["LAYER",39,"SIGNAL","Inner25",0,"#5a8a80",1,"#2d4540",1] 42 | ["LAYER",40,"SIGNAL","Inner26",0,"#2b937e",1,"#15493f",1] 43 | ["LAYER",41,"SIGNAL","Inner27",0,"#23999d",1,"#114c4e",1] 44 | ["LAYER",42,"SIGNAL","Inner28",0,"#45b4e3",1,"#225a71",1] 45 | ["LAYER",43,"SIGNAL","Inner29",0,"#215da1",1,"#102e50",1] 46 | ["LAYER",44,"SIGNAL","Inner30",0,"#4564d7",1,"#22326b",1] 47 | ["LAYER",45,"SIGNAL","Inner31",0,"#6969e9",1,"#343474",1] 48 | ["LAYER",46,"SIGNAL","Inner32",0,"#9069e9",1,"#483474",1] 49 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 50 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 51 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 52 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 53 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 54 | ["LAYER",52,"COMPONENT_MODEL","Component Model Layer",0,"#ffffff",1,"#7f7f7f",1] 55 | ["LAYER",53,"3D_SHELL_OUTLINE","3D Shell Outline Layer",3,"#66ff99",1,"#337f4c",1] 56 | ["LAYER",54,"3D_SHELL_TOP","3D Shell Top Layer",3,"#ffccff",1,"#7f667f",1] 57 | ["LAYER",55,"3D_SHELL_BOTTOM","3D Shell Bottom Layer",3,"#0066cc",1,"#003366",1] 58 | ["LAYER",56,"DRILL_DRAWING","Drill Drawing Layer",3,"#99ffff",1,"#4c7f7f",1] 59 | ["LAYER",57,"OTHER","Ratline Layer",7,"#6464ff",1,"#32327f",1] 60 | ["LAYER",71,"CUSTOM","Custom1",0,"#9069e9",1,"#483474",1] 61 | ["LAYER",72,"CUSTOM","Custom2",0,"#6969e9",1,"#343474",1] 62 | ["LAYER",73,"CUSTOM","Custom3",0,"#4564d7",1,"#22326b",1] 63 | ["LAYER",74,"CUSTOM","Custom4",0,"#215da1",1,"#102e50",1] 64 | ["LAYER",75,"CUSTOM","Custom5",0,"#45b4e3",1,"#225a71",1] 65 | ["LAYER",76,"CUSTOM","Custom6",0,"#23999d",1,"#114c4e",1] 66 | ["LAYER",77,"CUSTOM","Custom7",0,"#2b937e",1,"#15493f",1] 67 | ["LAYER",78,"CUSTOM","Custom8",0,"#5a8a80",1,"#2d4540",1] 68 | ["LAYER",79,"CUSTOM","Custom9",0,"#0c715d",1,"#06382e",1] 69 | ["LAYER",80,"CUSTOM","Custom10",0,"#39503f",1,"#1c281f",1] 70 | ["LAYER",81,"CUSTOM","Custom11",0,"#728978",1,"#39443c",1] 71 | ["LAYER",82,"CUSTOM","Custom12",0,"#c3ecce",1,"#617667",1] 72 | ["LAYER",83,"CUSTOM","Custom13",0,"#43ae5f",1,"#21572f",1] 73 | ["LAYER",84,"CUSTOM","Custom14",0,"#8000bc",1,"#40005e",1] 74 | ["LAYER",85,"CUSTOM","Custom15",0,"#3535ff",1,"#1a1a7f",1] 75 | ["LAYER",86,"CUSTOM","Custom16",0,"#2e4756",1,"#17232b",1] 76 | ["LAYER",87,"CUSTOM","Custom17",0,"#99842f",1,"#4c4217",1] 77 | ["LAYER",88,"CUSTOM","Custom18",0,"#ffffaa",1,"#7f7f55",1] 78 | ["LAYER",89,"CUSTOM","Custom19",0,"#99842f",1,"#4c4217",1] 79 | ["LAYER",90,"CUSTOM","Custom20",0,"#2e4756",1,"#17232b",1] 80 | ["LAYER",91,"CUSTOM","Custom21",0,"#00b400",1,"#005a00",1] 81 | ["LAYER",92,"CUSTOM","Custom22",0,"#000080",1,"#000040",1] 82 | ["LAYER",93,"CUSTOM","Custom23",0,"#15935f",1,"#0a492f",1] 83 | ["LAYER",94,"CUSTOM","Custom24",0,"#008080",1,"#004040",1] 84 | ["LAYER",95,"CUSTOM","Custom25",0,"#800080",1,"#400040",1] 85 | ["LAYER",96,"CUSTOM","Custom26",0,"#9966ff",1,"#4c337f",1] 86 | ["LAYER",97,"CUSTOM","Custom27",0,"#00cc66",1,"#006633",1] 87 | ["LAYER",98,"CUSTOM","Custom28",0,"#70dbfa",1,"#386d7d",1] 88 | ["LAYER",99,"CUSTOM","Custom29",0,"#bc8e00",1,"#5e4700",1] 89 | ["LAYER",100,"CUSTOM","Custom30",0,"#00ff00",1,"#007f00",1] 90 | ["ACTIVE_LAYER",1] 91 | ["RULE","1","safeClearance",1,["mil",[[6],[6,6],[6,6,6],[6,6,6,6],[6,6,6,6,6],[6,6,6,6,6,6],[6,6,6,6,6,6,6],[11.8,11.8,11.8,11.8,11.8,11.8,11.8]]]] 92 | ["RULE","2","otherClearance",1,["mm",0]] 93 | ["RULE","3","trackWidth",1,["mil",5,10,100]] 94 | ["RULE","11","netLength",1,["mm",0,0]] 95 | ["RULE","10","differentialPair",1,["mil",5,10,100,6,6,50,10]] 96 | ["RULE","4","blindVia",1,[]] 97 | ["RULE","5","viaSize",1,["mm",9.8425,12.0079,196.8504,5.9055,6.0039,118.1102]] 98 | ["RULE","6","innerPlane",1,["mil",10,10,"0",10,10,90,"0",10,10,90]] 99 | ["RULE","7","copperRegion",1,["mil",10,10,"0",10,10,90,"0","0",10,10,90]] 100 | ["RULE","8","pasteMaskExpansion",1,["mil",0,0]] 101 | ["RULE","9","solderMaskExpansion",1,["mil",4,4,-1000,-1000]] 102 | ["RULE","12","Common",1,[0,1,0,0,0,[1,2,12],[]]] 103 | ["PRIMITIVE","ALL",1,0] 104 | ["PRIMITIVE","COMPONENT",1,1] 105 | ["PRIMITIVE","PROPERTY",1,1] 106 | ["PRIMITIVE","COMPONENTSILK",1,1] 107 | ["PRIMITIVE","TRACK",1,1] 108 | ["PRIMITIVE","VIA",1,1] 109 | ["PRIMITIVE","TESTPOINT",1,1] 110 | ["PRIMITIVE","PAD",1,1] 111 | ["PRIMITIVE","PADSPAIR",1,0] 112 | ["PRIMITIVE","NETWORK",1,0] 113 | ["PRIMITIVE","SUTUREHOLE",1,1] 114 | ["PRIMITIVE","TEARDROP",1,0] 115 | ["PRIMITIVE","RATLINE",0,0] 116 | ["PRIMITIVE","GROUP",1,0] 117 | ["PRIMITIVE","TEXT",1,1] 118 | ["PRIMITIVE","IMAGE",1,1] 119 | ["PRIMITIVE","PICTURE",1,1] 120 | ["PRIMITIVE","DIMENSION",1,1] 121 | ["PRIMITIVE","BOARDOUTLINE",1,1] 122 | ["PRIMITIVE","SLOTREGION",1,1] 123 | ["PRIMITIVE","FILLREGIEN",1,1] 124 | ["PRIMITIVE","COPPEROUTLINE",1,1] 125 | ["PRIMITIVE","COPPERFILLED",1,0] 126 | ["PRIMITIVE","PROHIBITEDREGION",1,1] 127 | ["PRIMITIVE","LINE",1,1] 128 | ["PRIMITIVE","LOCKED",1,1] 129 | ["PRIMITIVE","UNLOCKED",1,1] 130 | ["PRIMITIVE","SHELL",1,1] 131 | ["PRIMITIVE","BOSS",1,1] 132 | ["PRIMITIVE","CREASE",1,1] 133 | ["PRIMITIVE","TOPSHELLCUT",1,1] 134 | ["PRIMITIVE","SIDESHELLCUT",1,1] 135 | ["PRIMITIVE","TOPBOTTOMENTITY",1,1] 136 | ["PRIMITIVE","SIDEENTITY",1,1] 137 | ["SILK_OPTS",3,"#000000","#FFFFFF"] 138 | ["SILK_OPTS",4,"#000000","#FFFFFF"] 139 | ["PREFERENCE",1,10,1,12.0078,24.0158] 140 | ["PANELIZE",0,1,1,0,0,1] 141 | ["PANELIZE_STAMP",1,0,1,21.6535,8,33.4646] 142 | ["PANELIZE_SIDE",1,1,236.2205,78.7402,39.3701,19.685] 143 | ["PANELIZE_STAMP",0,0,1,21.6535,8,33.4646] 144 | ["PANELIZE_SIDE",0,0,236.2205,78.7402,39.3701,19.685] 145 | ["PAD","e18",0,"",12,"1",-200,-50,0,["ROUND",25,25],["ELLIPSE",40,40],[],0,0,0,1,0,4,4,0,0,0] 146 | ["PAD","e19",0,"",12,"2",-200,25,0,["ROUND",25,25],["RECT",40,40,0],[],0,0,0,1,0,4,4,0,0,0] 147 | ["PAD","e20",0,"",12,"3",-100,-50,0,["ROUND",25,25],["RECT",40,40,0],[],0,0,0,1,0,4,4,0,0,0] 148 | ["PAD","e21",0,"",12,"4",-100,25,0,["ROUND",25,25],["RECT",40,40,0],[],0,0,0,1,0,4,4,0,0,0] 149 | ["PAD","e22",0,"",12,"5",0,-50,0,["ROUND",25,25],["RECT",40,40,0],[],0,0,0,1,0,4,4,0,0,0] 150 | ["PAD","e23",0,"",12,"6",0,25,0,["ROUND",25,25],["RECT",40,40,0],[],0,0,0,1,0,4,4,0,0,0] 151 | ["PAD","e24",0,"",12,"7",100,-50,0,["ROUND",25,25],["RECT",40,40,0],[],0,0,0,1,0,4,4,0,0,0] 152 | ["PAD","e25",0,"",12,"8",100,25,0,["ROUND",25,25],["RECT",40,40,0],[],0,0,0,1,0,4,4,0,0,0] 153 | ["PAD","e26",0,"",12,"9",200,-50,0,["ROUND",25,25],["RECT",40,40,0],[],0,0,0,1,0,4,4,0,0,0] 154 | ["PAD","e27",0,"",12,"10",200,25,0,["ROUND",25,25],["RECT",40,40,0],[],0,0,0,1,0,4,4,0,0,0] 155 | ["POLY","e1",0,"",13,10,[-217,-92,"L",-183,-92],0] 156 | ["POLY","e2",0,"",13,10,[-183,-92,"L",-200,-125],0] 157 | ["POLY","e3",0,"",13,10,[-200,-125,"L",-217,-92],0] 158 | ["POLY","e4",0,"",13,10,[-200,-125,"L",-200,-115],0] 159 | ["STRING","e17",0,13,-285,-79,"1","default",30,6,0,0,2,0,0,0,0,0] 160 | ["ATTR","e28",0,"",3,0,0,"Footprint","JTAG-10PIN-2.54MM-PTH",0,0,"default",45,6,0,0,3,270,0,0,0,0] 161 | ["ATTR","e29",0,"",3,-370,95,"Designator","JTAG?",0,1,"default",45,6,0,0,3,270,0,0,0,0] 162 | ["ATTR","e30",0,"",3,null,null,"Device","",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/ce094245322f49d595b5ee3259dd057b.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.2"] 2 | ["CANVAS",0,0,"mm",5,5] 3 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 4 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 5 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 6 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 7 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 8 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 9 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 10 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 11 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 12 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 15 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 16 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 17 | ["LAYER",15,"SIGNAL","Inner1",0,"#999966",1,"#4c4c33",1] 18 | ["LAYER",16,"SIGNAL","Inner2",0,"#008000",1,"#004000",1] 19 | ["LAYER",17,"SIGNAL","Inner3",0,"#00ff00",1,"#007f00",1] 20 | ["LAYER",18,"SIGNAL","Inner4",0,"#bc8e00",1,"#5e4700",1] 21 | ["LAYER",19,"SIGNAL","Inner5",0,"#70dbfa",1,"#386d7d",1] 22 | ["LAYER",20,"SIGNAL","Inner6",0,"#00cc66",1,"#006633",1] 23 | ["LAYER",21,"SIGNAL","Inner7",0,"#9966ff",1,"#4c337f",1] 24 | ["LAYER",22,"SIGNAL","Inner8",0,"#800080",1,"#400040",1] 25 | ["LAYER",23,"SIGNAL","Inner9",0,"#008080",1,"#004040",1] 26 | ["LAYER",24,"SIGNAL","Inner10",0,"#15935f",1,"#a.492f",1] 27 | ["LAYER",25,"SIGNAL","Inner11",0,"#000080",1,"#000040",1] 28 | ["LAYER",26,"SIGNAL","Inner12",0,"#00b400",1,"#005a00",1] 29 | ["LAYER",27,"SIGNAL","Inner13",0,"#2e4756",1,"#17232b",1] 30 | ["LAYER",28,"SIGNAL","Inner14",0,"#99842f",1,"#4c4217",1] 31 | ["LAYER",29,"SIGNAL","Inner15",0,"#ffffaa",1,"#7f7f55",1] 32 | ["LAYER",30,"SIGNAL","Inner16",0,"#99842f",1,"#4c4217",1] 33 | ["LAYER",31,"SIGNAL","Inner17",0,"#2e4756",1,"#17232b",1] 34 | ["LAYER",32,"SIGNAL","Inner18",0,"#3535ff",1,"#1a1a7f",1] 35 | ["LAYER",33,"SIGNAL","Inner19",0,"#8000bc",1,"#40005e",1] 36 | ["LAYER",34,"SIGNAL","Inner20",0,"#43ae5f",1,"#21572f",1] 37 | ["LAYER",35,"SIGNAL","Inner21",0,"#c3ecce",1,"#617667",1] 38 | ["LAYER",36,"SIGNAL","Inner22",0,"#728978",1,"#39443c",1] 39 | ["LAYER",37,"SIGNAL","Inner23",0,"#39503f",1,"#1c281f",1] 40 | ["LAYER",38,"SIGNAL","Inner24",0,"#0c715d",1,"#06382e",1] 41 | ["LAYER",39,"SIGNAL","Inner25",0,"#5a8a80",1,"#2d4540",1] 42 | ["LAYER",40,"SIGNAL","Inner26",0,"#2b937e",1,"#15493f",1] 43 | ["LAYER",41,"SIGNAL","Inner27",0,"#23999d",1,"#114c4e",1] 44 | ["LAYER",42,"SIGNAL","Inner28",0,"#45b4e3",1,"#225a71",1] 45 | ["LAYER",43,"SIGNAL","Inner29",0,"#215da1",1,"#102e50",1] 46 | ["LAYER",44,"SIGNAL","Inner30",0,"#4564d7",1,"#22326b",1] 47 | ["LAYER",45,"SIGNAL","Inner31",0,"#6969e9",1,"#343474",1] 48 | ["LAYER",46,"SIGNAL","Inner32",0,"#9069e9",1,"#483474",1] 49 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 50 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 51 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 52 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 53 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 54 | ["LAYER",52,"COMPONENT_MODEL","Component Model Layer",0,"#ffffff",1,"#7f7f7f",1] 55 | ["LAYER",53,"3D_SHELL_OUTLINE","3D Shell Outline Layer",0,"#66ff99",1,"#337f4c",1] 56 | ["LAYER",54,"3D_SHELL_TOP","3D Shell Top Layer",0,"#ffccff",1,"#7f667f",1] 57 | ["LAYER",55,"3D_SHELL_BOTTOM","3D Shell Bottom Layer",0,"#0066cc",1,"#003366",1] 58 | ["LAYER",56,"DRILL_DRAWING","Drill Drawing Layer",0,"#99ffff",1,"#4c7f7f",1] 59 | ["LAYER",71,"CUSTOM","Custom1",0,"#9069e9",1,"#483474",1] 60 | ["LAYER",72,"CUSTOM","Custom2",0,"#6969e9",1,"#343474",1] 61 | ["LAYER",73,"CUSTOM","Custom3",0,"#4564d7",1,"#22326b",1] 62 | ["LAYER",74,"CUSTOM","Custom4",0,"#215da1",1,"#102e50",1] 63 | ["LAYER",75,"CUSTOM","Custom5",0,"#45b4e3",1,"#225a71",1] 64 | ["LAYER",76,"CUSTOM","Custom6",0,"#23999d",1,"#114c4e",1] 65 | ["LAYER",77,"CUSTOM","Custom7",0,"#2b937e",1,"#15493f",1] 66 | ["LAYER",78,"CUSTOM","Custom8",0,"#5a8a80",1,"#2d4540",1] 67 | ["LAYER",79,"CUSTOM","Custom9",0,"#0c715d",1,"#06382e",1] 68 | ["LAYER",80,"CUSTOM","Custom10",0,"#39503f",1,"#1c281f",1] 69 | ["LAYER",81,"CUSTOM","Custom11",0,"#728978",1,"#39443c",1] 70 | ["LAYER",82,"CUSTOM","Custom12",0,"#c3ecce",1,"#617667",1] 71 | ["LAYER",83,"CUSTOM","Custom13",0,"#43ae5f",1,"#21572f",1] 72 | ["LAYER",84,"CUSTOM","Custom14",0,"#8000bc",1,"#40005e",1] 73 | ["LAYER",85,"CUSTOM","Custom15",0,"#3535ff",1,"#1a1a7f",1] 74 | ["LAYER",86,"CUSTOM","Custom16",0,"#2e4756",1,"#17232b",1] 75 | ["LAYER",87,"CUSTOM","Custom17",0,"#99842f",1,"#4c4217",1] 76 | ["LAYER",88,"CUSTOM","Custom18",0,"#ffffaa",1,"#7f7f55",1] 77 | ["LAYER",89,"CUSTOM","Custom19",0,"#99842f",1,"#4c4217",1] 78 | ["LAYER",90,"CUSTOM","Custom20",0,"#2e4756",1,"#17232b",1] 79 | ["LAYER",91,"CUSTOM","Custom21",0,"#00b400",1,"#005a00",1] 80 | ["LAYER",92,"CUSTOM","Custom22",0,"#000080",1,"#000040",1] 81 | ["LAYER",93,"CUSTOM","Custom23",0,"#15935f",1,"#a.492f",1] 82 | ["LAYER",94,"CUSTOM","Custom24",0,"#008080",1,"#004040",1] 83 | ["LAYER",95,"CUSTOM","Custom25",0,"#800080",1,"#400040",1] 84 | ["LAYER",96,"CUSTOM","Custom26",0,"#9966ff",1,"#4c337f",1] 85 | ["LAYER",97,"CUSTOM","Custom27",0,"#00cc66",1,"#006633",1] 86 | ["LAYER",98,"CUSTOM","Custom28",0,"#70dbfa",1,"#386d7d",1] 87 | ["LAYER",99,"CUSTOM","Custom29",0,"#bc8e00",1,"#5e4700",1] 88 | ["LAYER",100,"CUSTOM","Custom30",0,"#00ff00",1,"#007f00",1] 89 | ["ACTIVE_LAYER",1] 90 | ["RULE","1","Common",1,["mil",[[6],[6,6],[6,6,6],[6,6,6,6],[6,6,6,6,6],[6,6,6,6,6,6],[6,6,6,6,6,6,6],[6,6,6,6,6,6,6]]]] 91 | ["RULE","2","Common",1,["mm",0]] 92 | ["RULE","3","Common",1,["mil",2,10,100]] 93 | ["RULE","10","Common",1,["mil",2,10,100,6,6,50,10]] 94 | ["RULE","4","Common",1,[]] 95 | ["RULE","5","Common",1,["mm",8.8583,12.0079,196.8504,3.937,6.0039,118.1102]] 96 | ["RULE","6","Common",1,["mil",10,10,"0",10,10,90]] 97 | ["RULE","7","Common",1,["mil",10,10,"0",10,10,90,"0"]] 98 | ["RULE","8","Common",1,["mil",0,0]] 99 | ["RULE","9","Common",1,["mil",4,4,-1000,-1000]] 100 | ["PRIMITIVE","ALL",1,1] 101 | ["PRIMITIVE","COMPONENT",1,1] 102 | ["PRIMITIVE","PROPERTY",1,1] 103 | ["PRIMITIVE","TRACK",1,1] 104 | ["PRIMITIVE","VIA",1,1] 105 | ["PRIMITIVE","TESTPOINT",1,1] 106 | ["PRIMITIVE","PAD",1,1] 107 | ["PRIMITIVE","PADSPAIR",0,0] 108 | ["PRIMITIVE","NETWORK",0,0] 109 | ["PRIMITIVE","SUTUREHOLE",1,1] 110 | ["PRIMITIVE","TEARDROP",1,0] 111 | ["PRIMITIVE","RATLINE",1,0] 112 | ["PRIMITIVE","GROUP",1,0] 113 | ["PRIMITIVE","TEXT",1,1] 114 | ["PRIMITIVE","IMAGE",1,1] 115 | ["PRIMITIVE","DIMENSION",1,1] 116 | ["PRIMITIVE","BOARDOUTLINE",1,1] 117 | ["PRIMITIVE","SLOTREGION",1,1] 118 | ["PRIMITIVE","FILLREGIEN",1,1] 119 | ["PRIMITIVE","COPPEROUTLINE",1,1] 120 | ["PRIMITIVE","COPPERFILLED",1,0] 121 | ["PRIMITIVE","PROHIBITEDREGION",1,1] 122 | ["PRIMITIVE","LINE",1,1] 123 | ["PAD","e18",0,"",12,"10",0,400,90,["ROUND",39.3701,39.3701],["ELLIPSE",62.9921,62.9921],[],0,0,0,1,0,null,null,null,null,0] 124 | ["PAD","e19",0,"",12,"8",0,300,90,["ROUND",39.3701,39.3701],["ELLIPSE",62.9921,62.9921],[],0,0,0,1,0,null,null,null,null,0] 125 | ["PAD","e20",0,"",12,"6",0,200,90,["ROUND",39.3701,39.3701],["ELLIPSE",62.9921,62.9921],[],0,0,0,1,0,null,null,null,null,0] 126 | ["PAD","e21",0,"",12,"4",0,100,90,["ROUND",39.3701,39.3701],["ELLIPSE",62.9921,62.9921],[],0,0,0,1,0,null,null,null,null,0] 127 | ["PAD","e22",0,"",12,"2",0,0,90,["ROUND",39.3701,39.3701],["ELLIPSE",62.9921,62.9921],[],0,0,0,1,0,null,null,null,null,0] 128 | ["PAD","e23",0,"",12,"1",100,0,90,["ROUND",39.3701,39.3701],["ELLIPSE",62.9921,62.9921],[],0,0,0,1,0,null,null,null,null,0] 129 | ["PAD","e24",0,"",12,"3",100,100,90,["ROUND",39.3701,39.3701],["ELLIPSE",62.9921,62.9921],[],0,0,0,1,0,null,null,null,null,0] 130 | ["PAD","e25",0,"",12,"5",100,200,90,["ROUND",39.3701,39.3701],["ELLIPSE",62.9921,62.9921],[],0,0,0,1,0,null,null,null,null,0] 131 | ["PAD","e26",0,"",12,"7",100,300,90,["ROUND",39.3701,39.3701],["ELLIPSE",62.9921,62.9921],[],0,0,0,1,0,null,null,null,null,0] 132 | ["PAD","e27",0,"",12,"9",100,400,90,["ROUND",39.3701,39.3701],["ELLIPSE",62.9921,62.9921],[],0,0,0,1,0,null,null,null,null,0] 133 | ["ATTR","e0",0,"",3,0,0,"Designator","U?",0,0,"default",50,8,0,0,3,0,0,0,0,0] 134 | ["ATTR","e1",0,"",3,0,-90,"Footprint","JTAG探针烧写",0,0,"default",50,8,0,0,3,0,0,0,0,0] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/da9019920e5346a1b19688edcd17fd01.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["PAD","ie1",0,"",1,"1",41.3385,-72.8345,0,null,["RECT",70.866,129.921,0],[],0,0,90,1,0,0,0,0,0,0] 25 | ["PAD","ie2",0,"",1,"2",-41.3385,-72.8345,0,null,["RECT",70.866,129.921,0],[],0,0,90,1,0,0,0,0,0,0] 26 | ["PAD","ie3",0,"",1,"3",-41.3385,72.8345,0,null,["RECT",70.866,129.921,0],[],0,0,90,1,0,0,0,0,0,0] 27 | ["PAD","ie4",0,"",1,"4",41.3385,72.8345,0,null,["RECT",70.866,129.921,0],[],0,0,90,1,0,0,0,0,0,0] 28 | ["ATTR","ie5",0,"",3,null,null,"Footprint","Custom:GBA-ProductionIndicator",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 29 | ["ATTR","ie6",0,"",3,null,null,"Designator","DATEINDICATOR?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 30 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/FOOTPRINT/efa8c972e02e404fb4a62645cd2c12d3.efoo: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","FOOTPRINT","1.3"] 2 | ["LAYER",1,"TOP","Top Layer",3,"#ff0000",1,"#7f0000",1] 3 | ["LAYER",2,"BOTTOM","Bottom Layer",3,"#0000ff",1,"#00007f",1] 4 | ["LAYER",14,"MECHANICAL","Mechanical Layer",3,"#f022f0",1,"#781178",1] 5 | ["LAYER",71,"CUSTOM","F.Adhes",3,"#03896E",1,"#03896E",1] 6 | ["LAYER",8,"BOT_PASTE_MASK","Bottom Paste Mask Layer",3,"#800000",1,"#400000",1] 7 | ["LAYER",7,"TOP_PASTE_MASK","Top Paste Mask Layer",3,"#808080",1,"#404040",1] 8 | ["LAYER",4,"BOT_SILK","Bottom Silkscreen Layer",3,"#66cc33",1,"#336619",1] 9 | ["LAYER",3,"TOP_SILK","Top Silkscreen Layer",3,"#ffcc00",1,"#7f6600",1] 10 | ["LAYER",6,"BOT_SOLDER_MASK","Bottom Solder Mask Layer",3,"#aa00ff",1,"#55007f",1] 11 | ["LAYER",5,"TOP_SOLDER_MASK","Top Solder Mask Layer",3,"#800080",1,"#400040",1] 12 | ["LAYER",13,"DOCUMENT","Document Layer",3,"#ffffff",1,"#7f7f7f",1] 13 | ["LAYER",11,"OUTLINE","Board Outline Layer",3,"#ff00ff",1,"#7f007f",1] 14 | ["LAYER",10,"BOT_ASSEMBLY","Bottom Assembly Layer",3,"#5555ff",1,"#2a2a7f",1] 15 | ["LAYER",9,"TOP_ASSEMBLY","Top Assembly Layer",3,"#33cc99",1,"#19664c",1] 16 | ["LAYER",12,"MULTI","Multi-Layer",3,"#c0c0c0",1,"#606060",1] 17 | ["LAYER",47,"HOLE","Hole Layer",3,"#222222",1,"#111111",1] 18 | ["LAYER",48,"COMPONENT_SHAPE","Component Shape Layer",3,"#00cccc",1,"#006666",1] 19 | ["LAYER",49,"COMPONENT_MARKING","Component Marking Layer",3,"#66ffcc",1,"#337f66",1] 20 | ["LAYER",50,"PIN_SOLDERING","Pin Soldering Layer",3,"#cc9999",1,"#664c4c",1] 21 | ["LAYER",51,"PIN_FLOATING","Pin Floating Layer",3,"#ff99ff",1,"#7f4c7f",1] 22 | ["ACTIVE_LAYER",1] 23 | ["ACTIVE_LAYER",1] 24 | ["POLY","ie1",0,"",9,3.937,[-19.685,-9.8425,"L",-19.685,9.8425],0] 25 | ["POLY","ie2",0,"",9,3.937,[-19.685,9.8425,"L",19.685,9.8425],0] 26 | ["POLY","ie3",0,"",9,3.937,[19.685,9.8425,"L",19.685,-9.8425],0] 27 | ["POLY","ie4",0,"",9,3.937,[19.685,-9.8425,"L",-19.685,-9.8425],0] 28 | ["POLY","ie5",0,"",13,1.9685,[36.6141,-18.5039,"L",-36.6141,-18.5039],0] 29 | ["POLY","ie6",0,"",3,7.874,[38.9763,-20.66925,"L",38.9763,20.66925],0] 30 | ["POLY","ie7",0,"",3,7.874,[38.9763,-20.66925,"L",-38.9763,-20.66925],0] 31 | ["POLY","ie8",0,"",3,7.874,[38.9763,20.66925,"L",-38.9763,20.66925],0] 32 | ["POLY","ie9",0,"",3,7.874,[-38.9763,20.66925,"L",-38.9763,-20.66925],0] 33 | ["PAD","ie10",0,"",1,"2",19.09445,0,0,null,["RECT",23.2283,25.1968,50],[],0,0,90,1,0,0,0,0,0,0] 34 | ["PAD","ie11",0,"",1,"1",-19.09445,0,0,null,["RECT",23.2283,25.1968,50],[],0,0,90,1,0,0,0,0,0,0] 35 | ["ATTR","ie12",0,"",3,null,null,"Footprint","Custom:C_0402_AGB",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 36 | ["ATTR","ie13",0,"",3,null,null,"Designator","C?",0,0,"default",67.5,6,0,0,3,0,0,0,0,0] 37 | ["CANVAS",0,0,"mil",5,5,5,5] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/17f584f91a0f4368b6fc42113c66a46c.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.0"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,null,null,0,0,0,0,2,2] 6 | ["FONTSTYLE","st4",null,null,null,null,0,0,0,0,2,0] 7 | ["PART","TR0603B10KP05250.1W.1",{"BBOX":[-10,-4,10,4]}] 8 | ["ATTR","e1","","Symbol","TR0603B10KP05250.1W",false,false,null,null,0,"st2",0] 9 | ["ATTR","e2","","Designator","R?",false,false,null,null,0,"st2",0] 10 | ["RECT","e3",-10,-4,10,4,0,0,0,"st1",0] 11 | ["PIN","e4",1,1,20,0,10,180,null,0,0,1] 12 | ["ATTR","e5","e4","NAME","2",false,false,null,null,0,"st3",0] 13 | ["ATTR","e6","e4","NUMBER","2",false,false,null,null,0,"st4",0] 14 | ["ATTR","e7","e4","Pin Type","IN",false,false,null,null,0,"st2",0] 15 | ["PIN","e8",1,1,-20,0,10,0,null,0,0,1] 16 | ["ATTR","e9","e8","NAME","1",false,false,null,null,0,"st4",0] 17 | ["ATTR","e10","e8","NUMBER","1",false,false,null,null,0,"st3",0] 18 | ["ATTR","e11","e8","Pin Type","IN",false,false,null,null,0,"st2",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/226b9a140d4244159cf58e78e4ea3be6.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,"default",5,0,0,0,0,1,1] 6 | ["PART","1",{"BBOX":[-5.5,-5.5,5.5,5.5]}] 7 | ["ATTR","ie1","","Symbol","AGB-E02-20-rescue_MountingHole-Mechanical",false,false,null,null,0,"st3",0] 8 | ["ATTR","ie2","","Designator","H?",false,false,null,null,0,"st3",0] 9 | ["CIRCLE","ie3",0,0,5,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/24427a2a35c9429cb3644da09b2afd1d.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,"default",5,0,0,0,0,1,1] 6 | ["FONTSTYLE","st4",null,null,"default",5,0,0,0,0,1,0] 7 | ["FONTSTYLE","st5",null,null,"default",null,0,0,0,0,1,2] 8 | ["FONTSTYLE","st6",null,null,"default",null,0,0,0,0,2,1] 9 | ["FONTSTYLE","st7",null,null,"default",null,0,0,0,0,1,0] 10 | ["PART","1",{"BBOX":[-8.5,-3.5,8.5,3.5]}] 11 | ["ATTR","ie1","","Symbol","AGB-E02-20-rescue_C-Device",false,false,null,null,0,"st3",0] 12 | ["ATTR","ie2","","Designator","C?",false,false,null,null,0,"st4",0] 13 | ["PIN","ie3",1,1,0,15,11,270,null,0,0,1] 14 | ["ATTR","ie4","ie3","NAME","~",false,true,0,3,90,"st5",0] 15 | ["ATTR","ie5","ie3","NUMBER","1",false,false,0,9.5,90,"st6",0] 16 | ["ATTR","ie6","ie3","Pin Type","IN",false,false,0,15,0,"st2",0] 17 | ["PIN","ie7",1,1,0,-15,11,90,null,0,0,1] 18 | ["ATTR","ie8","ie7","NAME","~",false,true,0,-3,90,"st7",0] 19 | ["ATTR","ie9","ie7","NUMBER","2",false,false,0,-9.5,90,"st6",0] 20 | ["ATTR","ie10","ie7","Pin Type","IN",false,false,0,-15,0,"st2",0] 21 | ["POLY","ie11",[-8,-3,8,-3],0,"st1",0] 22 | ["POLY","ie12",[-8,3,8,3],0,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/29c6bfc75c3b4025a921003f421a860a.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.0"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.5.21.17d6e6","symbolType":2}] 3 | ["PART","10KΩ.1",{"BBOX":[-10,4,10,-4]}] 4 | ["FONTSTYLE","st1",null,null,null,null,null,null,null,null,null,0] 5 | ["ATTR","e1","","Symbol","10KΩ",0,0,null,null,0,"st1",0] 6 | ["ATTR","e2","","Designator","R?",0,0,null,null,0,"st1",0] 7 | ["LINESTYLE","st2",null,null,null,null] 8 | ["RECT","e3",-10,-4,10,4,0,0,0,"st2",0] 9 | ["PIN","e4",1,1,20,0,10,180,null,0,0] 10 | ["FONTSTYLE","st3",null,null,null,null,0,0,0,null,2,2] 11 | ["ATTR","e5","e4","NAME","2",0,0,null,null,0,"st3",0] 12 | ["FONTSTYLE","st4",null,null,null,null,0,0,0,null,2,0] 13 | ["ATTR","e6","e4","NUMBER","2",0,0,null,null,0,"st4",0] 14 | ["ATTR","e7","e4","Pin Type","IN",0,0,null,null,0,"st1",0] 15 | ["PIN","e8",1,1,-20,0,10,0,null,0,0] 16 | ["ATTR","e9","e8","NAME","1",0,0,null,null,0,"st4",0] 17 | ["ATTR","e10","e8","NUMBER","1",0,0,null,null,0,"st3",0] 18 | ["ATTR","e11","e8","Pin Type","IN",0,0,null,null,0,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/2ea15a4a22b64559964350357467639b.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,"default",5,0,0,0,0,1,1] 6 | ["FONTSTYLE","st4",null,null,"default",null,0,0,0,0,1,0] 7 | ["FONTSTYLE","st5",null,null,"default",null,0,0,0,0,2,1] 8 | ["PART","1",{"BBOX":[-5.5,-0.5,5.5,10.5]}] 9 | ["ATTR","ie1","","Symbol","AGB-E02-20-rescue_MountingHole_Pad-Mechanical",false,false,null,null,0,"st3",0] 10 | ["ATTR","ie2","","Designator","H?",false,false,null,null,0,"st3",0] 11 | ["PIN","ie3",1,1,0,-10,10,90,null,0,0,1] 12 | ["ATTR","ie4","ie3","NAME","1",false,false,0,4,90,"st4",0] 13 | ["ATTR","ie5","ie3","NUMBER","1",false,false,0,-5,90,"st5",0] 14 | ["ATTR","ie6","ie3","Pin Type","IN",false,false,0,-10,0,"st2",0] 15 | ["CIRCLE","ie7",0,5,5,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/3239f28c1c694f56bf8df9b592299b85.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,"default",5,0,0,0,0,1,1] 6 | ["FONTSTYLE","st4",null,null,"default",null,0,0,0,0,1,0] 7 | ["FONTSTYLE","st5",null,null,"default",null,0,0,0,0,2,1] 8 | ["FONTSTYLE","st6",null,null,"default",null,0,0,0,0,1,2] 9 | ["PART","1",{"BBOX":[-50,-225,50,-5]}] 10 | ["ATTR","ie1","","Symbol","NintendoSymbols_MX23L12806-12C",false,false,null,null,0,"st3",0] 11 | ["ATTR","ie2","","Designator","U?",false,false,null,null,0,"st3",0] 12 | ["PIN","ie3",1,1,-60,-10,10,0,null,0,0,1] 13 | ["ATTR","ie4","ie3","NAME","GND",false,true,-46,-10,0,"st4",0] 14 | ["ATTR","ie5","ie3","NUMBER","1",false,true,-55,-10,0,"st5",0] 15 | ["ATTR","ie6","ie3","Pin Type","IN",false,false,-60,-10,0,"st2",0] 16 | ["PIN","ie7",1,1,-60,-100,10,0,null,0,0,1] 17 | ["ATTR","ie8","ie7","NAME","A0",false,true,-46,-100,0,"st4",0] 18 | ["ATTR","ie9","ie7","NUMBER","10",false,true,-55,-100,0,"st5",0] 19 | ["ATTR","ie10","ie7","Pin Type","IN",false,false,-60,-100,0,"st2",0] 20 | ["PIN","ie11",1,1,-60,-110,10,0,null,0,0,1] 21 | ["ATTR","ie12","ie11","NAME","A1",false,true,-46,-110,0,"st4",0] 22 | ["ATTR","ie13","ie11","NUMBER","11",false,true,-55,-110,0,"st5",0] 23 | ["ATTR","ie14","ie11","Pin Type","IN",false,false,-60,-110,0,"st2",0] 24 | ["PIN","ie15",1,1,-60,-120,10,0,null,0,0,1] 25 | ["ATTR","ie16","ie15","NAME","A2",false,true,-46,-120,0,"st4",0] 26 | ["ATTR","ie17","ie15","NUMBER","12",false,true,-55,-120,0,"st5",0] 27 | ["ATTR","ie18","ie15","Pin Type","IN",false,false,-60,-120,0,"st2",0] 28 | ["PIN","ie19",1,1,-60,-130,10,0,null,0,0,1] 29 | ["ATTR","ie20","ie19","NAME","A3",false,true,-46,-130,0,"st4",0] 30 | ["ATTR","ie21","ie19","NUMBER","13",false,true,-55,-130,0,"st5",0] 31 | ["ATTR","ie22","ie19","Pin Type","IN",false,false,-60,-130,0,"st2",0] 32 | ["PIN","ie23",1,1,-60,-140,10,0,null,0,0,1] 33 | ["ATTR","ie24","ie23","NAME","A4",false,true,-46,-140,0,"st4",0] 34 | ["ATTR","ie25","ie23","NUMBER","14",false,true,-55,-140,0,"st5",0] 35 | ["ATTR","ie26","ie23","Pin Type","IN",false,false,-60,-140,0,"st2",0] 36 | ["PIN","ie27",1,1,-60,-150,10,0,null,0,0,1] 37 | ["ATTR","ie28","ie27","NAME","A5",false,true,-46,-150,0,"st4",0] 38 | ["ATTR","ie29","ie27","NUMBER","15",false,true,-55,-150,0,"st5",0] 39 | ["ATTR","ie30","ie27","Pin Type","IN",false,false,-60,-150,0,"st2",0] 40 | ["PIN","ie31",1,1,-60,-160,10,0,null,0,0,1] 41 | ["ATTR","ie32","ie31","NAME","A6",false,true,-46,-160,0,"st4",0] 42 | ["ATTR","ie33","ie31","NUMBER","16",false,true,-55,-160,0,"st5",0] 43 | ["ATTR","ie34","ie31","Pin Type","IN",false,false,-60,-160,0,"st2",0] 44 | ["PIN","ie35",1,1,-60,-170,10,0,null,0,0,1] 45 | ["ATTR","ie36","ie35","NAME","A7",false,true,-46,-170,0,"st4",0] 46 | ["ATTR","ie37","ie35","NUMBER","17",false,true,-55,-170,0,"st5",0] 47 | ["ATTR","ie38","ie35","Pin Type","IN",false,false,-60,-170,0,"st2",0] 48 | ["PIN","ie39",1,1,-60,-180,10,0,null,0,0,1] 49 | ["ATTR","ie40","ie39","NAME","RD",false,true,-46,-180,0,"st4",0] 50 | ["ATTR","ie41","ie39","NUMBER","18",false,true,-55,-180,0,"st5",0] 51 | ["ATTR","ie42","ie39","Pin Type","IN",false,false,-60,-180,0,"st2",0] 52 | ["PIN","ie43",1,1,-60,-190,10,0,null,0,0,1] 53 | ["ATTR","ie44","ie43","NAME","CS",false,true,-46,-190,0,"st4",0] 54 | ["ATTR","ie45","ie43","NUMBER","19",false,true,-55,-190,0,"st5",0] 55 | ["ATTR","ie46","ie43","Pin Type","IN",false,false,-60,-190,0,"st2",0] 56 | ["PIN","ie47",1,1,-60,-20,10,0,null,0,0,1] 57 | ["ATTR","ie48","ie47","NAME","VCC",false,true,-46,-20,0,"st4",0] 58 | ["ATTR","ie49","ie47","NUMBER","2",false,true,-55,-20,0,"st5",0] 59 | ["ATTR","ie50","ie47","Pin Type","IN",false,false,-60,-20,0,"st2",0] 60 | ["PIN","ie51",1,1,-60,-200,10,0,null,0,0,1] 61 | ["ATTR","ie52","ie51","NAME","GND",false,true,-46,-200,0,"st4",0] 62 | ["ATTR","ie53","ie51","NUMBER","20",false,true,-55,-200,0,"st5",0] 63 | ["ATTR","ie54","ie51","Pin Type","IN",false,false,-60,-200,0,"st2",0] 64 | ["PIN","ie55",1,1,-60,-210,10,0,null,0,0,1] 65 | ["ATTR","ie56","ie55","NAME","GND",false,true,-46,-210,0,"st4",0] 66 | ["ATTR","ie57","ie55","NUMBER","21",false,true,-55,-210,0,"st5",0] 67 | ["ATTR","ie58","ie55","Pin Type","IN",false,false,-60,-210,0,"st2",0] 68 | ["PIN","ie59",1,1,-60,-220,10,0,null,0,0,1] 69 | ["ATTR","ie60","ie59","NAME","GND",false,true,-46,-220,0,"st4",0] 70 | ["ATTR","ie61","ie59","NUMBER","22",false,true,-55,-220,0,"st5",0] 71 | ["ATTR","ie62","ie59","Pin Type","IN",false,false,-60,-220,0,"st2",0] 72 | ["PIN","ie63",1,1,60,-220,10,180,null,0,0,1] 73 | ["ATTR","ie64","ie63","NAME","~",false,true,46,-220,0,"st6",0] 74 | ["ATTR","ie65","ie63","NUMBER","23",false,true,55,-220,0,"st5",0] 75 | ["ATTR","ie66","ie63","Pin Type","IN",false,false,60,-220,0,"st2",0] 76 | ["PIN","ie67",1,1,60,-210,10,180,null,0,0,1] 77 | ["ATTR","ie68","ie67","NAME","VCC",false,true,46,-210,0,"st6",0] 78 | ["ATTR","ie69","ie67","NUMBER","24",false,true,55,-210,0,"st5",0] 79 | ["ATTR","ie70","ie67","Pin Type","IN",false,false,60,-210,0,"st2",0] 80 | ["PIN","ie71",1,1,60,-200,10,180,null,0,0,1] 81 | ["ATTR","ie72","ie71","NAME","VCC",false,true,46,-200,0,"st6",0] 82 | ["ATTR","ie73","ie71","NUMBER","25",false,true,55,-200,0,"st5",0] 83 | ["ATTR","ie74","ie71","Pin Type","IN",false,false,60,-200,0,"st2",0] 84 | ["PIN","ie75",1,1,60,-190,10,180,null,0,0,1] 85 | ["ATTR","ie76","ie75","NAME","GND",false,true,46,-190,0,"st6",0] 86 | ["ATTR","ie77","ie75","NUMBER","26",false,true,55,-190,0,"st5",0] 87 | ["ATTR","ie78","ie75","Pin Type","IN",false,false,60,-190,0,"st2",0] 88 | ["PIN","ie79",1,1,60,-180,10,180,null,0,0,1] 89 | ["ATTR","ie80","ie79","NAME","CS2",false,true,46,-180,0,"st6",0] 90 | ["ATTR","ie81","ie79","NUMBER","27",false,true,55,-180,0,"st5",0] 91 | ["ATTR","ie82","ie79","Pin Type","IN",false,false,60,-180,0,"st2",0] 92 | ["PIN","ie83",1,1,60,-170,10,180,null,0,0,1] 93 | ["ATTR","ie84","ie83","NAME","A8",false,true,46,-170,0,"st6",0] 94 | ["ATTR","ie85","ie83","NUMBER","28",false,true,55,-170,0,"st5",0] 95 | ["ATTR","ie86","ie83","Pin Type","IN",false,false,60,-170,0,"st2",0] 96 | ["PIN","ie87",1,1,60,-160,10,180,null,0,0,1] 97 | ["ATTR","ie88","ie87","NAME","A9",false,true,46,-160,0,"st6",0] 98 | ["ATTR","ie89","ie87","NUMBER","29",false,true,55,-160,0,"st5",0] 99 | ["ATTR","ie90","ie87","Pin Type","IN",false,false,60,-160,0,"st2",0] 100 | ["PIN","ie91",1,1,-60,-30,10,0,null,0,0,1] 101 | ["ATTR","ie92","ie91","NAME","VCC",false,true,-46,-30,0,"st4",0] 102 | ["ATTR","ie93","ie91","NUMBER","3",false,true,-55,-30,0,"st5",0] 103 | ["ATTR","ie94","ie91","Pin Type","IN",false,false,-60,-30,0,"st2",0] 104 | ["PIN","ie95",1,1,60,-150,10,180,null,0,0,1] 105 | ["ATTR","ie96","ie95","NAME","A10",false,true,46,-150,0,"st6",0] 106 | ["ATTR","ie97","ie95","NUMBER","30",false,true,55,-150,0,"st5",0] 107 | ["ATTR","ie98","ie95","Pin Type","IN",false,false,60,-150,0,"st2",0] 108 | ["PIN","ie99",1,1,60,-140,10,180,null,0,0,1] 109 | ["ATTR","ie100","ie99","NAME","A11",false,true,46,-140,0,"st6",0] 110 | ["ATTR","ie101","ie99","NUMBER","31",false,true,55,-140,0,"st5",0] 111 | ["ATTR","ie102","ie99","Pin Type","IN",false,false,60,-140,0,"st2",0] 112 | ["PIN","ie103",1,1,60,-130,10,180,null,0,0,1] 113 | ["ATTR","ie104","ie103","NAME","A12",false,true,46,-130,0,"st6",0] 114 | ["ATTR","ie105","ie103","NUMBER","32",false,true,55,-130,0,"st5",0] 115 | ["ATTR","ie106","ie103","Pin Type","IN",false,false,60,-130,0,"st2",0] 116 | ["PIN","ie107",1,1,60,-120,10,180,null,0,0,1] 117 | ["ATTR","ie108","ie107","NAME","A13",false,true,46,-120,0,"st6",0] 118 | ["ATTR","ie109","ie107","NUMBER","33",false,true,55,-120,0,"st5",0] 119 | ["ATTR","ie110","ie107","Pin Type","IN",false,false,60,-120,0,"st2",0] 120 | ["PIN","ie111",1,1,60,-110,10,180,null,0,0,1] 121 | ["ATTR","ie112","ie111","NAME","A14",false,true,46,-110,0,"st6",0] 122 | ["ATTR","ie113","ie111","NUMBER","34",false,true,55,-110,0,"st5",0] 123 | ["ATTR","ie114","ie111","Pin Type","IN",false,false,60,-110,0,"st2",0] 124 | ["PIN","ie115",1,1,60,-100,10,180,null,0,0,1] 125 | ["ATTR","ie116","ie115","NAME","A15",false,true,46,-100,0,"st6",0] 126 | ["ATTR","ie117","ie115","NUMBER","35",false,true,55,-100,0,"st5",0] 127 | ["ATTR","ie118","ie115","Pin Type","IN",false,false,60,-100,0,"st2",0] 128 | ["PIN","ie119",1,1,60,-90,10,180,null,0,0,1] 129 | ["ATTR","ie120","ie119","NAME","GND",false,true,46,-90,0,"st6",0] 130 | ["ATTR","ie121","ie119","NUMBER","36",false,true,55,-90,0,"st5",0] 131 | ["ATTR","ie122","ie119","Pin Type","IN",false,false,60,-90,0,"st2",0] 132 | ["PIN","ie123",1,1,60,-80,10,180,null,0,0,1] 133 | ["ATTR","ie124","ie123","NAME","VCC",false,true,46,-80,0,"st6",0] 134 | ["ATTR","ie125","ie123","NUMBER","37",false,true,55,-80,0,"st5",0] 135 | ["ATTR","ie126","ie123","Pin Type","IN",false,false,60,-80,0,"st2",0] 136 | ["PIN","ie127",1,1,60,-70,10,180,null,0,0,1] 137 | ["ATTR","ie128","ie127","NAME","D0",false,true,46,-70,0,"st6",0] 138 | ["ATTR","ie129","ie127","NUMBER","38",false,true,55,-70,0,"st5",0] 139 | ["ATTR","ie130","ie127","Pin Type","IN",false,false,60,-70,0,"st2",0] 140 | ["PIN","ie131",1,1,60,-60,10,180,null,0,0,1] 141 | ["ATTR","ie132","ie131","NAME","D1",false,true,46,-60,0,"st6",0] 142 | ["ATTR","ie133","ie131","NUMBER","39",false,true,55,-60,0,"st5",0] 143 | ["ATTR","ie134","ie131","Pin Type","IN",false,false,60,-60,0,"st2",0] 144 | ["PIN","ie135",1,1,-60,-40,10,0,null,0,0,1] 145 | ["ATTR","ie136","ie135","NAME","D4",false,true,-46,-40,0,"st4",0] 146 | ["ATTR","ie137","ie135","NUMBER","4",false,true,-55,-40,0,"st5",0] 147 | ["ATTR","ie138","ie135","Pin Type","IN",false,false,-60,-40,0,"st2",0] 148 | ["PIN","ie139",1,1,60,-50,10,180,null,0,0,1] 149 | ["ATTR","ie140","ie139","NAME","D2",false,true,46,-50,0,"st6",0] 150 | ["ATTR","ie141","ie139","NUMBER","40",false,true,55,-50,0,"st5",0] 151 | ["ATTR","ie142","ie139","Pin Type","IN",false,false,60,-50,0,"st2",0] 152 | ["PIN","ie143",1,1,60,-40,10,180,null,0,0,1] 153 | ["ATTR","ie144","ie143","NAME","D3",false,true,46,-40,0,"st6",0] 154 | ["ATTR","ie145","ie143","NUMBER","41",false,true,55,-40,0,"st5",0] 155 | ["ATTR","ie146","ie143","Pin Type","IN",false,false,60,-40,0,"st2",0] 156 | ["PIN","ie147",1,1,60,-30,10,180,null,0,0,1] 157 | ["ATTR","ie148","ie147","NAME","GND",false,true,46,-30,0,"st6",0] 158 | ["ATTR","ie149","ie147","NUMBER","42",false,true,55,-30,0,"st5",0] 159 | ["ATTR","ie150","ie147","Pin Type","IN",false,false,60,-30,0,"st2",0] 160 | ["PIN","ie151",1,1,60,-20,10,180,null,0,0,1] 161 | ["ATTR","ie152","ie151","NAME","GND",false,true,46,-20,0,"st6",0] 162 | ["ATTR","ie153","ie151","NUMBER","43",false,true,55,-20,0,"st5",0] 163 | ["ATTR","ie154","ie151","Pin Type","IN",false,false,60,-20,0,"st2",0] 164 | ["PIN","ie155",1,1,60,-10,10,180,null,0,0,1] 165 | ["ATTR","ie156","ie155","NAME","~",false,true,46,-10,0,"st6",0] 166 | ["ATTR","ie157","ie155","NUMBER","44",false,true,55,-10,0,"st5",0] 167 | ["ATTR","ie158","ie155","Pin Type","IN",false,false,60,-10,0,"st2",0] 168 | ["PIN","ie159",1,1,-60,-50,10,0,null,0,0,1] 169 | ["ATTR","ie160","ie159","NAME","D5",false,true,-46,-50,0,"st4",0] 170 | ["ATTR","ie161","ie159","NUMBER","5",false,true,-55,-50,0,"st5",0] 171 | ["ATTR","ie162","ie159","Pin Type","IN",false,false,-60,-50,0,"st2",0] 172 | ["PIN","ie163",1,1,-60,-60,10,0,null,0,0,1] 173 | ["ATTR","ie164","ie163","NAME","D6",false,true,-46,-60,0,"st4",0] 174 | ["ATTR","ie165","ie163","NUMBER","6",false,true,-55,-60,0,"st5",0] 175 | ["ATTR","ie166","ie163","Pin Type","IN",false,false,-60,-60,0,"st2",0] 176 | ["PIN","ie167",1,1,-60,-70,10,0,null,0,0,1] 177 | ["ATTR","ie168","ie167","NAME","D7",false,true,-46,-70,0,"st4",0] 178 | ["ATTR","ie169","ie167","NUMBER","7",false,true,-55,-70,0,"st5",0] 179 | ["ATTR","ie170","ie167","Pin Type","IN",false,false,-60,-70,0,"st2",0] 180 | ["PIN","ie171",1,1,-60,-80,10,0,null,0,0,1] 181 | ["ATTR","ie172","ie171","NAME","GND",false,true,-46,-80,0,"st4",0] 182 | ["ATTR","ie173","ie171","NUMBER","8",false,true,-55,-80,0,"st5",0] 183 | ["ATTR","ie174","ie171","Pin Type","IN",false,false,-60,-80,0,"st2",0] 184 | ["PIN","ie175",1,1,-60,-90,10,0,null,0,0,1] 185 | ["ATTR","ie176","ie175","NAME","VCC",false,true,-46,-90,0,"st4",0] 186 | ["ATTR","ie177","ie175","NUMBER","9",false,true,-55,-90,0,"st5",0] 187 | ["ATTR","ie178","ie175","Pin Type","IN",false,false,-60,-90,0,"st2",0] 188 | ["RECT","ie179",-50,-225,50,-5,0,0,0,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/39a3bcc2ed654cfba8ee7e5579b2de81.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.0"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,null,null,0,0,0,0,2,0] 6 | ["FONTSTYLE","st4",null,null,null,null,0,0,0,0,2,2] 7 | ["PART","10UF_C9900016685.1",{"BBOX":[-10,-10.5,4.500000000000012,10.500000000000025]}] 8 | ["ATTR","e1","","Symbol","10UF_C9900016685",false,false,null,null,0,"st2",0] 9 | ["ATTR","e2","","Designator","U?",false,false,null,null,0,"st2",0] 10 | ["RECT","e3",-8,-7,-7.9,-3,0,0,0,"st1",0] 11 | ["RECT","e4",-10,-5,-6,-4.9,0,0,0,"st1",0] 12 | ["PIN","e5",1,1,-20,0,17,0,null,0,0,1] 13 | ["ATTR","e6","e5","NAME","1",false,false,null,null,0,"st3",0] 14 | ["ATTR","e7","e5","NUMBER","1",false,false,null,null,0,"st4",0] 15 | ["ATTR","e8","e5","Pin Type","IN",false,false,null,null,0,"st2",0] 16 | ["PIN","e9",1,1,20,0,19,180,null,0,0,1] 17 | ["ATTR","e10","e9","NAME","2",false,false,null,null,0,"st4",0] 18 | ["ATTR","e11","e9","NUMBER","2",false,false,null,null,0,"st3",0] 19 | ["ATTR","e12","e9","Pin Type","IN",false,false,null,null,0,"st2",0] 20 | ["POLY","e13",[-3,-10,-3,10],0,"st1",0] 21 | ["ARC","e14",1,0,1.66602,5.2502,4,10,"st1",0] 22 | ["ARC","e15",4,-10,1.67727,-5.24682,1,0,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/4f68495ce0544a8fba994a4a865b9405.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.0"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,null,null,0,0,0,0,2,2] 6 | ["FONTSTYLE","st4",null,null,null,null,0,0,0,0,2,0] 7 | ["PART","MPP104K1000D131206B1015_C9900014948.1",{"BBOX":[-8.5,-10.5,8.5,10.5]}] 8 | ["ATTR","e1","","Symbol","MPP104K1000D131206B1015_C9900014948",false,false,null,null,0,"st2",0] 9 | ["ATTR","e2","","Designator","U?",false,false,null,null,0,"st2",0] 10 | ["PIN","e3",1,1,0,20,10,270,null,0,0,1] 11 | ["ATTR","e4","e3","NAME","2",false,false,null,null,90,"st3",0] 12 | ["ATTR","e5","e3","NUMBER","2",false,false,null,null,90,"st4",0] 13 | ["ATTR","e6","e3","Pin Type","IN",false,false,null,null,0,"st2",0] 14 | ["PIN","e7",1,1,0,-20,10,90,null,0,0,1] 15 | ["ATTR","e8","e7","NAME","1",false,false,null,null,90,"st4",0] 16 | ["ATTR","e9","e7","NUMBER","1",false,false,null,null,90,"st3",0] 17 | ["ATTR","e10","e7","Pin Type","IN",false,false,null,null,0,"st2",0] 18 | ["POLY","e11",[0,-2,0,-10],0,"st1",0] 19 | ["POLY","e12",[-8,2,8,2],0,"st1",0] 20 | ["POLY","e13",[0,10,0,2],0,"st1",0] 21 | ["POLY","e14",[8,-2,-8,-2],0,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/52ab7d8a4d0f4105b52a5ead4cab5da0.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.8.26.291c7a","maxId":55,"symbolType":2}] 3 | ["PART","1",{"BBOX":[20,12.5,60,-55]}] 4 | ["FONTSTYLE","st1",null,null,null,null,0,0,0,null,1,1] 5 | ["ATTR","e1","","Symbol","jtag",0,0,0,0,0,"st1",0] 6 | ["FONTSTYLE","st2",null,null,null,10,0,0,0,null,1,1] 7 | ["ATTR","e2","","Designator","",0,0,0,0,0,"st2",0] 8 | ["LINESTYLE","st3",null,null,null,null] 9 | ["RECT","e3",20,-55,60,-45,0,0,0,"st3",0] 10 | ["RECT","e4",20,-45,60,-35,0,0,0,"st3",0] 11 | ["RECT","e5",20,-25,60,-35,0,0,0,"st3",0] 12 | ["RECT","e6",20,-25,60,-15,0,0,0,"st3",0] 13 | ["RECT","e7",20,-15,60,-5,0,0,0,"st3",0] 14 | ["RECT","e8",20,-5,60,5,0,0,0,"st3",0] 15 | ["PIN","e9",1,2,0,0,20,0,null,0,0] 16 | ["FONTSTYLE","st4",null,null,null,null,0,0,0,null,1,0] 17 | ["ATTR","e10","e9","NAME","VCC",0,1,24,0,0,"st4",0] 18 | ["FONTSTYLE","st5",null,null,null,null,0,0,0,null,2,1] 19 | ["ATTR","e11","e9","NUMBER","1",0,1,10,0,0,"st5",0] 20 | ["FONTSTYLE","st6",null,null,null,null,null,null,null,null,2,0] 21 | ["ATTR","e29","e9","Pin Type","OUT",0,0,0,0,0,"st6",0] 22 | ["PIN","e12",1,2,0,-10,20,0,null,0,0] 23 | ["ATTR","e13","e12","NAME","TDO",0,1,24,-10,0,"st4",0] 24 | ["ATTR","e14","e12","NUMBER","2",0,1,10,-10,0,"st5",0] 25 | ["ATTR","e30","e12","Pin Type","OUT",0,0,0,-10,0,"st6",0] 26 | ["PIN","e15",1,2,0,-20,20,0,null,0,0] 27 | ["ATTR","e16","e15","NAME","TDI",0,1,24,-20,0,"st4",0] 28 | ["ATTR","e17","e15","NUMBER","3",0,1,10,-20,0,"st5",0] 29 | ["ATTR","e31","e15","Pin Type","OUT",0,0,0,-20,0,"st6",0] 30 | ["PIN","e18",1,2,0,-30,20,0,null,0,0] 31 | ["ATTR","e19","e18","NAME","TMS",0,1,24,-30,0,"st4",0] 32 | ["ATTR","e20","e18","NUMBER","4",0,1,10,-30,0,"st5",0] 33 | ["ATTR","e32","e18","Pin Type","OUT",0,0,0,-30,0,"st6",0] 34 | ["PIN","e21",1,1,0,-40,20,0,null,0,0] 35 | ["ATTR","e22","e21","NAME","TCK",0,1,24,-40,0,"st4",0] 36 | ["ATTR","e23","e21","NUMBER","5",0,1,10,-40,0,"st5",0] 37 | ["ATTR","e33","e21","Pin Type","IN",0,0,0,-40,0,"st6",0] 38 | ["PIN","e24",1,1,0,-50,20,0,null,0,0] 39 | ["ATTR","e25","e24","NAME","GND",0,1,24,-50,0,"st4",0] 40 | ["ATTR","e26","e24","NUMBER","6",0,1,10,-50,0,"st5",0] 41 | ["ATTR","e34","e24","Pin Type","IN",0,0,0,-50,0,"st6",0] 42 | ["POLY","e27",[57.5,10,50,10],false,"st3",0] 43 | ["POLY","e28",[55,12.5,57.5,10,55,7.5],false,"st3",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/5498831feab84a2a807d532daf8236bc.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.0"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.5.9.2178ff","symbolType":18}] 3 | ["PART","",{"BBOX":[-10,-9,10,-30.02857208251953]}] 4 | ["FONTSTYLE","st1",null,null,null,10,null,null,null,null,null,0] 5 | ["ATTR","e8","","Symbol","Ground-GND",0,0,0,-30,360,"st1",0] 6 | ["FONTSTYLE","st2",null,null,null,10,null,null,null,null,1,1] 7 | ["ATTR","e46","","Global Net Name","GND",0,1,0,-25,0,"st2",0] 8 | ["PIN","e15",1,1,0,0,10,270,null,0,0] 9 | ["FONTSTYLE","st3",null,null,null,null,null,null,null,null,1,2] 10 | ["ATTR","e16","e15","NAME","Pin1",0,0,0,-10,90,"st3",0] 11 | ["FONTSTYLE","st4",null,null,"宋体",null,0,0,0,null,2,0] 12 | ["ATTR","e17","e15","NUMBER","1",0,0,-1,-10,90,"st4",0] 13 | ["FONTSTYLE","st5",null,null,null,null,null,null,null,null,0,2] 14 | ["ATTR","e47","e15","Pin Type","IN",0,0,0,0,90,"st5",0] 15 | ["LINESTYLE","st6",null,null,null,1] 16 | ["POLY","e41",[-10,-10,10,-10],false,"st6",0] 17 | ["POLY","e42",[-1,-19,1,-19],false,"st6",0] 18 | ["POLY","e43",[-4,-16,4,-16],false,"st6",0] 19 | ["POLY","e44",[-7,-13,7,-13],false,"st6",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/5682e4b53de8497592ff2df382d9d64d.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.0"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,null,null,0,0,0,0,2,0] 6 | ["FONTSTYLE","st4",null,null,null,null,0,0,0,0,2,2] 7 | ["PART","100NF/0603/50V.1",{"BBOX":[-10.5,-8.5,10.5,8.5]}] 8 | ["ATTR","e1","","Symbol","100NF/0603/50V",false,false,null,null,0,"st2",0] 9 | ["ATTR","e2","","Designator","U?",false,false,null,null,0,"st2",0] 10 | ["PIN","e3",1,1,-20,0,10,0,null,0,0,1] 11 | ["ATTR","e4","e3","NAME","2",false,false,null,null,0,"st3",0] 12 | ["ATTR","e5","e3","NUMBER","2",false,false,null,null,0,"st4",0] 13 | ["ATTR","e6","e3","Pin Type","IN",false,false,null,null,0,"st2",0] 14 | ["PIN","e7",1,1,20,0,10,180,null,0,0,1] 15 | ["ATTR","e8","e7","NAME","1",false,false,null,null,0,"st4",0] 16 | ["ATTR","e9","e7","NUMBER","1",false,false,null,null,0,"st3",0] 17 | ["ATTR","e10","e7","Pin Type","IN",false,false,null,null,0,"st2",0] 18 | ["POLY","e11",[2,0,10,0],0,"st1",0] 19 | ["POLY","e12",[-2,-8,-2,8],0,"st1",0] 20 | ["POLY","e13",[-10,0,-2,0],0,"st1",0] 21 | ["POLY","e14",[2,8,2,-8],0,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/660a8d0a822842e98f7e7add13257895.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,"default",5,0,0,0,0,1,1] 6 | ["FONTSTYLE","st4",null,null,"default",null,0,0,0,0,1,0] 7 | ["FONTSTYLE","st5",null,null,"default",null,0,0,0,0,2,1] 8 | ["FONTSTYLE","st6",null,null,"default",null,0,0,0,0,1,2] 9 | ["PART","1",{"BBOX":[-100,-140,95,20]}] 10 | ["ATTR","ie1","","Symbol","NintendoSymbols_LE26FV10N1TS",false,false,null,null,0,"st3",0] 11 | ["ATTR","ie2","","Designator","U?",false,false,null,null,0,"st3",0] 12 | ["PIN","ie3",1,1,-110,15,10,0,null,0,0,1] 13 | ["ATTR","ie4","ie3","NAME","A11",false,true,-96,15,0,"st4",0] 14 | ["ATTR","ie5","ie3","NUMBER","1",false,true,-105,15,0,"st5",0] 15 | ["ATTR","ie6","ie3","Pin Type","IN",false,false,-110,15,0,"st2",0] 16 | ["PIN","ie7",1,1,-110,-75,10,0,null,0,0,1] 17 | ["ATTR","ie8","ie7","NAME","GND",false,true,-96,-75,0,"st4",0] 18 | ["ATTR","ie9","ie7","NUMBER","10",false,true,-105,-75,0,"st5",0] 19 | ["ATTR","ie10","ie7","Pin Type","IN",false,false,-110,-75,0,"st2",0] 20 | ["PIN","ie11",1,1,-110,-85,10,0,null,0,0,1] 21 | ["ATTR","ie12","ie11","NAME","A15",false,true,-96,-85,0,"st4",0] 22 | ["ATTR","ie13","ie11","NUMBER","11",false,true,-105,-85,0,"st5",0] 23 | ["ATTR","ie14","ie11","Pin Type","IN",false,false,-110,-85,0,"st2",0] 24 | ["PIN","ie15",1,1,-110,-95,10,0,null,0,0,1] 25 | ["ATTR","ie16","ie15","NAME","A12",false,true,-96,-95,0,"st4",0] 26 | ["ATTR","ie17","ie15","NUMBER","12",false,true,-105,-95,0,"st5",0] 27 | ["ATTR","ie18","ie15","Pin Type","IN",false,false,-110,-95,0,"st2",0] 28 | ["PIN","ie19",1,1,-110,-105,10,0,null,0,0,1] 29 | ["ATTR","ie20","ie19","NAME","A7",false,true,-96,-105,0,"st4",0] 30 | ["ATTR","ie21","ie19","NUMBER","13",false,true,-105,-105,0,"st5",0] 31 | ["ATTR","ie22","ie19","Pin Type","IN",false,false,-110,-105,0,"st2",0] 32 | ["PIN","ie23",1,1,-110,-115,10,0,null,0,0,1] 33 | ["ATTR","ie24","ie23","NAME","A6",false,true,-96,-115,0,"st4",0] 34 | ["ATTR","ie25","ie23","NUMBER","14",false,true,-105,-115,0,"st5",0] 35 | ["ATTR","ie26","ie23","Pin Type","IN",false,false,-110,-115,0,"st2",0] 36 | ["PIN","ie27",1,1,-110,-125,10,0,null,0,0,1] 37 | ["ATTR","ie28","ie27","NAME","A5",false,true,-96,-125,0,"st4",0] 38 | ["ATTR","ie29","ie27","NUMBER","15",false,true,-105,-125,0,"st5",0] 39 | ["ATTR","ie30","ie27","Pin Type","IN",false,false,-110,-125,0,"st2",0] 40 | ["PIN","ie31",1,1,-110,-135,10,0,null,0,0,1] 41 | ["ATTR","ie32","ie31","NAME","A4",false,true,-96,-135,0,"st4",0] 42 | ["ATTR","ie33","ie31","NUMBER","16",false,true,-105,-135,0,"st5",0] 43 | ["ATTR","ie34","ie31","Pin Type","IN",false,false,-110,-135,0,"st2",0] 44 | ["PIN","ie35",1,1,105,-135,10,180,null,0,0,1] 45 | ["ATTR","ie36","ie35","NAME","A3",false,true,91,-135,0,"st6",0] 46 | ["ATTR","ie37","ie35","NUMBER","17",false,true,100,-135,0,"st5",0] 47 | ["ATTR","ie38","ie35","Pin Type","IN",false,false,105,-135,0,"st2",0] 48 | ["PIN","ie39",1,1,105,-125,10,180,null,0,0,1] 49 | ["ATTR","ie40","ie39","NAME","A2",false,true,91,-125,0,"st6",0] 50 | ["ATTR","ie41","ie39","NUMBER","18",false,true,100,-125,0,"st5",0] 51 | ["ATTR","ie42","ie39","Pin Type","IN",false,false,105,-125,0,"st2",0] 52 | ["PIN","ie43",1,1,105,-115,10,180,null,0,0,1] 53 | ["ATTR","ie44","ie43","NAME","A1",false,true,91,-115,0,"st6",0] 54 | ["ATTR","ie45","ie43","NUMBER","19",false,true,100,-115,0,"st5",0] 55 | ["ATTR","ie46","ie43","Pin Type","IN",false,false,105,-115,0,"st2",0] 56 | ["PIN","ie47",1,1,-110,5,10,0,null,0,0,1] 57 | ["ATTR","ie48","ie47","NAME","A9",false,true,-96,5,0,"st4",0] 58 | ["ATTR","ie49","ie47","NUMBER","2",false,true,-105,5,0,"st5",0] 59 | ["ATTR","ie50","ie47","Pin Type","IN",false,false,-110,5,0,"st2",0] 60 | ["PIN","ie51",1,1,105,-105,10,180,null,0,0,1] 61 | ["ATTR","ie52","ie51","NAME","A0",false,true,91,-105,0,"st6",0] 62 | ["ATTR","ie53","ie51","NUMBER","20",false,true,100,-105,0,"st5",0] 63 | ["ATTR","ie54","ie51","Pin Type","IN",false,false,105,-105,0,"st2",0] 64 | ["PIN","ie55",1,1,105,-95,10,180,null,0,0,1] 65 | ["ATTR","ie56","ie55","NAME","D0",false,true,91,-95,0,"st6",0] 66 | ["ATTR","ie57","ie55","NUMBER","21",false,true,100,-95,0,"st5",0] 67 | ["ATTR","ie58","ie55","Pin Type","IN",false,false,105,-95,0,"st2",0] 68 | ["PIN","ie59",1,1,105,-85,10,180,null,0,0,1] 69 | ["ATTR","ie60","ie59","NAME","D1",false,true,91,-85,0,"st6",0] 70 | ["ATTR","ie61","ie59","NUMBER","22",false,true,100,-85,0,"st5",0] 71 | ["ATTR","ie62","ie59","Pin Type","IN",false,false,105,-85,0,"st2",0] 72 | ["PIN","ie63",1,1,105,-75,10,180,null,0,0,1] 73 | ["ATTR","ie64","ie63","NAME","D2",false,true,91,-75,0,"st6",0] 74 | ["ATTR","ie65","ie63","NUMBER","23",false,true,100,-75,0,"st5",0] 75 | ["ATTR","ie66","ie63","Pin Type","IN",false,false,105,-75,0,"st2",0] 76 | ["PIN","ie67",1,1,105,-65,10,180,null,0,0,1] 77 | ["ATTR","ie68","ie67","NAME","GND",false,true,91,-65,0,"st6",0] 78 | ["ATTR","ie69","ie67","NUMBER","24",false,true,100,-65,0,"st5",0] 79 | ["ATTR","ie70","ie67","Pin Type","IN",false,false,105,-65,0,"st2",0] 80 | ["PIN","ie71",1,1,105,-55,10,180,null,0,0,1] 81 | ["ATTR","ie72","ie71","NAME","D3",false,true,91,-55,0,"st6",0] 82 | ["ATTR","ie73","ie71","NUMBER","25",false,true,100,-55,0,"st5",0] 83 | ["ATTR","ie74","ie71","Pin Type","IN",false,false,105,-55,0,"st2",0] 84 | ["PIN","ie75",1,1,105,-45,10,180,null,0,0,1] 85 | ["ATTR","ie76","ie75","NAME","D4",false,true,91,-45,0,"st6",0] 86 | ["ATTR","ie77","ie75","NUMBER","26",false,true,100,-45,0,"st5",0] 87 | ["ATTR","ie78","ie75","Pin Type","IN",false,false,105,-45,0,"st2",0] 88 | ["PIN","ie79",1,1,105,-35,10,180,null,0,0,1] 89 | ["ATTR","ie80","ie79","NAME","D5",false,true,91,-35,0,"st6",0] 90 | ["ATTR","ie81","ie79","NUMBER","27",false,true,100,-35,0,"st5",0] 91 | ["ATTR","ie82","ie79","Pin Type","IN",false,false,105,-35,0,"st2",0] 92 | ["PIN","ie83",1,1,105,-25,10,180,null,0,0,1] 93 | ["ATTR","ie84","ie83","NAME","D6",false,true,91,-25,0,"st6",0] 94 | ["ATTR","ie85","ie83","NUMBER","28",false,true,100,-25,0,"st5",0] 95 | ["ATTR","ie86","ie83","Pin Type","IN",false,false,105,-25,0,"st2",0] 96 | ["PIN","ie87",1,1,105,-15,10,180,null,0,0,1] 97 | ["ATTR","ie88","ie87","NAME","D7",false,true,91,-15,0,"st6",0] 98 | ["ATTR","ie89","ie87","NUMBER","29",false,true,100,-15,0,"st5",0] 99 | ["ATTR","ie90","ie87","Pin Type","IN",false,false,105,-15,0,"st2",0] 100 | ["PIN","ie91",1,1,-110,-5,10,0,null,0,0,1] 101 | ["ATTR","ie92","ie91","NAME","A8",false,true,-96,-5,0,"st4",0] 102 | ["ATTR","ie93","ie91","NUMBER","3",false,true,-105,-5,0,"st5",0] 103 | ["ATTR","ie94","ie91","Pin Type","IN",false,false,-110,-5,0,"st2",0] 104 | ["PIN","ie95",1,1,105,-5,10,180,null,0,0,1] 105 | ["ATTR","ie96","ie95","NAME","~CE",false,true,91,-5,0,"st6",0] 106 | ["ATTR","ie97","ie95","NUMBER","30",false,true,100,-5,0,"st5",0] 107 | ["ATTR","ie98","ie95","Pin Type","IN",false,false,105,-5,0,"st2",0] 108 | ["PIN","ie99",1,1,105,5,10,180,null,0,0,1] 109 | ["ATTR","ie100","ie99","NAME","A10",false,true,91,5,0,"st6",0] 110 | ["ATTR","ie101","ie99","NUMBER","31",false,true,100,5,0,"st5",0] 111 | ["ATTR","ie102","ie99","Pin Type","IN",false,false,105,5,0,"st2",0] 112 | ["PIN","ie103",1,1,105,15,10,180,null,0,0,1] 113 | ["ATTR","ie104","ie103","NAME","~OE",false,true,91,15,0,"st6",0] 114 | ["ATTR","ie105","ie103","NUMBER","32",false,true,100,15,0,"st5",0] 115 | ["ATTR","ie106","ie103","Pin Type","IN",false,false,105,15,0,"st2",0] 116 | ["PIN","ie107",1,1,-110,-15,10,0,null,0,0,1] 117 | ["ATTR","ie108","ie107","NAME","A13",false,true,-96,-15,0,"st4",0] 118 | ["ATTR","ie109","ie107","NUMBER","4",false,true,-105,-15,0,"st5",0] 119 | ["ATTR","ie110","ie107","Pin Type","IN",false,false,-110,-15,0,"st2",0] 120 | ["PIN","ie111",1,1,-110,-25,10,0,null,0,0,1] 121 | ["ATTR","ie112","ie111","NAME","A14",false,true,-96,-25,0,"st4",0] 122 | ["ATTR","ie113","ie111","NUMBER","5",false,true,-105,-25,0,"st5",0] 123 | ["ATTR","ie114","ie111","Pin Type","IN",false,false,-110,-25,0,"st2",0] 124 | ["PIN","ie115",1,1,-110,-35,10,0,null,0,0,1] 125 | ["ATTR","ie116","ie115","NAME","GND",false,true,-96,-35,0,"st4",0] 126 | ["ATTR","ie117","ie115","NUMBER","6",false,true,-105,-35,0,"st5",0] 127 | ["ATTR","ie118","ie115","Pin Type","IN",false,false,-110,-35,0,"st2",0] 128 | ["PIN","ie119",1,1,-110,-45,10,0,null,0,0,1] 129 | ["ATTR","ie120","ie119","NAME","~WE",false,true,-96,-45,0,"st4",0] 130 | ["ATTR","ie121","ie119","NUMBER","7",false,true,-105,-45,0,"st5",0] 131 | ["ATTR","ie122","ie119","Pin Type","IN",false,false,-110,-45,0,"st2",0] 132 | ["PIN","ie123",1,1,-110,-55,10,0,null,0,0,1] 133 | ["ATTR","ie124","ie123","NAME","VCC",false,true,-96,-55,0,"st4",0] 134 | ["ATTR","ie125","ie123","NUMBER","8",false,true,-105,-55,0,"st5",0] 135 | ["ATTR","ie126","ie123","Pin Type","IN",false,false,-110,-55,0,"st2",0] 136 | ["PIN","ie127",1,1,-110,-65,10,0,null,0,0,1] 137 | ["ATTR","ie128","ie127","NAME","NC",false,true,-96,-65,0,"st4",0] 138 | ["ATTR","ie129","ie127","NUMBER","9",false,true,-105,-65,0,"st5",0] 139 | ["ATTR","ie130","ie127","Pin Type","IN",false,false,-110,-65,0,"st2",0] 140 | ["RECT","ie131",-100,-140,95,20,0,0,0,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/6b11eaabd7eb4c98a59ba632b4e48dd9.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"symbolType":18,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,"default",null,0,0,0,0,2,1] 6 | ["FONTSTYLE","st4",null,null,"default",null,0,0,0,0,0,1] 7 | ["FONTSTYLE","st5",null,null,"default",5,0,0,0,0,1,1] 8 | ["PART","1",{"BBOX":[-3.5,-0.5,3.5,10.5]}] 9 | ["PIN","ie1",1,1,0,0,0,90,null,0,0,0] 10 | ["ATTR","ie2","ie1","NAME","+3V3",false,false,0,0,90,"st3",0] 11 | ["ATTR","ie3","ie1","NUMBER","1",false,false,0,0,90,"st4",0] 12 | ["ATTR","ie4","ie1","Pin Type","IN",false,false,0,0,0,"st2",0] 13 | ["POLY","ie5",[-3,5,0,10],0,"st1",0] 14 | ["POLY","ie6",[0,0,0,10],0,"st1",0] 15 | ["POLY","ie7",[0,10,3,5],0,"st1",0] 16 | ["ATTR","ie8","","Global Net Name","AGB-E02-20-RESCUE_+3.3V-POWER",false,false,null,null,0,"st5",0] 17 | ["ATTR","ie9","","Symbol","AGB-E02-20-RESCUE_+3.3V-POWER",false,false,null,null,0,"st5",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/7c0bf2b1ea80485499409af98f730f83.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.8.9.3ba2e4","maxId":26,"symbolType":18}] 3 | ["PART","",{"BBOX":[-5,10,5,0]}] 4 | ["FONTSTYLE","st1",null,null,null,null,null,null,null,null,null,0] 5 | ["ATTR","e8","","Symbol","Power-VCC",0,0,0,-30,360,"st1",0] 6 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,2,1] 7 | ["ATTR","e7","","Global Net Name","",0,1,0,10,0,"st2",0] 8 | ["PIN","e11",1,1,0,0,5,90,null,0,0] 9 | ["FONTSTYLE","st3",null,null,null,null,null,null,null,null,1,0] 10 | ["ATTR","e12","e11","NAME","Pin1",0,0,0,5,90,"st3",0] 11 | ["FONTSTYLE","st4",null,null,null,null,null,null,null,null,null,2] 12 | ["ATTR","e15","e11","NUMBER","1",0,0,0,4.5,90,"st4",0] 13 | ["ATTR","e20","e11","Pin Type","IN",0,0,-14,-2,90,"st4",0] 14 | ["LINESTYLE","st5",null,null,null,null] 15 | ["POLY","e23",[-5,10,5,10],false,"st5",0] 16 | ["POLY","e24",[0,10,0,5],false,"st5",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/85b7cae620de49b491e34c18cbc6ee63.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"symbolType":19,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,"default",6.75,0,0,0,0,2,0] 6 | ["PART","1",{"BBOX":[-15.5,-5.5,0.5,5.5]}] 7 | ["POLY","ie1",[0,0,-3.75,5,-3.75,5,-15,5,-15,5,-15,0,-15,0,-15,-5,-15,-5,-3.75,-5,-3.75,-5,0,0],0,"st1",0] 8 | ["PIN","ie2",1,1,0,0,0.01,270,null,0,0,1] 9 | ["ATTR","ie3","ie2","NAME","1",0,0,3,-12,90,"st2",0] 10 | ["ATTR","ie4","ie2","NUMBER","1",0,0,-1,-10,90,"st2",0] 11 | ["ATTR","ie5","ie2","Pin Type","1N",0,0,0,0,90,"st2",0] 12 | ["ATTR","ie6","","Symbol","G_INPUT",false,false,null,null,0,"st3",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/8b308a194ff64c5e9bb5fec2c8e63e1a.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,"default",5,0,0,0,0,1,1] 6 | ["FONTSTYLE","st4",null,null,"default",null,0,0,0,0,2,1] 7 | ["FONTSTYLE","st5",null,null,"default",null,0,0,0,0,0,1] 8 | ["PART","1",{"BBOX":[-4,-10,4,10]}] 9 | ["ATTR","ie1","","Symbol","AGB-E02-20-rescue_R-Device",false,false,null,null,0,"st3",0] 10 | ["ATTR","ie2","","Designator","R?",false,false,null,null,90,"st3",0] 11 | ["PIN","ie3",1,1,0,15,5,270,null,0,0,1] 12 | ["ATTR","ie4","ie3","NAME","~",false,true,0,12.5,90,"st4",0] 13 | ["ATTR","ie5","ie3","NUMBER","1",false,false,0,12.5,90,"st5",0] 14 | ["ATTR","ie6","ie3","Pin Type","IN",false,false,0,15,0,"st2",0] 15 | ["PIN","ie7",1,1,0,-15,5,90,null,0,0,1] 16 | ["ATTR","ie8","ie7","NAME","~",false,true,0,-12.5,90,"st4",0] 17 | ["ATTR","ie9","ie7","NUMBER","2",false,false,0,-12.5,90,"st5",0] 18 | ["ATTR","ie10","ie7","Pin Type","IN",false,false,0,-15,0,"st2",0] 19 | ["RECT","ie11",-4,-10,4,10,0,0,0,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/9450c34db64948c398295782a541ff11.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.7.22.9df0ac","maxId":28,"symbolType":18}] 3 | ["PART","",{"BBOX":[-5,10,5,5]}] 4 | ["FONTSTYLE","st1",null,null,null,null,null,null,null,null,null,0] 5 | ["ATTR","e8","","Symbol","5-Voltage",0,0,0,-30,360,"st1",0] 6 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,1,1] 7 | ["ATTR","e7","","Global Net Name","",0,1,0,15,0,"st2",0] 8 | ["PIN","e11",1,1,0,0,5,90,null,0,0] 9 | ["FONTSTYLE","st3",null,null,null,null,null,null,null,null,1,0] 10 | ["ATTR","e12","e11","NAME","Pin1",0,0,0,5,90,"st3",0] 11 | ["FONTSTYLE","st4",null,null,null,null,null,null,null,null,null,2] 12 | ["ATTR","e15","e11","NUMBER","1",0,0,0,4.5,90,"st4",0] 13 | ["ATTR","e20","e11","Pin Type","IN",0,0,-14,-2,90,"st4",0] 14 | ["LINESTYLE","st5",null,null,null,null] 15 | ["POLY","e23",[-5,10,5,10],false,"st5",0] 16 | ["POLY","e24",[0,10,0,5],false,"st5",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/bb2f3a74f11a4d679126d37474e43406.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.8.24.0d35ac","maxId":222,"symbolType":2}] 3 | ["PART","MB85R1001ANC-GE1.1",{"BBOX":[-40,127,40,-127]}] 4 | ["FONTSTYLE","st1",null,"#000080",null,null,null,null,null,null,2,0] 5 | ["ATTR","e209","","Symbol","MB85R1001ANC-GE1",0,0,null,null,0,"st1",0] 6 | ["LINESTYLE","st2",null,null,null,null] 7 | ["RECT","e3",-40,-127,40,127,0,0,0,"st2",0] 8 | ["PIN","e4",1,1,-50,115,10,0,null,0,0] 9 | ["FONTSTYLE","st3",null,null,null,null,0,0,0,null,2,0] 10 | ["ATTR","e5","e4","NAME","A11",0,1,-36.3,109.08502,0,"st3",0] 11 | ["FONTSTYLE","st4",null,null,null,null,0,0,0,null,2,2] 12 | ["ATTR","e6","e4","NUMBER","1",0,1,-40.5,114.08502,0,"st4",0] 13 | ["FONTSTYLE","st5",null,null,null,null,null,null,null,null,null,0] 14 | ["ATTR","e7","e4","Pin Type","IN",0,0,null,null,0,"st5",0] 15 | ["PIN","e8",1,1,-50,105,10,0,null,0,0] 16 | ["ATTR","e9","e8","NAME","A9",0,1,-36.3,99.08502,0,"st3",0] 17 | ["ATTR","e10","e8","NUMBER","2",0,1,-40.5,104.08502,0,"st4",0] 18 | ["ATTR","e11","e8","Pin Type","IN",0,0,null,null,0,"st5",0] 19 | ["PIN","e12",1,1,-50,95,10,0,null,0,0] 20 | ["ATTR","e13","e12","NAME","NC",0,1,-36.3,89.08502,0,"st3",0] 21 | ["ATTR","e14","e12","NUMBER","3",0,1,-40.5,94.08502,0,"st4",0] 22 | ["ATTR","e15","e12","Pin Type","IN",0,0,null,null,0,"st5",0] 23 | ["PIN","e16",1,1,-50,85,10,0,null,0,0] 24 | ["ATTR","e17","e16","NAME","A8",0,1,-36.3,79.08502,0,"st3",0] 25 | ["ATTR","e18","e16","NUMBER","4",0,1,-40.5,84.08502,0,"st4",0] 26 | ["ATTR","e19","e16","Pin Type","IN",0,0,null,null,0,"st5",0] 27 | ["PIN","e20",1,1,-50,75,10,0,null,0,0] 28 | ["ATTR","e21","e20","NAME","A13",0,1,-36.3,69.08502,0,"st3",0] 29 | ["ATTR","e22","e20","NUMBER","5",0,1,-40.5,74.08502,0,"st4",0] 30 | ["ATTR","e23","e20","Pin Type","IN",0,0,null,null,0,"st5",0] 31 | ["PIN","e24",1,1,-50,65,10,0,null,0,0] 32 | ["ATTR","e25","e24","NAME","WE#",0,1,-36.3,59.08502,0,"st3",0] 33 | ["ATTR","e26","e24","NUMBER","6",0,1,-40.5,64.08502,0,"st4",0] 34 | ["ATTR","e27","e24","Pin Type","IN",0,0,null,null,0,"st5",0] 35 | ["PIN","e28",1,1,-50,55,10,0,null,0,0] 36 | ["ATTR","e29","e28","NAME","CE2",0,1,-36.3,49.08502,0,"st3",0] 37 | ["ATTR","e30","e28","NUMBER","7",0,1,-40.5,54.08502,0,"st4",0] 38 | ["ATTR","e31","e28","Pin Type","IN",0,0,null,null,0,"st5",0] 39 | ["PIN","e32",1,1,-50,45,10,0,null,0,0] 40 | ["ATTR","e33","e32","NAME","A15",0,1,-36.3,39.08502,0,"st3",0] 41 | ["ATTR","e34","e32","NUMBER","8",0,1,-40.5,44.08502,0,"st4",0] 42 | ["ATTR","e35","e32","Pin Type","IN",0,0,null,null,0,"st5",0] 43 | ["PIN","e36",1,1,-50,35,10,0,null,0,0] 44 | ["ATTR","e37","e36","NAME","NC",0,1,-36.3,29.08502,0,"st3",0] 45 | ["ATTR","e38","e36","NUMBER","9",0,1,-40.5,34.08502,0,"st4",0] 46 | ["ATTR","e39","e36","Pin Type","IN",0,0,null,null,0,"st5",0] 47 | ["PIN","e40",1,1,-50,25,10,0,null,0,0] 48 | ["ATTR","e41","e40","NAME","VDD",0,1,-36.3,19.08502,0,"st3",0] 49 | ["ATTR","e42","e40","NUMBER","10",0,1,-40.5,24.08502,0,"st4",0] 50 | ["ATTR","e43","e40","Pin Type","IN",0,0,null,null,0,"st5",0] 51 | ["PIN","e44",1,1,-50,15,10,0,null,0,0] 52 | ["ATTR","e45","e44","NAME","NC",0,1,-36.3,9.08502,0,"st3",0] 53 | ["ATTR","e46","e44","NUMBER","11",0,1,-40.5,14.08502,0,"st4",0] 54 | ["ATTR","e47","e44","Pin Type","IN",0,0,null,null,0,"st5",0] 55 | ["PIN","e48",1,1,-50,5,10,0,null,0,0] 56 | ["ATTR","e49","e48","NAME","NC",0,1,-36.3,-0.91498,0,"st3",0] 57 | ["ATTR","e50","e48","NUMBER","12",0,1,-40.5,4.08502,0,"st4",0] 58 | ["ATTR","e51","e48","Pin Type","IN",0,0,null,null,0,"st5",0] 59 | ["PIN","e52",1,1,-50,-5,10,0,null,0,0] 60 | ["ATTR","e53","e52","NAME","VSS",0,1,-36.3,-10.91498,0,"st3",0] 61 | ["ATTR","e54","e52","NUMBER","13",0,1,-40.5,-5.91498,0,"st4",0] 62 | ["ATTR","e55","e52","Pin Type","IN",0,0,null,null,0,"st5",0] 63 | ["PIN","e56",1,1,-50,-15,10,0,null,0,0] 64 | ["ATTR","e57","e56","NAME","NC",0,1,-36.3,-20.91498,0,"st3",0] 65 | ["ATTR","e58","e56","NUMBER","14",0,1,-40.5,-15.91498,0,"st4",0] 66 | ["ATTR","e59","e56","Pin Type","IN",0,0,null,null,0,"st5",0] 67 | ["PIN","e60",1,1,-50,-25,10,0,null,0,0] 68 | ["ATTR","e61","e60","NAME","NC",0,1,-36.3,-30.91498,0,"st3",0] 69 | ["ATTR","e62","e60","NUMBER","15",0,1,-40.5,-25.91498,0,"st4",0] 70 | ["ATTR","e63","e60","Pin Type","IN",0,0,null,null,0,"st5",0] 71 | ["PIN","e64",1,1,-50,-35,10,0,null,0,0] 72 | ["ATTR","e65","e64","NAME","VDD",0,1,-36.3,-40.91498,0,"st3",0] 73 | ["ATTR","e66","e64","NUMBER","16",0,1,-40.5,-35.91498,0,"st4",0] 74 | ["ATTR","e67","e64","Pin Type","IN",0,0,null,null,0,"st5",0] 75 | ["PIN","e68",1,1,-50,-45,10,0,null,0,0] 76 | ["ATTR","e69","e68","NAME","NC",0,1,-36.3,-50.91498,0,"st3",0] 77 | ["ATTR","e70","e68","NUMBER","17",0,1,-40.5,-45.91498,0,"st4",0] 78 | ["ATTR","e71","e68","Pin Type","IN",0,0,null,null,0,"st5",0] 79 | ["PIN","e72",1,1,-50,-55,10,0,null,0,0] 80 | ["ATTR","e73","e72","NAME","A16",0,1,-36.3,-60.91498,0,"st3",0] 81 | ["ATTR","e74","e72","NUMBER","18",0,1,-40.5,-55.91498,0,"st4",0] 82 | ["ATTR","e75","e72","Pin Type","IN",0,0,null,null,0,"st5",0] 83 | ["PIN","e76",1,1,-50,-65,10,0,null,0,0] 84 | ["ATTR","e77","e76","NAME","A14",0,1,-36.3,-70.91498,0,"st3",0] 85 | ["ATTR","e78","e76","NUMBER","19",0,1,-40.5,-65.91498,0,"st4",0] 86 | ["ATTR","e79","e76","Pin Type","IN",0,0,null,null,0,"st5",0] 87 | ["PIN","e80",1,1,-50,-75,10,0,null,0,0] 88 | ["ATTR","e81","e80","NAME","A12",0,1,-36.3,-80.91498,0,"st3",0] 89 | ["ATTR","e82","e80","NUMBER","20",0,1,-40.5,-75.91498,0,"st4",0] 90 | ["ATTR","e83","e80","Pin Type","IN",0,0,null,null,0,"st5",0] 91 | ["PIN","e84",1,1,-50,-85,10,0,null,0,0] 92 | ["ATTR","e85","e84","NAME","A7",0,1,-36.3,-90.91498,0,"st3",0] 93 | ["ATTR","e86","e84","NUMBER","21",0,1,-40.5,-85.91498,0,"st4",0] 94 | ["ATTR","e87","e84","Pin Type","IN",0,0,null,null,0,"st5",0] 95 | ["PIN","e88",1,1,-50,-95,10,0,null,0,0] 96 | ["ATTR","e89","e88","NAME","A6",0,1,-36.3,-100.91498,0,"st3",0] 97 | ["ATTR","e90","e88","NUMBER","22",0,1,-40.5,-95.91498,0,"st4",0] 98 | ["ATTR","e91","e88","Pin Type","IN",0,0,null,null,0,"st5",0] 99 | ["PIN","e92",1,1,-50,-105,10,0,null,0,0] 100 | ["ATTR","e93","e92","NAME","A5",0,1,-36.3,-110.91498,0,"st3",0] 101 | ["ATTR","e94","e92","NUMBER","23",0,1,-40.5,-105.91498,0,"st4",0] 102 | ["ATTR","e95","e92","Pin Type","IN",0,0,null,null,0,"st5",0] 103 | ["PIN","e96",1,1,-50,-115,10,0,null,0,0] 104 | ["ATTR","e97","e96","NAME","A4",0,1,-36.3,-120.91498,0,"st3",0] 105 | ["ATTR","e98","e96","NUMBER","24",0,1,-40.5,-115.91498,0,"st4",0] 106 | ["ATTR","e99","e96","Pin Type","IN",0,0,null,null,0,"st5",0] 107 | ["PIN","e100",1,1,50,-115,10,180,null,0,0] 108 | ["ATTR","e101","e100","NAME","A3",0,1,36.3,-120.91498,0,"st4",0] 109 | ["ATTR","e102","e100","NUMBER","25",0,1,40.5,-115.91498,0,"st3",0] 110 | ["ATTR","e103","e100","Pin Type","IN",0,0,null,null,0,"st5",0] 111 | ["PIN","e104",1,1,50,-105,10,180,null,0,0] 112 | ["ATTR","e105","e104","NAME","A2",0,1,36.3,-110.91498,0,"st4",0] 113 | ["ATTR","e106","e104","NUMBER","26",0,1,40.5,-105.91498,0,"st3",0] 114 | ["ATTR","e107","e104","Pin Type","IN",0,0,null,null,0,"st5",0] 115 | ["PIN","e108",1,1,50,-95,10,180,null,0,0] 116 | ["ATTR","e109","e108","NAME","VSS",0,1,36.3,-100.91498,0,"st4",0] 117 | ["ATTR","e110","e108","NUMBER","27",0,1,40.5,-95.91498,0,"st3",0] 118 | ["ATTR","e111","e108","Pin Type","IN",0,0,null,null,0,"st5",0] 119 | ["PIN","e112",1,1,50,-85,10,180,null,0,0] 120 | ["ATTR","e113","e112","NAME","A1",0,1,36.3,-90.91498,0,"st4",0] 121 | ["ATTR","e114","e112","NUMBER","28",0,1,40.5,-85.91498,0,"st3",0] 122 | ["ATTR","e115","e112","Pin Type","IN",0,0,null,null,0,"st5",0] 123 | ["PIN","e116",1,1,50,-75,10,180,null,0,0] 124 | ["ATTR","e117","e116","NAME","A0",0,1,36.3,-80.91498,0,"st4",0] 125 | ["ATTR","e118","e116","NUMBER","29",0,1,40.5,-75.91498,0,"st3",0] 126 | ["ATTR","e119","e116","Pin Type","IN",0,0,null,null,0,"st5",0] 127 | ["PIN","e120",1,1,50,-65,10,180,null,0,0] 128 | ["ATTR","e121","e120","NAME","NC",0,1,36.3,-70.91498,0,"st4",0] 129 | ["ATTR","e122","e120","NUMBER","30",0,1,40.5,-65.91498,0,"st3",0] 130 | ["ATTR","e123","e120","Pin Type","IN",0,0,null,null,0,"st5",0] 131 | ["PIN","e124",1,1,50,-55,10,180,null,0,0] 132 | ["ATTR","e125","e124","NAME","NC",0,1,36.3,-60.91498,0,"st4",0] 133 | ["ATTR","e126","e124","NUMBER","31",0,1,40.5,-55.91498,0,"st3",0] 134 | ["ATTR","e127","e124","Pin Type","IN",0,0,null,null,0,"st5",0] 135 | ["PIN","e128",1,1,50,-45,10,180,null,0,0] 136 | ["ATTR","e129","e128","NAME","NC",0,1,36.3,-50.91498,0,"st4",0] 137 | ["ATTR","e130","e128","NUMBER","32",0,1,40.5,-45.91498,0,"st3",0] 138 | ["ATTR","e131","e128","Pin Type","IN",0,0,null,null,0,"st5",0] 139 | ["PIN","e132",1,1,50,-35,10,180,null,0,0] 140 | ["ATTR","e133","e132","NAME","I/O1",0,1,36.3,-40.91498,0,"st4",0] 141 | ["ATTR","e134","e132","NUMBER","33",0,1,40.5,-35.91498,0,"st3",0] 142 | ["ATTR","e135","e132","Pin Type","IN",0,0,null,null,0,"st5",0] 143 | ["PIN","e136",1,1,50,-25,10,180,null,0,0] 144 | ["ATTR","e137","e136","NAME","I/O2",0,1,36.3,-30.91498,0,"st4",0] 145 | ["ATTR","e138","e136","NUMBER","34",0,1,40.5,-25.91498,0,"st3",0] 146 | ["ATTR","e139","e136","Pin Type","IN",0,0,null,null,0,"st5",0] 147 | ["PIN","e140",1,1,50,-15,10,180,null,0,0] 148 | ["ATTR","e141","e140","NAME","I/O3",0,1,36.3,-20.91498,0,"st4",0] 149 | ["ATTR","e142","e140","NUMBER","35",0,1,40.5,-15.91498,0,"st3",0] 150 | ["ATTR","e143","e140","Pin Type","IN",0,0,null,null,0,"st5",0] 151 | ["PIN","e144",1,1,50,-5,10,180,null,0,0] 152 | ["ATTR","e145","e144","NAME","NC",0,1,36.3,-10.91498,0,"st4",0] 153 | ["ATTR","e146","e144","NUMBER","36",0,1,40.5,-5.91498,0,"st3",0] 154 | ["ATTR","e147","e144","Pin Type","IN",0,0,null,null,0,"st5",0] 155 | ["PIN","e148",1,1,50,5,10,180,null,0,0] 156 | ["ATTR","e149","e148","NAME","VDD",0,1,36.3,-0.91498,0,"st4",0] 157 | ["ATTR","e150","e148","NUMBER","37",0,1,40.5,4.08502,0,"st3",0] 158 | ["ATTR","e151","e148","Pin Type","IN",0,0,null,null,0,"st5",0] 159 | ["PIN","e152",1,1,50,15,10,180,null,0,0] 160 | ["ATTR","e153","e152","NAME","I/O4",0,1,36.3,9.08502,0,"st4",0] 161 | ["ATTR","e154","e152","NUMBER","38",0,1,40.5,14.08502,0,"st3",0] 162 | ["ATTR","e155","e152","Pin Type","IN",0,0,null,null,0,"st5",0] 163 | ["PIN","e156",1,1,50,25,10,180,null,0,0] 164 | ["ATTR","e157","e156","NAME","I/O5",0,1,36.3,19.08502,0,"st4",0] 165 | ["ATTR","e158","e156","NUMBER","39",0,1,40.5,24.08502,0,"st3",0] 166 | ["ATTR","e159","e156","Pin Type","IN",0,0,null,null,0,"st5",0] 167 | ["PIN","e160",1,1,50,35,10,180,null,0,0] 168 | ["ATTR","e161","e160","NAME","I/O6",0,1,36.3,29.08502,0,"st4",0] 169 | ["ATTR","e162","e160","NUMBER","40",0,1,40.5,34.08502,0,"st3",0] 170 | ["ATTR","e163","e160","Pin Type","IN",0,0,null,null,0,"st5",0] 171 | ["PIN","e164",1,1,50,45,10,180,null,0,0] 172 | ["ATTR","e165","e164","NAME","I/O7",0,1,36.3,39.08502,0,"st4",0] 173 | ["ATTR","e166","e164","NUMBER","41",0,1,40.5,44.08502,0,"st3",0] 174 | ["ATTR","e167","e164","Pin Type","IN",0,0,null,null,0,"st5",0] 175 | ["PIN","e168",1,1,50,55,10,180,null,0,0] 176 | ["ATTR","e169","e168","NAME","I/O8",0,1,36.3,49.08502,0,"st4",0] 177 | ["ATTR","e170","e168","NUMBER","42",0,1,40.5,54.08502,0,"st3",0] 178 | ["ATTR","e171","e168","Pin Type","IN",0,0,null,null,0,"st5",0] 179 | ["PIN","e172",1,1,50,65,10,180,null,0,0] 180 | ["ATTR","e173","e172","NAME","NC",0,1,36.3,59.08502,0,"st4",0] 181 | ["ATTR","e174","e172","NUMBER","43",0,1,40.5,64.08502,0,"st3",0] 182 | ["ATTR","e175","e172","Pin Type","IN",0,0,null,null,0,"st5",0] 183 | ["PIN","e176",1,1,50,75,10,180,null,0,0] 184 | ["ATTR","e177","e176","NAME","CE1#",0,1,36.3,69.08502,0,"st4",0] 185 | ["ATTR","e178","e176","NUMBER","44",0,1,40.5,74.08502,0,"st3",0] 186 | ["ATTR","e179","e176","Pin Type","IN",0,0,null,null,0,"st5",0] 187 | ["PIN","e180",1,1,50,85,10,180,null,0,0] 188 | ["ATTR","e181","e180","NAME","A10",0,1,36.3,79.08502,0,"st4",0] 189 | ["ATTR","e182","e180","NUMBER","45",0,1,40.5,84.08502,0,"st3",0] 190 | ["ATTR","e183","e180","Pin Type","IN",0,0,null,null,0,"st5",0] 191 | ["PIN","e184",1,1,50,95,10,180,null,0,0] 192 | ["ATTR","e185","e184","NAME","VSS",0,1,36.3,89.08502,0,"st4",0] 193 | ["ATTR","e186","e184","NUMBER","46",0,1,40.5,94.08502,0,"st3",0] 194 | ["ATTR","e187","e184","Pin Type","IN",0,0,null,null,0,"st5",0] 195 | ["PIN","e188",1,1,50,105,10,180,null,0,0] 196 | ["ATTR","e189","e188","NAME","NC",0,1,36.3,99.08502,0,"st4",0] 197 | ["ATTR","e190","e188","NUMBER","47",0,1,40.5,104.08502,0,"st3",0] 198 | ["ATTR","e191","e188","Pin Type","IN",0,0,null,null,0,"st5",0] 199 | ["PIN","e192",1,1,50,115,10,180,null,0,0] 200 | ["ATTR","e193","e192","NAME","OE#",0,1,36.3,109.08502,0,"st4",0] 201 | ["ATTR","e194","e192","NUMBER","48",0,1,40.5,114.08502,0,"st3",0] 202 | ["ATTR","e195","e192","Pin Type","IN",0,0,null,null,0,"st5",0] 203 | ["CIRCLE","e196",-35,122,1.5,"st2",0] 204 | ["CIRCLE","e197",-35,122,1.5,"st2",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/bf239831c3de4c56953c7770046a2f63.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.0"] 2 | ["HEAD",{"symbolType":2,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,null,null,0,0,0,0,2,0] 6 | ["FONTSTYLE","st4",null,null,null,null,0,0,0,0,2,2] 7 | ["PART","100NF_C9900015256.1",{"BBOX":[-10.5,-8.5,10.5,8.5]}] 8 | ["ATTR","e1","","Symbol","100NF_C9900015256",false,false,null,null,0,"st2",0] 9 | ["ATTR","e2","","Designator","U?",false,false,null,null,0,"st2",0] 10 | ["PIN","e3",1,1,-20,0,10,0,null,0,0,1] 11 | ["ATTR","e4","e3","NAME","2",false,false,null,null,0,"st3",0] 12 | ["ATTR","e5","e3","NUMBER","2",false,false,null,null,0,"st4",0] 13 | ["ATTR","e6","e3","Pin Type","IN",false,false,null,null,0,"st2",0] 14 | ["PIN","e7",1,1,20,0,10,180,null,0,0,1] 15 | ["ATTR","e8","e7","NAME","1",false,false,null,null,0,"st4",0] 16 | ["ATTR","e9","e7","NUMBER","1",false,false,null,null,0,"st3",0] 17 | ["ATTR","e10","e7","Pin Type","IN",false,false,null,null,0,"st2",0] 18 | ["POLY","e11",[2,0,10,0],0,"st1",0] 19 | ["POLY","e12",[-2,-8,-2,8],0,"st1",0] 20 | ["POLY","e13",[-10,0,-2,0],0,"st1",0] 21 | ["POLY","e14",[2,8,2,-8],0,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/bf5a1e6e59274de383a89a6bbf496cc7.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.6.22.2f446e","symbolType":2}] 3 | ["PART","Altera-JTAG.1",{"BBOX":[-30,30,30,-30]}] 4 | ["FONTSTYLE","st1",null,null,null,null,null,null,null,null,null,0] 5 | ["ATTR","e1","","Symbol","Altera-JTAG",0,0,null,null,0,"st1",0] 6 | ["ATTR","e2","","Designator","",0,0,null,null,0,"st1",0] 7 | ["LINESTYLE","st2",null,null,null,null] 8 | ["RECT","e3",-30,-30,30,30,0,0,0,"st2",0] 9 | ["PIN","e4",1,1,-50,20,20,0,null,0,0] 10 | ["FONTSTYLE","st3",null,null,null,null,0,0,0,null,2,0] 11 | ["ATTR","e5","e4","NAME","TCK",0,1,-28,15.08502,0,"st3",0] 12 | ["FONTSTYLE","st4",null,null,null,null,0,0,0,null,2,2] 13 | ["ATTR","e6","e4","NUMBER","1",0,1,-35,19.08502,0,"st4",0] 14 | ["ATTR","e7","e4","Pin Type","IN",0,0,null,null,0,"st1",0] 15 | ["PIN","e8",1,1,-50,10,20,0,null,0,0] 16 | ["ATTR","e9","e8","NAME","TDO",0,1,-28,5.08502,0,"st3",0] 17 | ["ATTR","e10","e8","NUMBER","3",0,1,-35,9.08502,0,"st4",0] 18 | ["ATTR","e11","e8","Pin Type","IN",0,0,null,null,0,"st1",0] 19 | ["PIN","e12",1,1,-50,0,20,0,null,0,0] 20 | ["ATTR","e13","e12","NAME","TMS",0,1,-28,-4.91498,0,"st3",0] 21 | ["ATTR","e14","e12","NUMBER","5",0,1,-35,-0.91498,0,"st4",0] 22 | ["ATTR","e15","e12","Pin Type","IN",0,0,null,null,0,"st1",0] 23 | ["PIN","e16",1,1,-50,-10,20,0,null,0,0] 24 | ["ATTR","e17","e16","NAME","NC",0,1,-28,-14.91498,0,"st3",0] 25 | ["ATTR","e18","e16","NUMBER","7",0,1,-35,-10.91498,0,"st4",0] 26 | ["ATTR","e19","e16","Pin Type","IN",0,0,null,null,0,"st1",0] 27 | ["PIN","e20",1,1,-50,-20,20,0,null,0,0] 28 | ["ATTR","e21","e20","NAME","TDI",0,1,-28,-24.91498,0,"st3",0] 29 | ["ATTR","e22","e20","NUMBER","9",0,1,-35,-20.91498,0,"st4",0] 30 | ["ATTR","e23","e20","Pin Type","IN",0,0,null,null,0,"st1",0] 31 | ["PIN","e24",1,1,50,-20,20,180,null,0,0] 32 | ["ATTR","e25","e24","NAME","GND",0,1,28,-24.91498,0,"st4",0] 33 | ["ATTR","e26","e24","NUMBER","10",0,1,35,-20.91498,0,"st3",0] 34 | ["ATTR","e27","e24","Pin Type","IN",0,0,null,null,0,"st1",0] 35 | ["PIN","e28",1,1,50,-10,20,180,null,0,0] 36 | ["ATTR","e29","e28","NAME","NC",0,1,28,-14.91498,0,"st4",0] 37 | ["ATTR","e30","e28","NUMBER","8",0,1,35,-10.91498,0,"st3",0] 38 | ["ATTR","e31","e28","Pin Type","IN",0,0,null,null,0,"st1",0] 39 | ["PIN","e32",1,1,50,0,20,180,null,0,0] 40 | ["ATTR","e33","e32","NAME","NC",0,1,28,-4.91498,0,"st4",0] 41 | ["ATTR","e34","e32","NUMBER","6",0,1,35,-0.91498,0,"st3",0] 42 | ["ATTR","e35","e32","Pin Type","IN",0,0,null,null,0,"st1",0] 43 | ["PIN","e36",1,1,50,10,20,180,null,0,0] 44 | ["ATTR","e37","e36","NAME","VCC",0,1,28,5.08502,0,"st4",0] 45 | ["ATTR","e38","e36","NUMBER","4",0,1,35,9.08502,0,"st3",0] 46 | ["ATTR","e39","e36","Pin Type","IN",0,0,null,null,0,"st1",0] 47 | ["PIN","e40",1,1,50,20,20,180,null,0,0] 48 | ["ATTR","e41","e40","NAME","GND",0,1,28,15.08502,0,"st4",0] 49 | ["ATTR","e42","e40","NUMBER","2",0,1,35,19.08502,0,"st3",0] 50 | ["ATTR","e43","e40","Pin Type","IN",0,0,null,null,0,"st1",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/c8096169eefb4f98b32418807e509f99.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.8.22.c8e781","maxId":139,"symbolType":2}] 3 | ["PART","1",{"BBOX":[-70,0,260,-30]}] 4 | ["FONTSTYLE","st1",null,"#000080",null,null,null,null,null,null,2,0] 5 | ["ATTR","e137","","Symbol","GBA_CartBus",0,0,null,null,0,"st1",0] 6 | ["PIN","ie3",1,1,-60,10,10,270,null,0,0] 7 | ["FONTSTYLE","st2",null,null,"default",null,0,0,0,null,1,2] 8 | ["ATTR","ie4","ie3","NAME","VCC",0,1,-60,-4,90,"st2",0] 9 | ["FONTSTYLE","st3",null,null,"default",null,0,0,0,null,2,1] 10 | ["ATTR","ie5","ie3","NUMBER","1",0,1,-60,5,90,"st3",0] 11 | ["FONTSTYLE","st4",null,null,null,null,null,null,null,null,null,0] 12 | ["ATTR","ie6","ie3","Pin Type","IN",0,0,-60,10,0,"st4",0] 13 | ["PIN","ie7",1,1,30,10,10,270,null,0,0] 14 | ["ATTR","ie8","ie7","NAME","AD4",0,1,30,-4,90,"st2",0] 15 | ["ATTR","ie9","ie7","NUMBER","10",0,1,30,5,90,"st3",0] 16 | ["ATTR","ie10","ie7","Pin Type","IN",0,0,30,10,0,"st4",0] 17 | ["PIN","ie11",1,1,40,10,10,270,null,0,0] 18 | ["ATTR","ie12","ie11","NAME","AD5",0,1,40,-4,90,"st2",0] 19 | ["ATTR","ie13","ie11","NUMBER","11",0,1,40,5,90,"st3",0] 20 | ["ATTR","ie14","ie11","Pin Type","IN",0,0,40,10,0,"st4",0] 21 | ["PIN","ie15",1,1,50,10,10,270,null,0,0] 22 | ["ATTR","ie16","ie15","NAME","AD6",0,1,50,-4,90,"st2",0] 23 | ["ATTR","ie17","ie15","NUMBER","12",0,1,50,5,90,"st3",0] 24 | ["ATTR","ie18","ie15","Pin Type","IN",0,0,50,10,0,"st4",0] 25 | ["PIN","ie19",1,1,60,10,10,270,null,0,0] 26 | ["ATTR","ie20","ie19","NAME","AD7",0,1,60,-4,90,"st2",0] 27 | ["ATTR","ie21","ie19","NUMBER","13",0,1,60,5,90,"st3",0] 28 | ["ATTR","ie22","ie19","Pin Type","IN",0,0,60,10,0,"st4",0] 29 | ["PIN","ie23",1,1,70,10,10,270,null,0,0] 30 | ["ATTR","ie24","ie23","NAME","AD8",0,1,70,-4,90,"st2",0] 31 | ["ATTR","ie25","ie23","NUMBER","14",0,1,70,5,90,"st3",0] 32 | ["ATTR","ie26","ie23","Pin Type","IN",0,0,70,10,0,"st4",0] 33 | ["PIN","ie27",1,1,80,10,10,270,null,0,0] 34 | ["ATTR","ie28","ie27","NAME","AD9",0,1,80,-4,90,"st2",0] 35 | ["ATTR","ie29","ie27","NUMBER","15",0,1,80,5,90,"st3",0] 36 | ["ATTR","ie30","ie27","Pin Type","IN",0,0,80,10,0,"st4",0] 37 | ["PIN","ie31",1,1,90,10,10,270,null,0,0] 38 | ["ATTR","ie32","ie31","NAME","AD10",0,1,90,-4,90,"st2",0] 39 | ["ATTR","ie33","ie31","NUMBER","16",0,1,90,5,90,"st3",0] 40 | ["ATTR","ie34","ie31","Pin Type","IN",0,0,90,10,0,"st4",0] 41 | ["PIN","ie35",1,1,100,10,10,270,null,0,0] 42 | ["ATTR","ie36","ie35","NAME","AD11",0,1,100,-4,90,"st2",0] 43 | ["ATTR","ie37","ie35","NUMBER","17",0,1,100,5,90,"st3",0] 44 | ["ATTR","ie38","ie35","Pin Type","IN",0,0,100,10,0,"st4",0] 45 | ["PIN","ie39",1,1,110,10,10,270,null,0,0] 46 | ["ATTR","ie40","ie39","NAME","AD12",0,1,110,-4,90,"st2",0] 47 | ["ATTR","ie41","ie39","NUMBER","18",0,1,110,5,90,"st3",0] 48 | ["ATTR","ie42","ie39","Pin Type","IN",0,0,110,10,0,"st4",0] 49 | ["PIN","ie43",1,1,120,10,10,270,null,0,0] 50 | ["ATTR","ie44","ie43","NAME","AD13",0,1,120,-4,90,"st2",0] 51 | ["ATTR","ie45","ie43","NUMBER","19",0,1,120,5,90,"st3",0] 52 | ["ATTR","ie46","ie43","Pin Type","IN",0,0,120,10,0,"st4",0] 53 | ["PIN","ie47",1,1,-50,10,10,270,null,0,0] 54 | ["ATTR","ie48","ie47","NAME","CLK~",0,1,-50,-4,90,"st2",0] 55 | ["ATTR","ie49","ie47","NUMBER","2",0,1,-50,5,90,"st3",0] 56 | ["ATTR","ie50","ie47","Pin Type","IN",0,0,-50,10,0,"st4",0] 57 | ["PIN","ie51",1,1,130,10,10,270,null,0,0] 58 | ["ATTR","ie52","ie51","NAME","AD14",0,1,130,-4,90,"st2",0] 59 | ["ATTR","ie53","ie51","NUMBER","20",0,1,130,5,90,"st3",0] 60 | ["ATTR","ie54","ie51","Pin Type","IN",0,0,130,10,0,"st4",0] 61 | ["PIN","ie55",1,1,140,10,10,270,null,0,0] 62 | ["ATTR","ie56","ie55","NAME","AD15",0,1,140,-4,90,"st2",0] 63 | ["ATTR","ie57","ie55","NUMBER","21",0,1,140,5,90,"st3",0] 64 | ["ATTR","ie58","ie55","Pin Type","IN",0,0,140,10,0,"st4",0] 65 | ["PIN","ie59",1,1,150,10,10,270,null,0,0] 66 | ["ATTR","ie60","ie59","NAME","A16",0,1,150,-4,90,"st2",0] 67 | ["ATTR","ie61","ie59","NUMBER","22",0,1,150,5,90,"st3",0] 68 | ["ATTR","ie62","ie59","Pin Type","IN",0,0,150,10,0,"st4",0] 69 | ["PIN","ie63",1,1,160,10,10,270,null,0,0] 70 | ["ATTR","ie64","ie63","NAME","A17",0,1,160,-4,90,"st2",0] 71 | ["ATTR","ie65","ie63","NUMBER","23",0,1,160,5,90,"st3",0] 72 | ["ATTR","ie66","ie63","Pin Type","IN",0,0,160,10,0,"st4",0] 73 | ["PIN","ie67",1,1,170,10,10,270,null,0,0] 74 | ["ATTR","ie68","ie67","NAME","A18",0,1,170,-4,90,"st2",0] 75 | ["ATTR","ie69","ie67","NUMBER","24",0,1,170,5,90,"st3",0] 76 | ["ATTR","ie70","ie67","Pin Type","IN",0,0,170,10,0,"st4",0] 77 | ["PIN","ie71",1,1,180,10,10,270,null,0,0] 78 | ["ATTR","ie72","ie71","NAME","A19",0,1,180,-4,90,"st2",0] 79 | ["ATTR","ie73","ie71","NUMBER","25",0,1,180,5,90,"st3",0] 80 | ["ATTR","ie74","ie71","Pin Type","IN",0,0,180,10,0,"st4",0] 81 | ["PIN","ie75",1,1,190,10,10,270,null,0,0] 82 | ["ATTR","ie76","ie75","NAME","A20",0,1,190,-4,90,"st2",0] 83 | ["ATTR","ie77","ie75","NUMBER","26",0,1,190,5,90,"st3",0] 84 | ["ATTR","ie78","ie75","Pin Type","IN",0,0,190,10,0,"st4",0] 85 | ["PIN","ie79",1,1,200,10,10,270,null,0,0] 86 | ["ATTR","ie80","ie79","NAME","A21",0,1,200,-4,90,"st2",0] 87 | ["ATTR","ie81","ie79","NUMBER","27",0,1,200,5,90,"st3",0] 88 | ["ATTR","ie82","ie79","Pin Type","IN",0,0,200,10,0,"st4",0] 89 | ["PIN","ie83",1,1,210,10,10,270,null,0,0] 90 | ["ATTR","ie84","ie83","NAME","A22",0,1,210,-4,90,"st2",0] 91 | ["ATTR","ie85","ie83","NUMBER","28",0,1,210,5,90,"st3",0] 92 | ["ATTR","ie86","ie83","Pin Type","IN",0,0,210,10,0,"st4",0] 93 | ["PIN","ie87",1,1,220,10,10,270,null,0,0] 94 | ["ATTR","ie88","ie87","NAME","A23",0,1,220,-4,90,"st2",0] 95 | ["ATTR","ie89","ie87","NUMBER","29",0,1,220,5,90,"st3",0] 96 | ["ATTR","ie90","ie87","Pin Type","IN",0,0,220,10,0,"st4",0] 97 | ["PIN","ie91",1,1,-40,10,10,270,null,0,0] 98 | ["ATTR","ie92","ie91","NAME","~WR~",0,1,-40,-4,90,"st2",0] 99 | ["ATTR","ie93","ie91","NUMBER","3",0,1,-40,5,90,"st3",0] 100 | ["ATTR","ie94","ie91","Pin Type","IN",0,0,-40,10,0,"st4",0] 101 | ["PIN","ie95",1,1,230,10,10,270,null,0,0] 102 | ["ATTR","ie96","ie95","NAME","~CS2~",0,1,230,-4,90,"st2",0] 103 | ["ATTR","ie97","ie95","NUMBER","30",0,1,230,5,90,"st3",0] 104 | ["ATTR","ie98","ie95","Pin Type","IN",0,0,230,10,0,"st4",0] 105 | ["PIN","ie99",1,1,240,10,10,270,null,0,0] 106 | ["ATTR","ie100","ie99","NAME","~REQ~",0,1,240,-4,90,"st2",0] 107 | ["ATTR","ie101","ie99","NUMBER","31",0,1,240,5,90,"st3",0] 108 | ["ATTR","ie102","ie99","Pin Type","IN",0,0,240,10,0,"st4",0] 109 | ["PIN","ie103",1,1,250,10,10,270,null,0,0] 110 | ["ATTR","ie104","ie103","NAME","GND",0,1,250,-4,90,"st2",0] 111 | ["ATTR","ie105","ie103","NUMBER","32",0,1,250,5,90,"st3",0] 112 | ["ATTR","ie106","ie103","Pin Type","IN",0,0,250,10,0,"st4",0] 113 | ["PIN","ie107",1,1,-30,10,10,270,null,0,0] 114 | ["ATTR","ie108","ie107","NAME","~RD~",0,1,-30,-4,90,"st2",0] 115 | ["ATTR","ie109","ie107","NUMBER","4",0,1,-30,5,90,"st3",0] 116 | ["ATTR","ie110","ie107","Pin Type","IN",0,0,-30,10,0,"st4",0] 117 | ["PIN","ie111",1,1,-20,10,10,270,null,0,0] 118 | ["ATTR","ie112","ie111","NAME","~CS~",0,1,-20,-4,90,"st2",0] 119 | ["ATTR","ie113","ie111","NUMBER","5",0,1,-20,5,90,"st3",0] 120 | ["ATTR","ie114","ie111","Pin Type","IN",0,0,-20,10,0,"st4",0] 121 | ["PIN","ie115",1,1,-10,10,10,270,null,0,0] 122 | ["ATTR","ie116","ie115","NAME","AD0",0,1,-10,-4,90,"st2",0] 123 | ["ATTR","ie117","ie115","NUMBER","6",0,1,-10,5,90,"st3",0] 124 | ["ATTR","ie118","ie115","Pin Type","IN",0,0,-10,10,0,"st4",0] 125 | ["PIN","ie119",1,1,0,10,10,270,null,0,0] 126 | ["ATTR","ie120","ie119","NAME","AD1",0,1,0,-4,90,"st2",0] 127 | ["ATTR","ie121","ie119","NUMBER","7",0,1,0,5,90,"st3",0] 128 | ["ATTR","ie122","ie119","Pin Type","IN",0,0,0,10,0,"st4",0] 129 | ["PIN","ie123",1,1,10,10,10,270,null,0,0] 130 | ["ATTR","ie124","ie123","NAME","AD2",0,1,10,-4,90,"st2",0] 131 | ["ATTR","ie125","ie123","NUMBER","8",0,1,10,5,90,"st3",0] 132 | ["ATTR","ie126","ie123","Pin Type","IN",0,0,10,10,0,"st4",0] 133 | ["PIN","ie127",1,1,20,10,10,270,null,0,0] 134 | ["ATTR","ie128","ie127","NAME","AD3",0,1,20,-4,90,"st2",0] 135 | ["ATTR","ie129","ie127","NUMBER","9",0,1,20,5,90,"st3",0] 136 | ["ATTR","ie130","ie127","Pin Type","IN",0,0,20,10,0,"st4",0] 137 | ["LINESTYLE","st5",null,null,null,null] 138 | ["RECT","ie131",-70,-30,260,0,0,0,0,"st5",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/ca095a71eff34221bf560159ba0e5644.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.8.22.c8e781","maxId":140,"symbolType":2}] 3 | ["PART","GameBoy_GamePak_AGB-002.1",{"BBOX":[-155,25,165,-17.5]}] 4 | ["FONTSTYLE","st1",null,"#000080",null,null,null,null,null,null,2,0] 5 | ["ATTR","e138","","Symbol","GBACartridge",0,0,null,null,0,"st1",0] 6 | ["LINESTYLE","st2",null,null,null,null] 7 | ["RECT","e3",-155,25,165,-17.5,0,0,0,"st2",0] 8 | ["PIN","e4",1,1,-150,40,15,270,"#840000",0,0] 9 | ["FONTSTYLE","st3","#000000",null,"monospace",null,0,0,0,null,2,2] 10 | ["ATTR","e5","e4","NAME","VDD35",0,1,-145.122,21,90,"st3",0] 11 | ["ATTR","e6","e4","NUMBER","1",0,1,-148.972,38.5,90,"st3",0] 12 | ["FONTSTYLE","st4",null,null,null,null,null,null,null,null,null,0] 13 | ["ATTR","e7","e4","Pin Type","IN",0,0,-150,40,90,"st4",0] 14 | ["PIN","e8",1,1,-60,40,15,270,"#840000",0,0] 15 | ["ATTR","e9","e8","NAME","AD4",0,1,-55.122,21,90,"st3",0] 16 | ["ATTR","e10","e8","NUMBER","10",0,1,-58.972,38.5,90,"st3",0] 17 | ["ATTR","e11","e8","Pin Type","IN",0,0,-60,40,90,"st4",0] 18 | ["PIN","e12",1,1,-50,40,15,270,"#840000",0,0] 19 | ["ATTR","e13","e12","NAME","AD5",0,1,-45.122,21,90,"st3",0] 20 | ["ATTR","e14","e12","NUMBER","11",0,1,-48.972,38.5,90,"st3",0] 21 | ["ATTR","e15","e12","Pin Type","IN",0,0,-50,40,90,"st4",0] 22 | ["PIN","e16",1,1,-40,40,15,270,"#840000",0,0] 23 | ["ATTR","e17","e16","NAME","AD6",0,1,-35.122,21,90,"st3",0] 24 | ["ATTR","e18","e16","NUMBER","12",0,1,-38.972,38.5,90,"st3",0] 25 | ["ATTR","e19","e16","Pin Type","IN",0,0,-40,40,90,"st4",0] 26 | ["PIN","e20",1,1,-30,40,15,270,"#840000",0,0] 27 | ["ATTR","e21","e20","NAME","AD7",0,1,-25.122,21,90,"st3",0] 28 | ["ATTR","e22","e20","NUMBER","13",0,1,-28.972,38.5,90,"st3",0] 29 | ["ATTR","e23","e20","Pin Type","IN",0,0,-30,40,90,"st4",0] 30 | ["PIN","e24",1,1,-20,40,15,270,"#840000",0,0] 31 | ["ATTR","e25","e24","NAME","AD8",0,1,-15.122,21,90,"st3",0] 32 | ["ATTR","e26","e24","NUMBER","14",0,1,-18.972,38.5,90,"st3",0] 33 | ["ATTR","e27","e24","Pin Type","IN",0,0,-20,40,90,"st4",0] 34 | ["PIN","e28",1,1,-10,40,15,270,"#840000",0,0] 35 | ["ATTR","e29","e28","NAME","AD9",0,1,-5.122,21,90,"st3",0] 36 | ["ATTR","e30","e28","NUMBER","15",0,1,-8.972,38.5,90,"st3",0] 37 | ["ATTR","e31","e28","Pin Type","IN",0,0,-10,40,90,"st4",0] 38 | ["PIN","e32",1,1,0,40,15,270,"#840000",0,0] 39 | ["ATTR","e33","e32","NAME","AD10",0,1,4.878,21,90,"st3",0] 40 | ["ATTR","e34","e32","NUMBER","16",0,1,1.028,38.5,90,"st3",0] 41 | ["ATTR","e35","e32","Pin Type","IN",0,0,0,40,90,"st4",0] 42 | ["PIN","e36",1,1,10,40,15,270,"#840000",0,0] 43 | ["ATTR","e37","e36","NAME","AD11",0,1,14.878,21,90,"st3",0] 44 | ["ATTR","e38","e36","NUMBER","17",0,1,11.028,38.5,90,"st3",0] 45 | ["ATTR","e39","e36","Pin Type","IN",0,0,10,40,90,"st4",0] 46 | ["PIN","e40",1,1,20,40,15,270,"#840000",0,0] 47 | ["ATTR","e41","e40","NAME","AD12",0,1,24.878,21,90,"st3",0] 48 | ["ATTR","e42","e40","NUMBER","18",0,1,21.028,38.5,90,"st3",0] 49 | ["ATTR","e43","e40","Pin Type","IN",0,0,20,40,90,"st4",0] 50 | ["PIN","e44",1,1,30,40,15,270,"#840000",0,0] 51 | ["ATTR","e45","e44","NAME","AD13",0,1,34.878,21,90,"st3",0] 52 | ["ATTR","e46","e44","NUMBER","19",0,1,31.028,38.5,90,"st3",0] 53 | ["ATTR","e47","e44","Pin Type","IN",0,0,30,40,90,"st4",0] 54 | ["PIN","e48",1,1,-140,40,15,270,"#840000",0,0] 55 | ["ATTR","e49","e48","NAME","PHI",0,1,-135.122,21,90,"st3",0] 56 | ["ATTR","e50","e48","NUMBER","2",0,1,-138.972,38.5,90,"st3",0] 57 | ["ATTR","e51","e48","Pin Type","IN",0,0,-140,40,90,"st4",0] 58 | ["PIN","e52",1,1,40,40,15,270,"#840000",0,0] 59 | ["ATTR","e53","e52","NAME","AD14",0,1,44.878,21,90,"st3",0] 60 | ["ATTR","e54","e52","NUMBER","20",0,1,41.028,38.5,90,"st3",0] 61 | ["ATTR","e55","e52","Pin Type","IN",0,0,40,40,90,"st4",0] 62 | ["PIN","e56",1,1,50,40,15,270,"#840000",0,0] 63 | ["ATTR","e57","e56","NAME","AD15",0,1,54.878,21,90,"st3",0] 64 | ["ATTR","e58","e56","NUMBER","21",0,1,51.028,38.5,90,"st3",0] 65 | ["ATTR","e59","e56","Pin Type","IN",0,0,50,40,90,"st4",0] 66 | ["PIN","e60",1,1,60,40,15,270,"#840000",0,0] 67 | ["ATTR","e61","e60","NAME","A16",0,1,64.878,21,90,"st3",0] 68 | ["ATTR","e62","e60","NUMBER","22",0,1,61.028,38.5,90,"st3",0] 69 | ["ATTR","e63","e60","Pin Type","IN",0,0,60,40,90,"st4",0] 70 | ["PIN","e64",1,1,70,40,15,270,"#840000",0,0] 71 | ["ATTR","e65","e64","NAME","A17",0,1,74.878,21,90,"st3",0] 72 | ["ATTR","e66","e64","NUMBER","23",0,1,71.028,38.5,90,"st3",0] 73 | ["ATTR","e67","e64","Pin Type","IN",0,0,70,40,90,"st4",0] 74 | ["PIN","e68",1,1,80,40,15,270,"#840000",0,0] 75 | ["ATTR","e69","e68","NAME","A18",0,1,84.878,21,90,"st3",0] 76 | ["ATTR","e70","e68","NUMBER","24",0,1,81.028,38.5,90,"st3",0] 77 | ["ATTR","e71","e68","Pin Type","IN",0,0,80,40,90,"st4",0] 78 | ["PIN","e72",1,1,90,40,15,270,"#840000",0,0] 79 | ["ATTR","e73","e72","NAME","A19",0,1,94.878,21,90,"st3",0] 80 | ["ATTR","e74","e72","NUMBER","25",0,1,91.028,38.5,90,"st3",0] 81 | ["ATTR","e75","e72","Pin Type","IN",0,0,90,40,90,"st4",0] 82 | ["PIN","e76",1,1,100,40,15,270,"#840000",0,0] 83 | ["ATTR","e77","e76","NAME","A20",0,1,104.878,21,90,"st3",0] 84 | ["ATTR","e78","e76","NUMBER","26",0,1,101.028,38.5,90,"st3",0] 85 | ["ATTR","e79","e76","Pin Type","IN",0,0,100,40,90,"st4",0] 86 | ["PIN","e80",1,1,110,40,15,270,"#840000",0,0] 87 | ["ATTR","e81","e80","NAME","A21",0,1,114.878,21,90,"st3",0] 88 | ["ATTR","e82","e80","NUMBER","27",0,1,111.028,38.5,90,"st3",0] 89 | ["ATTR","e83","e80","Pin Type","IN",0,0,110,40,90,"st4",0] 90 | ["PIN","e84",1,1,120,40,15,270,"#840000",0,0] 91 | ["ATTR","e85","e84","NAME","A22",0,1,124.878,21,90,"st3",0] 92 | ["ATTR","e86","e84","NUMBER","28",0,1,121.028,38.5,90,"st3",0] 93 | ["ATTR","e87","e84","Pin Type","IN",0,0,120,40,90,"st4",0] 94 | ["PIN","e88",1,1,130,40,15,270,"#840000",0,0] 95 | ["ATTR","e89","e88","NAME","A23",0,1,134.878,21,90,"st3",0] 96 | ["ATTR","e90","e88","NUMBER","29",0,1,131.028,38.5,90,"st3",0] 97 | ["ATTR","e91","e88","Pin Type","IN",0,0,130,40,90,"st4",0] 98 | ["PIN","e92",1,1,-130,40,15,270,"#840000",0,0] 99 | ["ATTR","e93","e92","NAME","~WR~",0,1,-125.122,21,90,"st3",0] 100 | ["ATTR","e94","e92","NUMBER","3",0,1,-128.972,38.5,90,"st3",0] 101 | ["ATTR","e95","e92","Pin Type","IN",0,0,-130,40,90,"st4",0] 102 | ["PIN","e96",1,1,140,40,15,270,"#840000",0,0] 103 | ["ATTR","e97","e96","NAME","~CS2~",0,1,144.878,21,90,"st3",0] 104 | ["ATTR","e98","e96","NUMBER","30",0,1,141.028,38.5,90,"st3",0] 105 | ["ATTR","e99","e96","Pin Type","IN",0,0,140,40,90,"st4",0] 106 | ["PIN","e100",1,1,150,40,15,270,"#840000",0,0] 107 | ["ATTR","e101","e100","NAME","~REQ~",0,1,154.878,21,90,"st3",0] 108 | ["ATTR","e102","e100","NUMBER","31",0,1,151.028,38.5,90,"st3",0] 109 | ["ATTR","e103","e100","Pin Type","IN",0,0,150,40,90,"st4",0] 110 | ["PIN","e104",1,1,160,40,15,270,"#840000",0,0] 111 | ["ATTR","e105","e104","NAME","GND",0,1,164.878,21,90,"st3",0] 112 | ["ATTR","e106","e104","NUMBER","32",0,1,161.028,38.5,90,"st3",0] 113 | ["ATTR","e107","e104","Pin Type","IN",0,0,160,40,90,"st4",0] 114 | ["PIN","e108",1,1,-120,40,15,270,"#840000",0,0] 115 | ["ATTR","e109","e108","NAME","~RD~",0,1,-115.122,21,90,"st3",0] 116 | ["ATTR","e110","e108","NUMBER","4",0,1,-118.972,38.5,90,"st3",0] 117 | ["ATTR","e111","e108","Pin Type","IN",0,0,-120,40,90,"st4",0] 118 | ["PIN","e112",1,1,-110,40,15,270,"#840000",0,0] 119 | ["ATTR","e113","e112","NAME","~CS~",0,1,-105.122,21,90,"st3",0] 120 | ["ATTR","e114","e112","NUMBER","5",0,1,-108.972,38.5,90,"st3",0] 121 | ["ATTR","e115","e112","Pin Type","IN",0,0,-110,40,90,"st4",0] 122 | ["PIN","e116",1,1,-100,40,15,270,"#840000",0,0] 123 | ["ATTR","e117","e116","NAME","AD0",0,1,-95.122,21,90,"st3",0] 124 | ["ATTR","e118","e116","NUMBER","6",0,1,-98.972,38.5,90,"st3",0] 125 | ["ATTR","e119","e116","Pin Type","IN",0,0,-100,40,90,"st4",0] 126 | ["PIN","e120",1,1,-90,40,15,270,"#840000",0,0] 127 | ["ATTR","e121","e120","NAME","AD1",0,1,-85.122,21,90,"st3",0] 128 | ["ATTR","e122","e120","NUMBER","7",0,1,-88.972,38.5,90,"st3",0] 129 | ["ATTR","e123","e120","Pin Type","IN",0,0,-90,40,90,"st4",0] 130 | ["PIN","e124",1,1,-80,40,15,270,"#840000",0,0] 131 | ["ATTR","e125","e124","NAME","AD2",0,1,-75.122,21,90,"st3",0] 132 | ["ATTR","e126","e124","NUMBER","8",0,1,-78.972,38.5,90,"st3",0] 133 | ["ATTR","e127","e124","Pin Type","IN",0,0,-80,40,90,"st4",0] 134 | ["PIN","e128",1,1,-70,40,15,270,"#840000",0,0] 135 | ["ATTR","e129","e128","NAME","AD3",0,1,-65.122,21,90,"st3",0] 136 | ["ATTR","e130","e128","NUMBER","9",0,1,-68.972,38.5,90,"st3",0] 137 | ["ATTR","e131","e128","Pin Type","IN",0,0,-70,40,90,"st4",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/ca151b5fe11c45ae83afbb29197e659a.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"symbolType":18,"originX":0,"originY":0,"version":"0.13.0"}] 3 | ["LINESTYLE","st1",null,null,null,null] 4 | ["FONTSTYLE","st2",null,null,null,null,null,null,null,null,null,0] 5 | ["FONTSTYLE","st3",null,null,"default",null,0,0,0,0,2,1] 6 | ["FONTSTYLE","st4",null,null,"default",null,0,0,0,0,0,1] 7 | ["FONTSTYLE","st5",null,null,"default",5,0,0,0,0,1,1] 8 | ["PART","1",{"BBOX":[-5.5,-10.5,5.5,0.5]}] 9 | ["PIN","ie1",1,1,0,0,0,270,null,0,0,0] 10 | ["ATTR","ie2","ie1","NAME","GND",false,false,0,0,90,"st3",0] 11 | ["ATTR","ie3","ie1","NUMBER","1",false,false,0,0,90,"st4",0] 12 | ["ATTR","ie4","ie1","Pin Type","IN",false,false,0,0,0,"st2",0] 13 | ["POLY","ie5",[0,0,0,-5,0,-5,5,-5,5,-5,0,-10,0,-10,-5,-5,-5,-5,0,-5],0,"st1",0] 14 | ["ATTR","ie6","","Global Net Name","AGB-E02-20-RESCUE_GND-POWER",false,false,null,null,0,"st5",0] 15 | ["ATTR","ie7","","Symbol","AGB-E02-20-RESCUE_GND-POWER",false,false,null,null,0,"st5",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/de843ea25ca34d888d750e0f6f4844a1.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.0"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.4.2.4a8eee","symbolType":19}] 3 | ["PART","",{"BBOX":[0,5,40,-5]}] 4 | ["FONTSTYLE","st1",null,null,null,null,null,null,null,null,null,0] 5 | ["ATTR","e8","","Symbol","Bidrectional-Port",0,0,0,-30,360,"st1",0] 6 | ["FONTSTYLE","st2",null,null,null,10,null,null,null,null,1,0] 7 | ["ATTR","e25","","Name","",0,1,45,0,0,"st2",0] 8 | ["PIN","e11",1,3,0,0,10,0,null,0,0] 9 | ["FONTSTYLE","st3",null,null,null,null,null,null,null,null,1,0] 10 | ["ATTR","e12","e11","NAME","BI",0,0,10,0,360,"st3",0] 11 | ["FONTSTYLE","st4",null,null,null,null,null,null,null,null,null,2] 12 | ["ATTR","e15","e11","NUMBER","1",0,0,7,0,360,"st4",0] 13 | ["ATTR","e20","e11","Pin Type","BI",0,0,0,10,360,"st4",0] 14 | ["LINESTYLE","st5",null,null,null,null] 15 | ["POLY","e23",[10,0,20,5,30,5,40,0,30,-5,20,-5,10,0],true,"st5",0] -------------------------------------------------------------------------------- /LCEDA/SYMBOL/e940d67deed347a882cd6979563c2518.esym: -------------------------------------------------------------------------------- 1 | ["DOCTYPE","SYMBOL","1.1"] 2 | ["HEAD",{"originX":0,"originY":0,"version":"1.8.21.561543","maxId":677,"symbolType":20}] 3 | ["PART","",{"BBOX":[0,825,1170,-0.08000000000004093]}] 4 | ["FONTSTYLE","st1",null,null,null,20,null,null,null,null,1,1] 5 | ["ATTR","e8","","Symbol","Sheet-Symbol_A4",0,0,2506,-116,0,"st1",0] 6 | ["ATTR","e528","","Company","",0,1,998,30,0,"st1",0] 7 | ["FONTSTYLE","st2",null,null,null,15,null,null,null,null,1,0] 8 | ["ATTR","e529","","Drawed","",0,1,558,120,0,"st2",0] 9 | ["ATTR","e530","","Reviewed","",0,1,558,100,0,"st2",0] 10 | ["FONTSTYLE","st3",null,null,null,15,null,null,null,null,1,1] 11 | ["ATTR","e531","","Version","",0,1,718,30,0,"st3",0] 12 | ["ATTR","e532","","Page Size","",0,1,800,30,0,"st3",0] 13 | ["ATTR","e534","","@Project Name","",0,1,920,100,0,"st1",0] 14 | ["ATTR","e536","","@Page Count","",0,1,1102,61,0,"st3",0] 15 | ["ATTR","e537","","@Update Date","",0,1,1010,180,0,"st2",0] 16 | ["ATTR","e538","","@Create Date","",0,1,1010,160,0,"st2",0] 17 | ["ATTR","e542","","@Schematic Name","",0,1,730,170,0,"st1",0] 18 | ["ATTR","e543","","Part Number","",0,1,1010,140,0,"st2",0] 19 | ["ATTR","e544","","@Page No","",0,1,985,61,0,"st3",0] 20 | ["ATTR","e603","","@Page Name","",0,1,730,140,0,"st3",0] 21 | ["FONTSTYLE","st4",null,null,"宋体",15,0,0,0,null,1,0] 22 | ["TEXT","e307",468,100,0,"Reviewed","st4",0] 23 | ["TEXT","e308",468,120,0,"Drawed","st4",0] 24 | ["FONTSTYLE","st5",null,null,"宋体",15,0,0,0,null,1,1] 25 | ["TEXT","e310",718,60,0,"VER","st5",0] 26 | ["TEXT","e313",908,160,0,"Create Date","st4",0] 27 | ["TEXT","e314",908,140,0,"Part Number","st4",0] 28 | ["TEXT","e315",908,61,0,"PAGE","st4",0] 29 | ["TEXT","e316",1032,61,0,"OF","st4",0] 30 | ["LINESTYLE","st6",null,0,null,1] 31 | ["RECT","e285",458,190,1160,10,0,0,0,"st6",0] 32 | ["POLY","e253",[758,10,758,70],false,"st6",0] 33 | ["POLY","e256",[550,50,550,190],false,"st6",0] 34 | ["POLY","e273",[898,190,898,130],false,"st6",0] 35 | ["POLY","e274",[998,130,998,190],false,"st6",0] 36 | ["POLY","e276",[678,130,678,10],false,"st6",0] 37 | ["POLY","e277",[678,110,458,110],false,"st6",0] 38 | ["POLY","e278",[1160,170,898,170],false,"st6",0] 39 | ["POLY","e279",[1160,50,458,50],false,"st6",0] 40 | ["POLY","e280",[1160,130,458,130],false,"st6",0] 41 | ["POLY","e281",[1160,70,458,70],false,"st6",0] 42 | ["POLY","e282",[678,90,458,90],false,"st6",0] 43 | ["POLY","e283",[1160,150,458,150],false,"st6",0] 44 | ["TEXT","e333",468,170,0,"Schematic","st4",0] 45 | ["TEXT","e340",908,180,0,"Update Date","st4",0] 46 | ["TEXT","e354",798,60,0,"SIZE","st5",0] 47 | ["POLY","e359",[838,70,838,10],false,"st6",0] 48 | ["TEXT","e605",470,140,0,"Page","st4",0] 49 | ["RECT","e607",0,825,1170,0,0,0,0,"st6",0] 50 | ["RECT","e608",10,815,1160,10,0,0,0,"st6",0] 51 | ["FONTSTYLE","st7",null,null,"宋体",10,0,0,0,null,1,1] 52 | ["TEXT","e609",107.5,820,0,"1","st7",0] 53 | ["TEXT","e611",107.5,5,0,"1","st7",0] 54 | ["TEXT","e613",302.5,820,0,"2","st7",0] 55 | ["TEXT","e615",302.5,5,0,"2","st7",0] 56 | ["TEXT","e617",497.5,820,0,"3","st7",0] 57 | ["TEXT","e619",497.5,5,0,"3","st7",0] 58 | ["TEXT","e621",692.5,820,0,"4","st7",0] 59 | ["TEXT","e623",692.5,5,0,"4","st7",0] 60 | ["TEXT","e625",887.5,820,0,"5","st7",0] 61 | ["TEXT","e627",887.5,5,0,"5","st7",0] 62 | ["TEXT","e629",1082.5,820,0,"6","st7",0] 63 | ["TEXT","e631",1082.5,5,0,"6","st7",0] 64 | ["TEXT","e633",5,711.875,0,"A","st7",0] 65 | ["TEXT","e635",1165,711.875,0,"A","st7",0] 66 | ["TEXT","e637",5,505.625,0,"B","st7",0] 67 | ["TEXT","e639",1165,505.625,0,"B","st7",0] 68 | ["TEXT","e641",5,299.375,0,"C","st7",0] 69 | ["TEXT","e643",1165,299.375,0,"C","st7",0] 70 | ["TEXT","e645",5,93.125,0,"D","st7",0] 71 | ["TEXT","e647",1165,93.125,0,"D","st7",0] 72 | ["POLY","e649",[205,825,205,815],false,"st6",0] 73 | ["POLY","e650",[205,10,205,0],false,"st6",0] 74 | ["POLY","e651",[400,825,400,815],false,"st6",0] 75 | ["POLY","e652",[400,10,400,0],false,"st6",0] 76 | ["POLY","e653",[595,825,595,815],false,"st6",0] 77 | ["POLY","e654",[595,10,595,0],false,"st6",0] 78 | ["POLY","e655",[790,825,790,815],false,"st6",0] 79 | ["POLY","e656",[790,10,790,0],false,"st6",0] 80 | ["POLY","e657",[985,825,985,815],false,"st6",0] 81 | ["POLY","e658",[985,10,985,0],false,"st6",0] 82 | ["POLY","e659",[0,608.75,10,608.75],false,"st6",0] 83 | ["POLY","e660",[1160,608.75,1170,608.75],false,"st6",0] 84 | ["POLY","e661",[0,402.5,10,402.5],false,"st6",0] 85 | ["POLY","e662",[1160,402.5,1170,402.5],false,"st6",0] 86 | ["POLY","e663",[0,196.25,10,196.25],false,"st6",0] 87 | ["POLY","e664",[1160,196.25,1170,196.25],false,"st6",0] 88 | ["OBJ","e676","",476,57,193,55,0,0,"data:image/svg+xml;base64,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",0] 89 | ["GROUP",1,0,"border",["e607","e608","e609","e611","e613","e615","e617","e619","e621","e623","e625","e627","e629","e631","e633","e635","e637","e639","e641","e643","e645","e647","e649","e650","e651","e652","e653","e654","e655","e656","e657","e658","e659","e660","e661","e662","e663","e664"]] -------------------------------------------------------------------------------- /QuartusII/.gitignore: -------------------------------------------------------------------------------- 1 | *.* 2 | !/*.gitignore 3 | 4 | # ignore Quartus generated folders 5 | /db/ 6 | /incremental_db/ 7 | /simulation/ 8 | /timing/ 9 | /testbench/ 10 | /*_sim/ 11 | 12 | # project files 13 | !*.qpf 14 | !*.qsf 15 | # source files 16 | !*.bdf 17 | !*.vhd 18 | !*.v 19 | !*.sv 20 | # IP core files 21 | !*.qip 22 | !*.sip 23 | !*.bsf 24 | # SOPC builder project source files 25 | !*.ptf 26 | !*.bsf 27 | # board description 28 | !**/class.ptf 29 | # sdc files: 30 | !*.sdc 31 | # tcl files: 32 | !*.tcl 33 | # simulation files: 34 | !*.vwf 35 | -------------------------------------------------------------------------------- /QuartusII/opencartgba.qpf: -------------------------------------------------------------------------------- 1 | # -------------------------------------------------------------------------- # 2 | # 3 | # Copyright (C) 1991-2013 Altera Corporation 4 | # Your use of Altera Corporation's design tools, logic functions 5 | # and other software and tools, and its AMPP partner logic 6 | # functions, and any output files from any of the foregoing 7 | # (including device programming or simulation files), and any 8 | # associated documentation or information are expressly subject 9 | # to the terms and conditions of the Altera Program License 10 | # Subscription Agreement, Altera MegaCore Function License 11 | # Agreement, or other applicable license agreement, including, 12 | # without limitation, that your use is for the sole purpose of 13 | # programming logic devices manufactured by Altera and sold by 14 | # Altera or its authorized distributors. Please refer to the 15 | # applicable agreement for further details. 16 | # 17 | # -------------------------------------------------------------------------- # 18 | # 19 | # Quartus II 64-Bit 20 | # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 21 | # Date created = 19:40:17 September 07, 2022 22 | # 23 | # -------------------------------------------------------------------------- # 24 | 25 | QUARTUS_VERSION = "13.0" 26 | DATE = "19:40:17 September 07, 2022" 27 | 28 | # Revisions 29 | 30 | PROJECT_REVISION = "opencartgba" 31 | -------------------------------------------------------------------------------- /QuartusII/opencartgba.qsf: -------------------------------------------------------------------------------- 1 | # -------------------------------------------------------------------------- # 2 | # 3 | # Copyright (C) 1991-2013 Altera Corporation 4 | # Your use of Altera Corporation's design tools, logic functions 5 | # and other software and tools, and its AMPP partner logic 6 | # functions, and any output files from any of the foregoing 7 | # (including device programming or simulation files), and any 8 | # associated documentation or information are expressly subject 9 | # to the terms and conditions of the Altera Program License 10 | # Subscription Agreement, Altera MegaCore Function License 11 | # Agreement, or other applicable license agreement, including, 12 | # without limitation, that your use is for the sole purpose of 13 | # programming logic devices manufactured by Altera and sold by 14 | # Altera or its authorized distributors. Please refer to the 15 | # applicable agreement for further details. 16 | # 17 | # -------------------------------------------------------------------------- # 18 | # 19 | # Quartus II 64-Bit 20 | # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 21 | # Date created = 19:40:17 September 07, 2022 22 | # 23 | # -------------------------------------------------------------------------- # 24 | # 25 | # Notes: 26 | # 27 | # 1) The default values for assignments are stored in the file: 28 | # opencartgba_assignment_defaults.qdf 29 | # If this file doesn't exist, see file: 30 | # assignment_defaults.qdf 31 | # 32 | # 2) Altera recommends that you do not modify this file. This 33 | # file is updated automatically by the Quartus II software 34 | # and any changes you make may be lost or overwritten. 35 | # 36 | # -------------------------------------------------------------------------- # 37 | 38 | 39 | set_global_assignment -name FAMILY MAX3000A 40 | set_global_assignment -name DEVICE "EPM3064ATC100-10" 41 | set_global_assignment -name TOP_LEVEL_ENTITY opencartgba 42 | set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" 43 | set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:40:17 SEPTEMBER 07, 2022" 44 | set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" 45 | set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files 46 | set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP 47 | set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 48 | set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" 49 | set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 50 | set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 51 | set_global_assignment -name MAX7000_DEVICE_IO_STANDARD "3.3-V LVTTL" 52 | set_location_assignment PIN_35 -to GBA_AD[15] 53 | set_location_assignment PIN_32 -to GBA_AD[14] 54 | set_location_assignment PIN_93 -to GBA_AD[13] 55 | set_location_assignment PIN_30 -to GBA_AD[12] 56 | set_location_assignment PIN_29 -to GBA_AD[11] 57 | set_location_assignment PIN_25 -to GBA_AD[10] 58 | set_location_assignment PIN_23 -to GBA_AD[9] 59 | set_location_assignment PIN_21 -to GBA_AD[8] 60 | set_location_assignment PIN_20 -to GBA_AD[7] 61 | set_location_assignment PIN_19 -to GBA_AD[6] 62 | set_location_assignment PIN_17 -to GBA_AD[5] 63 | set_location_assignment PIN_16 -to GBA_AD[4] 64 | set_location_assignment PIN_14 -to GBA_AD[3] 65 | set_location_assignment PIN_13 -to GBA_AD[2] 66 | set_location_assignment PIN_12 -to GBA_AD[1] 67 | set_location_assignment PIN_10 -to GBA_AD[0] 68 | set_location_assignment PIN_9 -to GBA_CS 69 | set_location_assignment PIN_8 -to GBA_RD 70 | set_location_assignment PIN_6 -to GBA_WR 71 | set_location_assignment PIN_60 -to ROM_A[1] 72 | set_location_assignment PIN_61 -to ROM_A[0] 73 | set_location_assignment PIN_44 -to ROM_A[11] 74 | set_location_assignment PIN_42 -to ROM_A[12] 75 | set_location_assignment PIN_41 -to ROM_A[13] 76 | set_location_assignment PIN_40 -to ROM_A[14] 77 | set_location_assignment PIN_37 -to ROM_A[15] 78 | set_location_assignment PIN_46 -to ROM_A[7] 79 | set_location_assignment PIN_57 -to ROM_A[6] 80 | set_location_assignment PIN_56 -to ROM_A[5] 81 | set_location_assignment PIN_54 -to ROM_A[4] 82 | set_location_assignment PIN_52 -to ROM_A[3] 83 | set_location_assignment PIN_58 -to ROM_A[2] 84 | set_location_assignment PIN_45 -to ROM_A[10] 85 | set_location_assignment PIN_48 -to ROM_A[9] 86 | set_location_assignment PIN_47 -to ROM_A[8] 87 | set_global_assignment -name VHDL_FILE opencartgba.vhd 88 | set_global_assignment -name VECTOR_WAVEFORM_FILE opencartgba.vwf 89 | set_global_assignment -name SIMULATION_MODE TIMING 90 | set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" 91 | set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation 92 | set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_simulation 93 | set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation 94 | set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE "C:/Users/laqie/Projects/opencartgba/QuartusII/opencartgba.vwf" -------------------------------------------------------------------------------- /QuartusII/opencartgba.vhd: -------------------------------------------------------------------------------- 1 | library ieee; 2 | use ieee.std_logic_1164.all; 3 | use ieee.numeric_std.all; 4 | 5 | entity opencartgba is 6 | port ( 7 | GBA_AD : in std_logic_vector(15 downto 0); 8 | GBA_CS : in std_logic; 9 | GBA_RD : in std_logic; 10 | GBA_WR : in std_logic; 11 | ROM_A : out std_logic_vector(15 downto 0) 12 | ); 13 | end opencartgba; 14 | 15 | architecture cart of opencartgba is 16 | signal GBA_RD_WR : std_logic; 17 | signal ADDR : std_logic_vector(15 downto 0); 18 | signal ADDR_INC : std_logic_vector(15 downto 0); 19 | begin 20 | GBA_RD_WR <= GBA_RD and GBA_WR; 21 | process (GBA_CS, GBA_RD_WR) is 22 | begin 23 | if GBA_CS = '1' then 24 | ADDR <= GBA_AD; 25 | elsif rising_edge(GBA_RD_WR) then 26 | ADDR <= std_logic_vector(unsigned(ADDR) + 1); 27 | end if; 28 | end process; 29 | ROM_A <= ADDR; 30 | end cart; 31 | -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | # opencartgba 2 | Open Source GBA Flashcard 3 | 4 | [Online Editor](https://oshwhub.com/laqieer/opencartgba) 5 | 6 | ## Schematics Design 7 | 8 | ![SCH_FRAM Save_0-P1_2022-09-11](https://user-images.githubusercontent.com/8841957/189497835-9204c0b3-5248-4671-a091-f4bfaaa919e6.svg) 9 | 10 | ## CPLD Design 11 | 12 | ![opencartgba](https://user-images.githubusercontent.com/8841957/189177130-217fa861-5370-4174-81e3-7c134ec1b9cf.png) 13 | ![image](https://user-images.githubusercontent.com/8841957/189181138-3822c6ba-0c7e-4301-9e1a-afbbf37821b4.png) 14 | 15 | ## PCB Design 16 | 17 | ![3D_PCB1_2022-10-04](https://user-images.githubusercontent.com/8841957/193723411-c526f20d-26a8-4bb9-a3d5-3c777a23444e.png) 18 | ![3D_PCB1_2022-10-04 (1)](https://user-images.githubusercontent.com/8841957/193723451-cc0f7b6d-6854-41db-a2b5-ebb6008d2d47.png) 19 | 20 | ## BOM 21 | 22 | ![3D_PCB1_2022-10-04 (3)](https://user-images.githubusercontent.com/8841957/193723476-f24d4906-f5f5-4b96-8389-d59c03687020.png) 23 | 24 | |Name |Quantity|Designator |Footprint |Pins|Datasheet | 25 | |-----------------|--------|--------------------|------------------------------------|----|------------------------------------------------------------------------------------------------------| 26 | |EPM3064ATC100-10N|1 |U1 |TQFP-100_L14.0-W14.0-P0.50-LS16.0-BL|100 |[PDF](https://atta.szlcsc.com/upload/public/pdf/source/20140723/1457707156998.pdf) | 27 | |S29GL256S10TFI010|1 |U2 |TSOP-56_L18.4-W14.0-P0.50-LS20.0-TL |56 |[PDF](https://atta.szlcsc.com/upload/public/pdf/source/20201109/C914940_4A5E28132C9BE0EE19A790F58F84C86D.pdf)| 28 | |MB85R1001ANC-GE1 |1 |U3 |TSOP-48_L12.4-W12.2-P0.50-LS14.0-BL |48 |[PDF](https://atta.szlcsc.com/upload/public/pdf/source/20170821/C126736_1503303359319901614.pdf) | 29 | |10kΩ |5 |R1,R2,R3,R4,R5 |R0603 |2 |[PDF](https://atta.szlcsc.com/upload/public/pdf/source/20190415/C188363_433BF05B6FB8F7A0F5F35A3E4390C55A.pdf)| 30 | |100nF |7 |C2,C3,C4,C5,C6,C7,C8|C0603 |2 | | 31 | |10uF |1 |C1 |CAP-SMD_L2.0-W1.3-FD |2 | | 32 | 33 | ## Shell Design 34 | 35 | ![3D_PCB1_2022-10-04 (2)](https://user-images.githubusercontent.com/8841957/193723508-47039d3c-47e7-4130-af14-59bce8a31253.png) 36 | ![3D_PCB1_2022-10-04 (4)](https://user-images.githubusercontent.com/8841957/193723525-3b705078-93fd-46d2-8486-2611589e1377.png) 37 | --------------------------------------------------------------------------------