├── .gitignore ├── LICENSE ├── Makefile ├── README.md ├── constraint ├── pin_plan.xdc └── timing.xdc ├── preload_image.sh ├── script ├── make_project.tcl ├── mig_config.prj └── simulate.tcl └── src └── boot.mem /.gitignore: -------------------------------------------------------------------------------- 1 | *.jou 2 | *.log 3 | *.str 4 | lowrisc-chip-imp 5 | vivado_pid* 6 | *~ 7 | DVEfiles 8 | .nodeIdDynamicRanges 9 | generated-src 10 | ramb_result.txt 11 | boot.bmm 12 | *.o 13 | *.d 14 | *.a 15 | *.hex 16 | *.dump 17 | *.riscv 18 | ramfs 19 | boot.bin 20 | examples 21 | 22 | -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- 1 | Copyright 2015-2017 University of Cambridge 2 | Copyright and related rights are licensed under the Solderpad Hardware 3 | License, Version 0.51 (the “License”); you may not use this file except in 4 | compliance with the License. You may obtain a copy of the License at 5 | http://solderpad.org/licenses/SHL-0.51. Unless required by applicable law 6 | or agreed to in writing, software, hardware and materials distributed under 7 | this License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR 8 | CONDITIONS OF ANY KIND, either express or implied. See the License for the 9 | specific language governing permissions and limitations under the License. -------------------------------------------------------------------------------- /Makefile: -------------------------------------------------------------------------------- 1 | # See LICENSE for license details. 2 | 3 | ifndef XILINX_VIVADO 4 | $(error Please set environment variable XILINX_VIVADO for Xilinx tools) 5 | endif 6 | 7 | #-------------------------------------------------------------------- 8 | # global define 9 | #-------------------------------------------------------------------- 10 | 11 | default: project 12 | 13 | base_dir = $(abspath ../../..) 14 | proj_dir = $(abspath .) 15 | mem_gen = $(base_dir)/fpga/common/fpga_mem_gen 16 | generated_dir = $(abspath ./generated-src) 17 | 18 | glip_dir = $(base_dir)/opensocdebug/glip/src 19 | osd_dir = $(base_dir)/opensocdebug/hardware 20 | example_dir = $(base_dir)/fpga/bare_metal/examples 21 | 22 | project_name = lowrisc-chip-imp 23 | BACKEND ?= lowrisc_chip.LowRISCBackend 24 | CONFIG ?= Nexys4DebugConfig 25 | #CONFIG ?= Nexys4Config 26 | 27 | VIVADO = vivado 28 | 29 | include $(base_dir)/Makefrag 30 | 31 | .PHONY: default 32 | 33 | #-------------------------------------------------------------------- 34 | # Sources 35 | #-------------------------------------------------------------------- 36 | 37 | lowrisc_srcs = \ 38 | $(generated_dir)/$(MODEL).$(CONFIG).sv \ 39 | 40 | lowrisc_headers = \ 41 | $(generated_dir)/consts.vh \ 42 | $(generated_dir)/dev_map.vh \ 43 | $(generated_dir)/dev_map.h \ 44 | 45 | verilog_srcs = \ 46 | $(osd_dir)/interfaces/common/dii_channel.sv \ 47 | $(base_dir)/src/main/verilog/chip_top.sv \ 48 | $(base_dir)/src/main/verilog/spi_wrapper.sv \ 49 | $(base_dir)/socip/nasti/channel.sv \ 50 | $(base_dir)/socip/nasti/lite_nasti_reader.sv \ 51 | $(base_dir)/socip/nasti/lite_nasti_writer.sv \ 52 | $(base_dir)/socip/nasti/nasti_buf.sv \ 53 | $(base_dir)/socip/nasti/nasti_combiner.sv \ 54 | $(base_dir)/socip/nasti/nasti_crossbar.sv \ 55 | $(base_dir)/socip/nasti/nasti_demux.sv \ 56 | $(base_dir)/socip/nasti/nasti_lite_bridge.sv \ 57 | $(base_dir)/socip/nasti/nasti_lite_reader.sv \ 58 | $(base_dir)/socip/nasti/nasti_lite_writer.sv \ 59 | $(base_dir)/socip/nasti/nasti_narrower.sv \ 60 | $(base_dir)/socip/nasti/nasti_narrower_reader.sv \ 61 | $(base_dir)/socip/nasti/nasti_narrower_writer.sv \ 62 | $(base_dir)/socip/nasti/nasti_mux.sv \ 63 | $(base_dir)/socip/nasti/nasti_slicer.sv \ 64 | $(base_dir)/socip/util/arbiter.sv \ 65 | $(base_dir)/src/main/verilog/debug_system.sv \ 66 | $(osd_dir)/interconnect/common/debug_ring_expand.sv \ 67 | $(osd_dir)/interconnect/common/ring_router.sv \ 68 | $(osd_dir)/interconnect/common/ring_router_mux.sv \ 69 | $(osd_dir)/interconnect/common/ring_router_mux_rr.sv \ 70 | $(osd_dir)/interconnect/common/ring_router_demux.sv \ 71 | $(osd_dir)/blocks/buffer/common/dii_buffer.sv \ 72 | $(osd_dir)/blocks/buffer/common/osd_fifo.sv \ 73 | $(osd_dir)/blocks/timestamp/common/osd_timestamp.sv \ 74 | $(osd_dir)/blocks/tracepacket/common/osd_trace_packetization.sv \ 75 | $(osd_dir)/blocks/tracesample/common/osd_tracesample.sv \ 76 | $(osd_dir)/blocks/regaccess/common/osd_regaccess.sv \ 77 | $(osd_dir)/blocks/regaccess/common/osd_regaccess_demux.sv \ 78 | $(osd_dir)/blocks/regaccess/common/osd_regaccess_layer.sv \ 79 | $(osd_dir)/modules/dem_uart/common/osd_dem_uart.sv \ 80 | $(osd_dir)/modules/dem_uart/common/osd_dem_uart_16550.sv \ 81 | $(osd_dir)/modules/dem_uart/common/osd_dem_uart_nasti.sv \ 82 | $(osd_dir)/modules/him/common/osd_him.sv \ 83 | $(osd_dir)/modules/scm/common/osd_scm.sv \ 84 | $(osd_dir)/modules/mam/common/osd_mam.sv \ 85 | $(osd_dir)/modules/stm/common/osd_stm.sv \ 86 | $(osd_dir)/modules/ctm/common/osd_ctm.sv \ 87 | $(glip_dir)/common/logic/interface/glip_channel.sv \ 88 | $(glip_dir)/backend_uart/logic/verilog/glip_uart_control_egress.v \ 89 | $(glip_dir)/backend_uart/logic/verilog/glip_uart_control_ingress.v \ 90 | $(glip_dir)/backend_uart/logic/verilog/glip_uart_control.v \ 91 | $(glip_dir)/backend_uart/logic/verilog/glip_uart_receive.v \ 92 | $(glip_dir)/backend_uart/logic/verilog/glip_uart_toplevel.v \ 93 | $(glip_dir)/backend_uart/logic/verilog/glip_uart_transmit.v \ 94 | 95 | verilog_headers = \ 96 | $(base_dir)/src/main/verilog/config.vh \ 97 | 98 | test_verilog_srcs = \ 99 | $(base_dir)/src/test/verilog/host_behav.sv \ 100 | $(base_dir)/src/test/verilog/nasti_ram_behav.sv \ 101 | $(base_dir)/src/test/verilog/chip_top_tb.sv \ 102 | 103 | test_cxx_srcs = \ 104 | $(base_dir)/src/test/cxx/common/globals.cpp \ 105 | $(base_dir)/src/test/cxx/common/loadelf.cpp \ 106 | $(base_dir)/src/test/cxx/common/dpi_ram_behav.cpp \ 107 | $(base_dir)/src/test/cxx/common/dpi_host_behav.cpp \ 108 | $(base_dir)/opensocdebug/glip/src/backend_tcp/logic/dpi/glip_tcp_dpi.cpp \ 109 | $(base_dir)/opensocdebug/glip/src/backend_tcp/logic/dpi/GlipTcp.cpp \ 110 | 111 | test_cxx_headers = \ 112 | $(base_dir)/src/test/cxx/common/globals.h \ 113 | $(base_dir)/src/test/cxx/common/loadelf.hpp \ 114 | $(base_dir)/src/test/cxx/common/dpi_ram_behav.h \ 115 | $(base_dir)/src/test/cxx/common/dpi_host_behav.h \ 116 | 117 | boot_mem = src/boot.mem 118 | 119 | #-------------------------------------------------------------------- 120 | # Build Verilog 121 | #-------------------------------------------------------------------- 122 | 123 | verilog: $(lowrisc_srcs) $(lowrisc_headers) 124 | 125 | include $(base_dir)/Makefrag-build 126 | 127 | .PHONY: verilog 128 | junk += $(generated_dir) 129 | 130 | #-------------------------------------------------------------------- 131 | # Project generation 132 | #-------------------------------------------------------------------- 133 | 134 | project = $(project_name)/$(project_name).xpr 135 | project: $(project) 136 | $(project): | $(lowrisc_srcs) $(lowrisc_headers) 137 | $(VIVADO) -mode batch -source script/make_project.tcl -tclargs $(project_name) $(CONFIG) 138 | ln -s $(proj_dir)/$(boot_mem) $(project_name)/$(project_name).runs/synth_1/boot.mem 139 | ln -s $(proj_dir)/$(boot_mem) $(project_name)/$(project_name).sim/sim_1/behav/boot.mem 140 | 141 | vivado: $(project) 142 | $(VIVADO) $(project) & 143 | 144 | bitstream = $(project_name)/$(project_name).runs/impl_1/chip_top.bit 145 | bitstream: $(bitstream) 146 | $(bitstream): $(lowrisc_srcs) $(lowrisc_headers) $(verilog_srcs) $(verilog_headers) | $(project) 147 | $(VIVADO) -mode batch -source ../../common/script/make_bitstream.tcl -tclargs $(project_name) 148 | 149 | program: $(bitstream) 150 | $(VIVADO) -mode batch -source ../../common/script/program.tcl -tclargs "xc7a100t_0" $(bitstream) 151 | 152 | .PHONY: project vivado bitstream program 153 | 154 | #-------------------------------------------------------------------- 155 | # DPI compilation 156 | #-------------------------------------------------------------------- 157 | dpi_lib = $(project_name)/$(project_name).sim/sim_1/behav/xsim.dir/xsc/dpi.so 158 | dpi: $(dpi_lib) 159 | $(dpi_lib): $(test_verilog_srcs) $(test_cxx_srcs) $(test_cxx_headers) 160 | -mkdir -p $(project_name)/$(project_name).sim/sim_1/behav/xsim.dir/xsc 161 | cd $(project_name)/$(project_name).sim/sim_1/behav; \ 162 | g++ -Wa,-W -fPIC -m64 -O1 -std=c++11 -shared -I$(XILINX_VIVADO)/data/xsim/include -I$(base_dir)/csrc/common \ 163 | -DVERBOSE_MEMORY \ 164 | $(test_cxx_srcs) $(XILINX_VIVADO)/lib/lnx64.o/librdi_simulator_kernel.so -o $(proj_dir)/$@ 165 | 166 | .PHONY: dpi 167 | 168 | #-------------------------------------------------------------------- 169 | # FPGA simulation 170 | #-------------------------------------------------------------------- 171 | 172 | sim-comp = $(project_name)/$(project_name).sim/sim_1/behav/compile.log 173 | sim-comp: $(sim-comp) 174 | $(sim-comp): $(lowrisc_srcs) $(lowrisc_headers) $(verilog_srcs) $(verilog_headers) $(test_verilog_srcs) $(test_cxx_srcs) $(test_cxx_headers) | $(project) 175 | cd $(project_name)/$(project_name).sim/sim_1/behav; source compile.sh > /dev/null 176 | @echo "If error, see $(project_name)/$(project_name).sim/sim_1/behav/compile.log for more details." 177 | 178 | sim-elab = $(project_name)/$(project_name).sim/sim_1/behav/elaborate.log 179 | sim-elab: $(sim-elab) 180 | $(sim-elab): $(sim-comp) $(dpi_lib) 181 | cd $(project_name)/$(project_name).sim/sim_1/behav; source elaborate.sh > /dev/null 182 | @echo "If error, see $(project_name)/$(project_name).sim/sim_1/behav/elaborate.log for more details." 183 | 184 | simulation: $(sim-elab) 185 | cd $(project_name)/$(project_name).sim/sim_1/behav; xsim tb_behav -key {Behavioral:sim_1:Functional:tb} -tclbatch $(proj_dir)/script/simulate.tcl -log $(proj_dir)/simulate.log 186 | 187 | .PHONY: sim-comp sim-elab simulation 188 | 189 | #-------------------------------------------------------------------- 190 | # Debug helper 191 | #-------------------------------------------------------------------- 192 | 193 | search-ramb: src/boot.bmm 194 | src/boot.bmm: $(bitstream) 195 | $(VIVADO) -mode batch -source ../../common/script/search_ramb.tcl -tclargs $(project_name) > search-ramb.log 196 | python ../../common/script/bmm_gen.py search-ramb.log src/boot.bmm 128 65536 197 | 198 | bit-update: $(project_name)/$(project_name).runs/impl_1/chip_top.new.bit 199 | $(project_name)/$(project_name).runs/impl_1/chip_top.new.bit: $(boot_mem) src/boot.bmm 200 | data2mem -bm $(boot_mem) -bd $< -bt $(bitstream) -o b $@ 201 | 202 | program-updated: $(project_name)/$(project_name).runs/impl_1/chip_top.new.bit 203 | $(VIVADO) -mode batch -source ../../common/script/program.tcl -tclargs "xc7a100t_0" $(project_name)/$(project_name).runs/impl_1/chip_top.new.bit 204 | 205 | cfgmem: $(project_name)/$(project_name).runs/impl_1/chip_top.bit 206 | $(VIVADO) -mode batch -source ../../common/script/cfgmem.tcl -tclargs "xc7a100t_0" $(project_name)/$(project_name).runs/impl_1/chip_top.bit 207 | 208 | cfgmem-updated: $(project_name)/$(project_name).runs/impl_1/chip_top.new.bit 209 | $(VIVADO) -mode batch -source ../../common/script/cfgmem.tcl -tclargs "xc7a100t_0" $(project_name)/$(project_name).runs/impl_1/chip_top.new.bit 210 | 211 | program-cfgmem: $(project_name)/$(project_name).runs/impl_1/chip_top.bit.mcs 212 | $(VIVADO) -mode batch -source ../../common/script/program_cfgmem.tcl -tclargs "xc7a100t_0" $(project_name)/$(project_name).runs/impl_1/chip_top.bit.mcs 213 | 214 | program-cfgmem-updated: $(project_name)/$(project_name).runs/impl_1/chip_top.new.bit.mcs 215 | $(VIVADO) -mode batch -source ../../common/script/program_cfgmem.tcl -tclargs "xc7a100t_0" $(project_name)/$(project_name).runs/impl_1/chip_top.new.bit.mcs 216 | 217 | .PHONY: search-ramb bit-update program-updated 218 | 219 | #-------------------------------------------------------------------- 220 | # Load examples 221 | #-------------------------------------------------------------------- 222 | 223 | EXAMPLES = hello trace boot dram sdcard jump flash selftest tag 224 | 225 | examples/Makefile: 226 | -mkdir examples 227 | ln -s $(example_dir)/Makefile examples/Makefile 228 | 229 | $(EXAMPLES): $(lowrisc_headers) | examples/Makefile 230 | FPGA_DIR=$(proj_dir) BASE_DIR=$(example_dir) $(MAKE) -C examples $@.hex 231 | cp examples/$@.hex $(boot_mem) && $(MAKE) bit-update 232 | 233 | .PHONY: $(EXAMPLES) 234 | 235 | tests: $(lowrisc_headers) | examples/Makefile 236 | FPGA_DIR=$(proj_dir) BASE_DIR=$(example_dir) $(MAKE) -C examples hello.hex selftest.hex 237 | riscv64-unknown-elf-size examples/selftest.riscv 238 | osd-cli -s ocd_script.txt 239 | #-------------------------------------------------------------------- 240 | # Clean up 241 | #-------------------------------------------------------------------- 242 | 243 | clean: 244 | $(info To clean everything, including the Vivado project, use 'make cleanall') 245 | -rm -rf *.log *.jou $(junk) 246 | -$(MAKE) -C examples clean 247 | 248 | cleanall: clean 249 | -rm -fr $(project) 250 | -rm -fr $(project_name) 251 | -rm -fr examples 252 | 253 | .PHONY: clean cleanall 254 | -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | lowRISC Digilent NEXYS4-DDR Board Developement Demo 2 | ======================================================== 3 | 4 | (Not a stand-alone git repo. Please clone https://github.com/lowrisc/lowrisc-chip.git to have this as a submodule of /fpga/board/nexys4) 5 | 6 | Requirement: 7 | 8 | **Vivado 2015.4** and **lowRISC develope environment** 9 | 10 | How to run the demo: 11 | -------------------------------------------------------- 12 | 13 | * Generate bit-stream for downloading 14 | 15 | make bitstream 16 | 17 | * Run FPGA simulation (extremely slow due to the DDR3 memory controller) 18 | 19 | make simulation 20 | 21 | * Open the Vivado GUI 22 | 23 | make vivado 24 | 25 | Other Make targets 26 | -------------------------------------------------------- 27 | 28 | * Generate the FPGA backend Verilog files 29 | 30 | make verilog 31 | 32 | * Generate the Vivado project 33 | 34 | make project 35 | 36 | * Find out the boot BRAMs' name and position (for updating src/boot.bmm) 37 | 38 | make search-ramb 39 | 40 | * Replace the content of boot BRAM with a new src/boot.mem (must update src/boot.bmm first) 41 | 42 | make bit-update 43 | -------------------------------------------------------------------------------- /constraint/pin_plan.xdc: -------------------------------------------------------------------------------- 1 | # on board single-end clock, 100MHz 2 | set_property PACKAGE_PIN E3 [get_ports clk_p] 3 | set_property IOSTANDARD LVCMOS33 [get_ports clk_p] 4 | 5 | # Reset active high SW4.1 User button South 6 | set_property IOSTANDARD LVCMOS33 [get_ports rst_top] 7 | set_property PACKAGE_PIN C12 [get_ports rst_top] 8 | 9 | # UART Pins 10 | set_property PACKAGE_PIN C4 [get_ports rxd] 11 | set_property IOSTANDARD LVCMOS33 [get_ports rxd] 12 | set_property PACKAGE_PIN D4 [get_ports txd] 13 | set_property IOSTANDARD LVCMOS33 [get_ports txd] 14 | set_property PACKAGE_PIN E5 [get_ports cts] 15 | set_property IOSTANDARD LVCMOS33 [get_ports cts] 16 | set_property PACKAGE_PIN D3 [get_ports rts] 17 | set_property IOSTANDARD LVCMOS33 [get_ports rts] 18 | 19 | # SD/SPI Pins 20 | #set_property PACKAGE_PIN D2 [get_ports spi_cs] 21 | #set_property IOSTANDARD LVCMOS33 [get_ports spi_cs] 22 | #set_property PACKAGE_PIN B1 [get_ports spi_sclk] 23 | #set_property IOSTANDARD LVCMOS33 [get_ports spi_sclk] 24 | #set_property PACKAGE_PIN C1 [get_ports spi_mosi] 25 | #set_property IOSTANDARD LVCMOS33 [get_ports spi_mosi] 26 | #set_property PACKAGE_PIN C2 [get_ports spi_miso] 27 | #set_property IOSTANDARD LVCMOS33 [get_ports spi_miso] 28 | #set_property PACKAGE_PIN E2 [get_ports sd_reset] 29 | #set_property IOSTANDARD LVCMOS33 [get_ports sd_reset] 30 | set_property PACKAGE_PIN B1 [get_ports sd_sclk] 31 | #set_property IOSTANDARD LVCMOS33 [get_ports sd_sclk] 32 | set_property PACKAGE_PIN E2 [get_ports sd_reset] 33 | set_property IOSTANDARD LVCMOS33 [get_ports sd_reset] 34 | set_property PACKAGE_PIN A1 [get_ports sd_detect] 35 | set_property IOSTANDARD LVCMOS33 [get_ports sd_detect] 36 | set_property PACKAGE_PIN C1 [get_ports sd_cmd] 37 | #set_property IOSTANDARD LVCMOS33 [get_ports sd_cmd] 38 | set_property PACKAGE_PIN C2 [get_ports {sd_dat[0]}] 39 | #set_property IOSTANDARD LVCMOS33 [get_ports {sd_dat[0]}] 40 | set_property PACKAGE_PIN E1 [get_ports {sd_dat[1]}] 41 | #set_property IOSTANDARD LVCMOS33 [get_ports {sd_dat[1]}] 42 | set_property PACKAGE_PIN F1 [get_ports {sd_dat[2]}] 43 | #set_property IOSTANDARD LVCMOS33 [get_ports {sd_dat[2]}] 44 | set_property PACKAGE_PIN D2 [get_ports {sd_dat[3]}] 45 | #set_property IOSTANDARD LVCMOS33 [get_ports {sd_dat[3]}] 46 | # VGA 47 | 48 | # Flash/SPI Pins 49 | #set_property PACKAGE_PIN L13 [get_ports flash_ss] 50 | #set_property IOSTANDARD LVCMOS33 [get_ports flash_ss] 51 | #set_property PACKAGE_PIN K17 [get_ports {flash_io[0]}] 52 | #set_property IOSTANDARD LVCMOS33 [get_ports {flash_io[0]}] 53 | #set_property PACKAGE_PIN K18 [get_ports {flash_io[1]}] 54 | #set_property IOSTANDARD LVCMOS33 [get_ports {flash_io[1]}] 55 | #set_property PACKAGE_PIN L14 [get_ports {flash_io[2]}] 56 | #set_property IOSTANDARD LVCMOS33 [get_ports {flash_io[2]}] 57 | #set_property PACKAGE_PIN M14 [get_ports {flash_io[3]}] 58 | #set_property IOSTANDARD LVCMOS33 [get_ports {flash_io[3]}] 59 | # 60 | set_property PACKAGE_PIN H17 [get_ports {o_led[0]}] 61 | set_property IOSTANDARD LVCMOS33 [get_ports {o_led[0]}] 62 | set_property PACKAGE_PIN K15 [get_ports {o_led[1]}] 63 | set_property IOSTANDARD LVCMOS33 [get_ports {o_led[1]}] 64 | set_property PACKAGE_PIN J13 [get_ports {o_led[2]}] 65 | set_property IOSTANDARD LVCMOS33 [get_ports {o_led[2]}] 66 | set_property PACKAGE_PIN N14 [get_ports {o_led[3]}] 67 | set_property IOSTANDARD LVCMOS33 [get_ports {o_led[3]}] 68 | set_property PACKAGE_PIN R18 [get_ports {o_led[4]}] 69 | set_property IOSTANDARD LVCMOS33 [get_ports {o_led[4]}] 70 | set_property PACKAGE_PIN V17 [get_ports {o_led[5]}] 71 | set_property IOSTANDARD LVCMOS33 [get_ports {o_led[5]}] 72 | set_property PACKAGE_PIN U17 [get_ports {o_led[6]}] 73 | set_property IOSTANDARD LVCMOS33 [get_ports {o_led[6]}] 74 | set_property PACKAGE_PIN U16 [get_ports {o_led[7]}] 75 | set_property IOSTANDARD LVCMOS33 [get_ports {o_led[7]}] 76 | ## Switches 77 | set_property PACKAGE_PIN J15 [get_ports {i_dip[0]}] 78 | set_property IOSTANDARD LVCMOS33 [get_ports {i_dip[0]}] 79 | set_property PACKAGE_PIN L16 [get_ports {i_dip[1]}] 80 | set_property IOSTANDARD LVCMOS33 [get_ports {i_dip[1]}] 81 | set_property PACKAGE_PIN M13 [get_ports {i_dip[2]}] 82 | set_property IOSTANDARD LVCMOS33 [get_ports {i_dip[2]}] 83 | set_property PACKAGE_PIN R15 [get_ports {i_dip[3]}] 84 | set_property IOSTANDARD LVCMOS33 [get_ports {i_dip[3]}] 85 | 86 | -------------------------------------------------------------------------------- /constraint/timing.xdc: -------------------------------------------------------------------------------- 1 | set_false_path -reset_path -from [get_clocks clk_io_uart_clk_wiz_0] -to [get_clocks mmcm_clkout0] 2 | set_false_path -reset_path -from [get_clocks mmcm_clkout0] -to [get_clocks clk_io_uart_clk_wiz_0] 3 | set_false_path -from [get_pins msoc/tx_fifo/FIFO18E1_inst_36/RDCLK] 4 | set_false_path -from [get_pins msoc/rx_fifo/FIFO18E1_inst_36/WRCLK] 5 | set_false_path -from [get_pins genblk1[0].RAMB16_S9_S9_inst/CLKBWRCLK] 6 | set_false_path -from [get_pins genblk1[1].RAMB16_S9_S9_inst/CLKBWRCLK] 7 | set_false_path -from [get_pins genblk1[2].RAMB16_S9_S9_inst/CLKBWRCLK] 8 | set_false_path -from [get_pins genblk1[3].RAMB16_S9_S9_inst/CLKBWRCLK] 9 | -------------------------------------------------------------------------------- /preload_image.sh: -------------------------------------------------------------------------------- 1 | #!/bin/bash 2 | 3 | if [ $# != 1 ] ; then 4 | echo "Wrong number of arguments." 5 | echo "preload_image /PATH/TO/SD/" 6 | else 7 | echo "=====================================" 8 | echo "download the boot image" 9 | echo "=====================================" 10 | curl -L https://github.com/lowRISC/lowrisc-chip/releases/download/v0.3/boot.bin > $1boot.bin 11 | echo "=====================================" 12 | echo "download and copy FPGA bitstream" 13 | echo "=====================================" 14 | curl -L https://github.com/lowRISC/lowrisc-chip/releases/download/v0.3/nexys4ddr_fpga_standalone.bit > $1chip_top.bit 15 | fi 16 | -------------------------------------------------------------------------------- /script/make_project.tcl: -------------------------------------------------------------------------------- 1 | # Xilinx Vivado script 2 | # Version: Vivado 2015.4 3 | # Function: 4 | # Generate a vivado project for the lowRISC SoC 5 | 6 | set mem_data_width {64} 7 | set io_data_width {32} 8 | set axi_id_width {8} 9 | 10 | set origin_dir "." 11 | set base_dir "../../.." 12 | set osd_dir "../../../opensocdebug/hardware" 13 | set glip_dir "../../../opensocdebug/glip/src" 14 | set common_dir "../../common" 15 | set minion_dir "../../../minion_subsystem" 16 | set pulpino_dir "../../../minion_subsystem/pulpino" 17 | 18 | set project_name [lindex $argv 0] 19 | set CONFIG [lindex $argv 1] 20 | 21 | # Set the directory path for the original project from where this script was exported 22 | set orig_proj_dir [file normalize $origin_dir/$project_name] 23 | 24 | # Create project 25 | create_project $project_name $origin_dir/$project_name 26 | 27 | # Set the directory path for the new project 28 | set proj_dir [get_property directory [current_project]] 29 | 30 | # Set project properties 31 | set obj [get_projects $project_name] 32 | set_property "default_lib" "xil_defaultlib" $obj 33 | set_property "part" "xc7a100tcsg324-1" $obj 34 | set_property "simulator_language" "Mixed" $obj 35 | 36 | # Create 'sources_1' fileset (if not found) 37 | if {[string equal [get_filesets -quiet sources_1] ""]} { 38 | create_fileset -srcset sources_1 39 | } 40 | 41 | # Set 'sources_1' fileset object 42 | set files [list \ 43 | [file normalize $origin_dir/generated-src/Top.$CONFIG.sv] \ 44 | [file normalize $osd_dir/interfaces/common/dii_channel.sv ] \ 45 | [file normalize $base_dir/src/main/verilog/chip_top.sv] \ 46 | [file normalize $base_dir/src/main/verilog/spi_wrapper.sv] \ 47 | [file normalize $base_dir/socip/nasti/channel.sv] \ 48 | [file normalize $base_dir/socip/nasti/lite_nasti_reader.sv ] \ 49 | [file normalize $base_dir/socip/nasti/lite_nasti_writer.sv ] \ 50 | [file normalize $base_dir/socip/nasti/nasti_buf.sv ] \ 51 | [file normalize $base_dir/socip/nasti/nasti_combiner.sv ] \ 52 | [file normalize $base_dir/socip/nasti/nasti_crossbar.sv ] \ 53 | [file normalize $base_dir/socip/nasti/nasti_demux.sv ] \ 54 | [file normalize $base_dir/socip/nasti/nasti_lite_bridge.sv ] \ 55 | [file normalize $base_dir/socip/nasti/nasti_lite_reader.sv ] \ 56 | [file normalize $base_dir/socip/nasti/nasti_lite_writer.sv ] \ 57 | [file normalize $base_dir/socip/nasti/nasti_narrower.sv ] \ 58 | [file normalize $base_dir/socip/nasti/nasti_narrower_reader.sv ] \ 59 | [file normalize $base_dir/socip/nasti/nasti_narrower_writer.sv ] \ 60 | [file normalize $base_dir/socip/nasti/nasti_mux.sv ] \ 61 | [file normalize $base_dir/socip/nasti/nasti_slicer.sv ] \ 62 | [file normalize $base_dir/socip/util/arbiter.sv ] \ 63 | [file normalize $base_dir/src/main/verilog/debug_system.sv] \ 64 | [file normalize $osd_dir/interconnect/common/debug_ring_expand.sv ] \ 65 | [file normalize $osd_dir/interconnect/common/ring_router.sv ] \ 66 | [file normalize $osd_dir/interconnect/common/ring_router_mux.sv ] \ 67 | [file normalize $osd_dir/interconnect/common/ring_router_mux_rr.sv ] \ 68 | [file normalize $osd_dir/interconnect/common/ring_router_demux.sv ] \ 69 | [file normalize $osd_dir/blocks/buffer/common/dii_buffer.sv ] \ 70 | [file normalize $osd_dir/blocks/buffer/common/osd_fifo.sv ] \ 71 | [file normalize $osd_dir/blocks/timestamp/common/osd_timestamp.sv ] \ 72 | [file normalize $osd_dir/blocks/tracepacket/common/osd_trace_packetization.sv ] \ 73 | [file normalize $osd_dir/blocks/tracesample/common/osd_tracesample.sv ] \ 74 | [file normalize $osd_dir/blocks/regaccess/common/osd_regaccess.sv ] \ 75 | [file normalize $osd_dir/blocks/regaccess/common/osd_regaccess_demux.sv ] \ 76 | [file normalize $osd_dir/blocks/regaccess/common/osd_regaccess_layer.sv ] \ 77 | [file normalize $osd_dir/modules/dem_uart/common/osd_dem_uart.sv ] \ 78 | [file normalize $osd_dir/modules/dem_uart/common/osd_dem_uart_16550.sv ] \ 79 | [file normalize $osd_dir/modules/dem_uart/common/osd_dem_uart_nasti.sv ] \ 80 | [file normalize $osd_dir/modules/him/common/osd_him.sv ] \ 81 | [file normalize $osd_dir/modules/scm/common/osd_scm.sv ] \ 82 | [file normalize $osd_dir/modules/mam/common/osd_mam.sv ] \ 83 | [file normalize $osd_dir/modules/stm/common/osd_stm.sv ] \ 84 | [file normalize $osd_dir/modules/ctm/common/osd_ctm.sv ] \ 85 | [file normalize $glip_dir/common/logic/interface/glip_channel.sv ] \ 86 | [file normalize $glip_dir/backend_uart/logic/verilog/glip_uart_control_egress.v ] \ 87 | [file normalize $glip_dir/backend_uart/logic/verilog/glip_uart_control_ingress.v ] \ 88 | [file normalize $glip_dir/backend_uart/logic/verilog/glip_uart_control.v ] \ 89 | [file normalize $glip_dir/backend_uart/logic/verilog/glip_uart_receive.v ] \ 90 | [file normalize $glip_dir/backend_uart/logic/verilog/glip_uart_toplevel.v ] \ 91 | [file normalize $glip_dir/backend_uart/logic/verilog/glip_uart_transmit.v ] \ 92 | [file normalize $glip_dir/common/logic/credit/verilog/debtor.v] \ 93 | [file normalize $glip_dir/common/logic/credit/verilog/creditor.v] \ 94 | [file normalize $glip_dir/common/logic/scaler/verilog/glip_downscale.v] \ 95 | [file normalize $glip_dir/common/logic/scaler/verilog/glip_upscale.v] \ 96 | [file normalize $glip_dir/common/logic/fifo/verilog/oh_fifo_sync.v] \ 97 | [file normalize $glip_dir/common/logic/fifo/verilog/oh_memory_ram.v] \ 98 | [file normalize $glip_dir/common/logic/fifo/verilog/oh_memory_dp.v] \ 99 | [file normalize $pulpino_dir/ips/riscv/alu_div.sv ] \ 100 | [file normalize $pulpino_dir/ips/riscv/alu.sv ] \ 101 | [file normalize $pulpino_dir/ips/riscv/compressed_decoder.sv ] \ 102 | [file normalize $pulpino_dir/ips/riscv/controller.sv ] \ 103 | [file normalize $pulpino_dir/ips/riscv/cs_registers.sv ] \ 104 | [file normalize $pulpino_dir/ips/riscv/debug_unit.sv ] \ 105 | [file normalize $pulpino_dir/ips/riscv/decoder.sv ] \ 106 | [file normalize $pulpino_dir/ips/riscv/exc_controller.sv ] \ 107 | [file normalize $pulpino_dir/ips/riscv/ex_stage.sv ] \ 108 | [file normalize $pulpino_dir/ips/riscv/hwloop_controller.sv ] \ 109 | [file normalize $pulpino_dir/ips/riscv/hwloop_regs.sv ] \ 110 | [file normalize $pulpino_dir/ips/riscv/id_stage.sv ] \ 111 | [file normalize $pulpino_dir/ips/riscv/if_stage.sv ] \ 112 | [file normalize $pulpino_dir/ips/riscv/include/riscv_config.sv ] \ 113 | [file normalize $pulpino_dir/ips/riscv/include/riscv_defines.sv ] \ 114 | [file normalize $pulpino_dir/ips/riscv/load_store_unit.sv ] \ 115 | [file normalize $pulpino_dir/ips/riscv/mult.sv ] \ 116 | [file normalize $pulpino_dir/ips/riscv/prefetch_buffer.sv ] \ 117 | [file normalize $pulpino_dir/ips/riscv/prefetch_L0_buffer.sv ] \ 118 | [file normalize $pulpino_dir/ips/riscv/register_file_ff.sv ] \ 119 | [file normalize $pulpino_dir/ips/riscv/riscv_core.sv ] \ 120 | [file normalize $pulpino_dir/rtl/components/cluster_clock_gating.sv ] \ 121 | [file normalize $pulpino_dir/rtl/includes/config.sv ] \ 122 | [file normalize $minion_dir/software/bootstrap/code.v ] \ 123 | [file normalize $minion_dir/software/bootstrap/data.v ] \ 124 | [file normalize $minion_dir/verilog/coremem.sv ] \ 125 | [file normalize $minion_dir/verilog/minion_soc.sv ] \ 126 | [file normalize $minion_dir/verilog/my_fifo.v ] \ 127 | [file normalize $minion_dir/verilog/sd_cmd_serial_host.v ] \ 128 | [file normalize $minion_dir/verilog/sd_crc_16.v ] \ 129 | [file normalize $minion_dir/verilog/sd_crc_7.v ] \ 130 | [file normalize $minion_dir/verilog/sd_data_serial_host.sv ] \ 131 | [file normalize $minion_dir/verilog/ps2_keyboard.v ] \ 132 | [file normalize $minion_dir/verilog/dualmem.v ] \ 133 | [file normalize $minion_dir/verilog/ps2_defines.v ] \ 134 | [file normalize $minion_dir/verilog/ps2_translation_table.v ] \ 135 | [file normalize $minion_dir/verilog/rx_delay.v ] \ 136 | [file normalize $minion_dir/verilog/fstore2.v ] \ 137 | [file normalize $minion_dir/verilog/ascii_code.v ] \ 138 | [file normalize $minion_dir/verilog/ps2.v ] \ 139 | [file normalize $minion_dir/verilog/sd_defines.h ] \ 140 | [file normalize $minion_dir/verilog/sd_top.sv ] \ 141 | [file normalize $minion_dir/verilog/uart.v ] \ 142 | ] 143 | add_files -norecurse -fileset [get_filesets sources_1] $files 144 | 145 | # add include path 146 | set_property include_dirs [list \ 147 | [file normalize $base_dir/src/main/verilog] \ 148 | [file normalize $origin_dir/src ]\ 149 | [file normalize $origin_dir/generated-src] \ 150 | [file normalize $pulpino_dir/rtl/includes] \ 151 | [file normalize $pulpino_dir/ips/riscv/include] \ 152 | ] [get_filesets sources_1] 153 | 154 | set_property verilog_define [list FPGA FPGA_FULL NEXYS4 PULP_FPGA_EMUL] [get_filesets sources_1] 155 | 156 | # Set 'sources_1' fileset properties 157 | set_property "top" "chip_top" [get_filesets sources_1] 158 | 159 | #UART 160 | create_ip -name axi_uart16550 -vendor xilinx.com -library ip -module_name axi_uart16550_0 161 | set_property -dict [list \ 162 | CONFIG.UART_BOARD_INTERFACE {Custom} \ 163 | CONFIG.C_S_AXI_ACLK_FREQ_HZ_d {25} \ 164 | ] [get_ips axi_uart16550_0] 165 | generate_target {instantiation_template} \ 166 | [get_files $proj_dir/$project_name.srcs/sources_1/ip/axi_uart16550_0/axi_uart16550_0.xci] 167 | 168 | #BRAM Controller 169 | create_ip -name axi_bram_ctrl -vendor xilinx.com -library ip -module_name axi_bram_ctrl_0 170 | set_property -dict [list \ 171 | CONFIG.DATA_WIDTH $io_data_width \ 172 | CONFIG.ID_WIDTH $axi_id_width \ 173 | CONFIG.MEM_DEPTH {32768} \ 174 | CONFIG.PROTOCOL {AXI4} \ 175 | CONFIG.BMG_INSTANCE {EXTERNAL} \ 176 | CONFIG.SINGLE_PORT_BRAM {1} \ 177 | CONFIG.SUPPORTS_NARROW_BURST {1} \ 178 | ] [get_ips axi_bram_ctrl_0] 179 | generate_target {instantiation_template} \ 180 | [get_files $proj_dir/$project_name.srcs/sources_1/ip/axi_bram_ctrl_0/axi_bram_ctrl_0.xci] 181 | 182 | # Memory Controller 183 | create_ip -name mig_7series -vendor xilinx.com -library ip -module_name mig_7series_0 184 | set_property CONFIG.XML_INPUT_FILE [file normalize $origin_dir/script/mig_config.prj] [get_ips mig_7series_0] 185 | generate_target {instantiation_template} \ 186 | [get_files $proj_dir/$project_name.srcs/sources_1/ip/mig_7series_0/mig_7series_0.xci] 187 | 188 | # AXI clock converter due to the clock difference 189 | create_ip -name axi_clock_converter -vendor xilinx.com -library ip -version 2.1 -module_name axi_clock_converter_0 190 | set_property -dict [list \ 191 | CONFIG.ADDR_WIDTH {30} \ 192 | CONFIG.DATA_WIDTH $mem_data_width \ 193 | CONFIG.ID_WIDTH $axi_id_width \ 194 | CONFIG.ACLK_ASYNC {0} \ 195 | CONFIG.ACLK_RATIO {1:2}] \ 196 | [get_ips axi_clock_converter_0] 197 | generate_target {instantiation_template} [get_files $proj_dir/$project_name.srcs/sources_1/ip/axi_clock_converter_0/axi_clock_converter_0.xci] 198 | 199 | # Clock generators 200 | create_ip -name clk_wiz -vendor xilinx.com -library ip -module_name clk_wiz_0 201 | set_property -dict [list \ 202 | CONFIG.PRIMITIVE {PLL} \ 203 | CONFIG.CLKOUT1_REQUESTED_OUT_FREQ {200.000} \ 204 | CONFIG.RESET_TYPE {ACTIVE_LOW} \ 205 | CONFIG.CLKOUT1_DRIVES {BUFG} \ 206 | CONFIG.MMCM_DIVCLK_DIVIDE {1} \ 207 | CONFIG.MMCM_CLKFBOUT_MULT_F {10} \ 208 | CONFIG.MMCM_COMPENSATION {ZHOLD} \ 209 | CONFIG.MMCM_CLKOUT0_DIVIDE_F {5} \ 210 | CONFIG.RESET_PORT {resetn} \ 211 | CONFIG.CLKOUT1_JITTER {114.829} \ 212 | CONFIG.CLKOUT1_PHASE_ERROR {98.575} \ 213 | CONFIG.CLKOUT2_DRIVES {BUFG} \ 214 | CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {60.000} \ 215 | CONFIG.CLKOUT2_USED {1} \ 216 | CONFIG.CLK_OUT2_PORT {clk_io_uart} \ 217 | CONFIG.CLKOUT3_DRIVES {BUFG} \ 218 | CONFIG.CLKOUT3_REQUESTED_OUT_FREQ {120.000} \ 219 | CONFIG.CLKOUT3_USED {1} \ 220 | CONFIG.CLK_OUT3_PORT {clk_pixel}] \ 221 | [get_ips clk_wiz_0] 222 | generate_target {instantiation_template} [get_files $proj_dir/$project_name.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci] 223 | #SD-card clock generator 224 | create_ip -name clk_wiz -vendor xilinx.com -library ip -module_name clk_wiz_1 225 | set_property -dict [list \ 226 | CONFIG.PRIMITIVE {MMCM} \ 227 | CONFIG.USE_DYN_RECONFIG {true} \ 228 | CONFIG.INTERFACE_SELECTION {Enable_DRP} \ 229 | CONFIG.PRIM_IN_FREQ {25.000} \ 230 | CONFIG.CLK_OUT1_PORT {clk_sdclk} \ 231 | CONFIG.CLKOUT1_REQUESTED_OUT_FREQ {5.000} \ 232 | CONFIG.PHASE_DUTY_CONFIG {false} \ 233 | CONFIG.CLKIN1_JITTER_PS {400.0} \ 234 | CONFIG.CLKOUT1_DRIVES {BUFG} \ 235 | CONFIG.CLKOUT2_DRIVES {BUFG} \ 236 | CONFIG.CLKOUT3_DRIVES {BUFG} \ 237 | CONFIG.CLKOUT4_DRIVES {BUFG} \ 238 | CONFIG.CLKOUT5_DRIVES {BUFG} \ 239 | CONFIG.CLKOUT6_DRIVES {BUFG} \ 240 | CONFIG.CLKOUT7_DRIVES {BUFG} \ 241 | CONFIG.FEEDBACK_SOURCE {FDBK_AUTO} \ 242 | CONFIG.MMCM_DIVCLK_DIVIDE {1} \ 243 | CONFIG.MMCM_CLKFBOUT_MULT_F {25.500} \ 244 | CONFIG.MMCM_CLKIN1_PERIOD {40.0} \ 245 | CONFIG.MMCM_COMPENSATION {ZHOLD} \ 246 | CONFIG.MMCM_CLKOUT0_DIVIDE_F {127.500} \ 247 | CONFIG.CLKOUT1_JITTER {652.674} \ 248 | CONFIG.CLKOUT1_PHASE_ERROR {319.966}] [get_ips clk_wiz_1] 249 | generate_target {instantiation_template} [get_files $proj_dir/$project_name.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xci] 250 | 251 | # SPI interface for R/W SD card 252 | create_ip -name axi_quad_spi -vendor xilinx.com -library ip -module_name axi_quad_spi_0 253 | set_property -dict [list \ 254 | CONFIG.C_USE_STARTUP {0} \ 255 | CONFIG.C_SCK_RATIO {2} \ 256 | CONFIG.C_NUM_TRANSFER_BITS {8}] \ 257 | [get_ips axi_quad_spi_0] 258 | generate_target {instantiation_template} [get_files $proj_dir/$project_name.srcs/sources_1/ip/axi_quad_spi_0/axi_quad_spi_0.xci] 259 | 260 | # Quad SPI interface for XIP SPI Flash 261 | create_ip -name axi_quad_spi -vendor xilinx.com -library ip -module_name axi_quad_spi_1 262 | set_property -dict [list \ 263 | CONFIG.C_USE_STARTUP {1} \ 264 | CONFIG.C_SPI_MEMORY {3} \ 265 | CONFIG.C_SPI_MODE {2} \ 266 | CONFIG.C_XIP_MODE {1} \ 267 | CONFIG.C_SPI_MEM_ADDR_BITS {32} \ 268 | CONFIG.C_S_AXI4_ID_WIDTH $axi_id_width \ 269 | CONFIG.C_SCK_RATIO {2} \ 270 | CONFIG.C_TYPE_OF_AXI4_INTERFACE {1}] \ 271 | [get_ips axi_quad_spi_1] 272 | generate_target {instantiation_template} [get_files $proj_dir/$project_name.srcs/sources_1/ip/axi_quad_spi_1/axi_quad_spi_1.xci] 273 | 274 | # Create 'constrs_1' fileset (if not found) 275 | if {[string equal [get_filesets -quiet constrs_1] ""]} { 276 | create_fileset -constrset constrs_1 277 | } 278 | 279 | # Set 'constrs_1' fileset object 280 | set obj [get_filesets constrs_1] 281 | 282 | # Add/Import constrs file and set constrs file properties 283 | set files [list [file normalize "$origin_dir/constraint/pin_plan.xdc"] \ 284 | [file normalize "$origin_dir/constraint/timing.xdc"] \ 285 | [file normalize "$minion_dir/vivado/srcs/video_keyboard_io_nexys4ddr.xdc"]] 286 | set file_added [add_files -norecurse -fileset $obj $files] 287 | 288 | # generate all IP source code 289 | generate_target all [get_ips] 290 | 291 | # force create the synth_1 path (need to make soft link in Makefile) 292 | launch_runs -scripts_only synth_1 293 | 294 | 295 | # Create 'sim_1' fileset (if not found) 296 | if {[string equal [get_filesets -quiet sim_1] ""]} { 297 | create_fileset -simset sim_1 298 | } 299 | 300 | # Set 'sim_1' fileset object 301 | set obj [get_filesets sim_1] 302 | set files [list \ 303 | [file normalize $base_dir/src/test/verilog/host_behav.sv] \ 304 | [file normalize $base_dir/src/test/verilog/nasti_ram_behav.sv] \ 305 | [file normalize $base_dir/src/test/verilog/chip_top_tb.sv] \ 306 | [file normalize $proj_dir/$project_name.srcs/sources_1/ip/mig_7series_0/mig_7series_0/example_design/sim/ddr2_model.v] \ 307 | [file normalize $base_dir/opensocdebug/glip/src/backend_tcp/logic/dpi/glip_tcp_toplevel.sv] \ 308 | ] 309 | add_files -norecurse -fileset $obj $files 310 | 311 | # add include path 312 | set_property include_dirs [list \ 313 | [file normalize $base_dir/src/main/verilog] \ 314 | [file normalize $origin_dir/src] \ 315 | [file normalize $origin_dir/generated-src] \ 316 | [file normalize $pulpino_dir/rtl/includes] \ 317 | [file normalize $pulpino_dir/ips/riscv/include] \ 318 | [file normalize $proj_dir/$project_name.srcs/sources_1/ip/mig_7series_0/mig_7series_0/example_design/sim] \ 319 | ] $obj 320 | #set_property verilog_define [list FPGA FPGA_FULL NEXYS4 PULP_FPGA_EMUL] $obj 321 | set_property verilog_define [list FPGA] $obj 322 | 323 | set_property -name {xsim.elaborate.xelab.more_options} -value {-cc gcc -sv_lib dpi} -objects $obj 324 | set_property "top" "tb" $obj 325 | 326 | # force create the sim_1/behav path (need to make soft link in Makefile) 327 | launch_simulation -scripts_only 328 | 329 | # suppress some not very useful messages 330 | # warning partial connection 331 | set_msg_config -id "\[Synth 8-350\]" -suppress 332 | # info do synthesis 333 | set_msg_config -id "\[Synth 8-256\]" -suppress 334 | set_msg_config -id "\[Synth 8-638\]" -suppress 335 | # BRAM mapped to LUT due to optimization 336 | set_msg_config -id "\[Synth 8-3969\]" -suppress 337 | # BRAM with no output register 338 | set_msg_config -id "\[Synth 8-4480\]" -suppress 339 | # DSP without input pipelining 340 | set_msg_config -id "\[Drc 23-20\]" -suppress 341 | # Update IP version 342 | set_msg_config -id "\[Netlist 29-345\]" -suppress 343 | 344 | 345 | # do not flatten design 346 | set_property STEPS.SYNTH_DESIGN.ARGS.FLATTEN_HIERARCHY none [get_runs synth_1] 347 | -------------------------------------------------------------------------------- /script/mig_config.prj: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | mig_7series_0 5 | 1 6 | 1 7 | OFF 8 | 1024 9 | OFF 10 | Disabled 11 | xc7a100t-csg324/-1 12 | 2.4 13 | No Buffer 14 | Use System Clock 15 | ACTIVE LOW 16 | FALSE 17 | 1 18 | 50 Ohms 19 | 0 20 | 21 | DDR2_SDRAM/Components/MT47H64M16HR-25E 22 | 5000 23 | 1.8V 24 | 4:1 25 | 200 26 | 1 27 | 1200 28 | 48.000 29 | 1 30 | 1 31 | 1 32 | 1 33 | 16 34 | 1 35 | 1 36 | Disabled 37 | Normal 38 | FALSE 39 | 40 | 13 41 | 10 42 | 3 43 | BANK_ROW_COLUMN 44 | 45 | 46 | 47 | 48 | 49 | 50 | 51 | 52 | 53 | 54 | 55 | 56 | 57 | 58 | 59 | 60 | 61 | 62 | 63 | 64 | 65 | 66 | 67 | 68 | 69 | 70 | 71 | 72 | 73 | 74 | 75 | 76 | 77 | 78 | 79 | 80 | 81 | 82 | 83 | 84 | 85 | 86 | 87 | 88 | 89 | 90 | 91 | 92 | 93 | 94 | 95 | 96 | 97 | 98 | 99 | 100 | 8 101 | Sequential 102 | 3 103 | Normal 104 | No 105 | Fast exit 106 | 3 107 | Enable-Normal 108 | Fullstrength 109 | Enable 110 | 1 111 | 50ohms 112 | 0 113 | OCD Exit 114 | Enable 115 | Disable 116 | Enable 117 | AXI 118 | 119 | RD_PRI_REG 120 | 27 121 | 64 122 | 8 123 | 0 124 | 125 | 126 | 127 | 128 | -------------------------------------------------------------------------------- /script/simulate.tcl: -------------------------------------------------------------------------------- 1 | open_vcd lowrisc-chip.vcd 2 | log_vcd -level 10 3 | start_vcd 4 | #run 200 us 5 | run -all 6 | stop_vcd 7 | quit 8 | -------------------------------------------------------------------------------- /src/boot.mem: -------------------------------------------------------------------------------- 1 | 0000009330529073ee02b28300009297 2 | 00000293000002130000019300000113 3 | 00000493000004130000039300000313 4 | 00000693000006130000059300000513 5 | 00000893000008130000079300000713 6 | 00000a9300000a130000099300000913 7 | 00000c9300000c1300000b9300000b13 8 | 00000e9300000e1300000d9300000d13 9 | 3002a073000062b700000f9300000f13 10 | 0002c663f10022f33002a073000182b7 11 | 00018337300022f361d0106f4d200513 12 | 000063377a63aa230000b3970062f333 13 | f000005300301073080304630062f333 14 | f0000253f00001d3f0000153f00000d3 15 | f0000453f00003d3f0000353f00002d3 16 | f0000653f00005d3f0000553f00004d3 17 | f0000853f00007d3f0000753f00006d3 18 | f0000a53f00009d3f0000953f00008d3 19 | f0000c53f0000bd3f0000b53f0000ad3 20 | f0000e53f0000dd3f0000d53f0000cd3 21 | 00009197f0000fd3f0000f53f0000ed3 22 | fc027213db42320300009217d9c1b183 23 | 00c5161300b5706300100593f1402573 24 | 0041013300c111130015011300c20233 25 | 0021382300113423ef0101132a00206f 26 | 02613823025134230241302300313c23 27 | 04a13823049134230481302302713c23 28 | 06e1382306d1342306c1302304b13c23 29 | 09213823091134230901302306f13c23 30 | 0b6138230b5134230b41302309313c23 31 | 0da138230d9134230d8130230b713c23 32 | 0fe138230fd134230fc130230db13c23 33 | 00010613341025f3342025730ff13c23 34 | 8002829b000022b734151073631010ef 35 | 0181318301013103008130833002a073 36 | 03813383030133030281328302013203 37 | 05813583050135030481348304013403 38 | 07813783070137030681368306013603 39 | 09813983090139030881388308013803 40 | 0b813b830b013b030a813a830a013a03 41 | 0d813d830d013d030c813c830c013c03 42 | 0f813f830f013f030e813e830e013e03 43 | 000798630005c7833020007311010113 44 | 000547030000806740f5053b00054503 45 | fddff06f0015859300150513fef718e3 46 | ff01011304079c630c87a7830000b797 47 | 0000b51727c58593000095970ff57613 48 | 020500633ec040ef001134230b450513 49 | 00100513481010efc505051300009517 50 | 00100793000080670101011300813083 51 | fe5ff06f0000051306f72c230000b717 52 | 0000051306f722230000b71700100793 53 | 0081382300100613fe01011300008067 54 | 41c040ef0005849300113c2300913423 55 | 00009517020504630004859300050413 56 | 0004051301813083415010efc0450513 57 | 00008067020101130101340300813483 58 | fe010113fddff06fbf85051300009517 59 | 0005849300113c230091342300813823 60 | 0205046300048593000504131f8050ef 61 | 018130833c1010efbb05051300009517 62 | 02010113010134030081348300040513 63 | fddff06fba4505130000951700008067 64 | 03313c230491342304813823fa010113 65 | 035134230521302304113c2303413823 66 | 00009a17011109930081049300050413 67 | 00a45a830004051300048593b7ca0a13 68 | 25c050ef0004b8230004b4230004b023 69 | 000a0513000986130005091300a45583 70 | fc0904e30157846300a45783339010ef 71 | 04013903048134830501340305813083 72 | 0601011302813a8303013a0303813983 73 | 1e0050ef00113423ff01011300008067 74 | 2ed010efb1c505130000951702050063 75 | 00008067010101130081308300100513 76 | 08813023f7010113ff1ff06f00000513 77 | 07413023073134230721382306913c23 78 | 00000413000509930811342305513c23 79 | 0000991703f00a930101049300c10a13 80 | 00048593000a8613000a0693adc90913 81 | 00c1278302051c634a0040ef00098513 82 | 0207d793050107130207979302078863 83 | fc078023000905130004859300f707b3 84 | fb9ff06f00f4043b00c12783259010ef 85 | 23d010efa8c505130000951700040593 86 | 07013903078134830801340308813083 87 | 0901011305813a8306013a0306813983 88 | 2b1040ef00113423ff01011300008067 89 | 1fd010efa5c505130000951702050063 90 | 00008067010101130081308300100513 91 | df07a7830000b797ff1ff06f00000513 92 | 0000959700100613ff01011304078663 93 | 118040ef0011342300000513fa458593 94 | 1ad010efa24505130000951702050063 95 | 00008067010101130081308300100513 96 | 0000b797fedff06fda07a4230000b797 97 | 22913c23db01011300008067d807ae23 98 | d807a7830000b7972481302324113423 99 | 00010513000485930407806300050493 100 | e7dff0ef0001051302051e63d15ff0ef 101 | 0205126300050413f31ff0ef00010513 102 | 12d010ef9bc505130000951700048593 103 | fa050ee3c69ff0ef001005130140006f 104 | 23813483000405132481308300100413 105 | fb010113000080672501011324013403 106 | 0000b797048130230411342302913c23 107 | 008104130407826300050493cfc7a783 108 | 02051e63ce5ff0ef0004051300048593 109 | db9ff0ef00040513d2dff0ef00040513 110 | 00009517000485930205126300050413 111 | 001005130140006f0a5010ef93450513 112 | 0481308300100413fa050ce3be1ff0ef 113 | 05010113040134030381348300040513 114 | 00e7c7b3003557930015571300008067 115 | fff7c79300a7c7b30045551300a7c7b3 116 | f90101130000806700e7e53303f79793 117 | 0521382304913c230681302306113423 118 | 0371342303513c230541302305313423 119 | 01b1342301a1382301913c2303813023 120 | 184020ef00050b93180020ef03613823 121 | 898505130000951700355a13fff50513 122 | 00000a9300000913000009937f8010ef 123 | 890d0d1300009d170000049300000413 124 | 8a8d8d9300009d9701000c9300f00c13 125 | 7bc010ef000d051300048593000a8613 126 | 0157b02300fb87b30034979300004b37 127 | f29ff0ef000a851300148493069a0663 128 | 013c37b3fc0b1ee300050a93fffb0b1b 129 | 00090613fb999ce300f989bb0017c793 130 | 00004b37770010ef000d851300040593 131 | 032584630007b58300fb87b300341793 132 | 85050513000095170004061300090693 133 | 00000493678010ef00100513748010ef 134 | 0009051300140413028a0063f99ff06f 135 | fa0b1ae300050913fffb0b1beb5ff0ef 136 | ff010113fe5ff06f00000413f51ff06f 137 | 00002737300027f3070020ef00113423 138 | 341510733007907300e7e7b388070713 139 | 00008067010101130081308330200073 140 | 056130230541382307213023f8010113 141 | 069134230681382306113c2303813823 142 | 0391342303713c230551342305313c23 143 | 00050a1300c020ef01b13c2303a13023 144 | 7c8c0c1300008c1700100b1300000913 145 | 002c9c93017b1cbb00090b9b01900793 146 | 0019091307749e630000049300090d13 147 | 00008c170010091300000d13fef912e3 148 | 002a9a9301391abb000d099b7e4c0c13 149 | 001d0d130d3c906300000c93000d0b13 150 | 0701340307813083fefd10e301900793 151 | 05013a03058139830601390306813483 152 | 03013c0303813b8304013b0304813a83 153 | 0801011301813d8302013d0302813c83 154 | 019a8ab3002a9a93009b1abb00008067 155 | 0014849b013498630000099300000d93 156 | 00241413013b143bf65ff06f001d0d13 157 | 008a043300f134230154043301ad8533 158 | 000407130009869300a13023d45ff0ef 159 | 59c010ef000c0513000b859300048613 160 | 01042023001d8d930019899b00013803 161 | 00249493019914bbfa5ff06f00813783 162 | 01bc986300000d9300000b93015484b3 163 | 01b9143bf21ff06f001b0b13001c8c9b 164 | cd9ff0ef00940433017b053300241413 165 | 00040713000985930005089b008a0433 166 | 6905051300008517000c8613000d8693 167 | 0001388300042583524010ef01113023 168 | 50c010ef000c05130008861300b88863 169 | 00008797f95ff06f001b8b93001d8d9b 170 | 2347879b000017b7000785134ac7b783 171 | 0000079300078513042007938ff79073 172 | 0010079300078513017007938ff79073 173 | 0007851347c7b783000087978ff79073 174 | 000080678ff79073bcd787930000b7b7 175 | 658505130000851700050593fe010113 176 | 00813583490010ef00b1342300113c23 177 | 00050a63609010ef0175151310d00513 178 | 46c010ef644505130000851700050593 179 | 01813083460010ef6585051300008517 180 | 00113423ff010113d49ff06f02010113 181 | 00008517000504135c5010ef00813023 182 | 0040053700004737434010ef64c50513 183 | 0177979300a405333457071310d00793 184 | 00850513001787930005368301171713 185 | f55ff0ef00500537fee798e3fed78fa3 186 | 00008067010101130001340300813083 187 | 608505130000851700a13423cb010113 188 | 3321382332913c233481302334113423 189 | 3161382331513c233341302333313423 190 | 008135833b0010ef00012e2331713423 191 | 9f0505130000b51708078e630005c783 192 | 0000059304000613020104937d5070ef 193 | 7907a7830000a7976e5070ef00048513 194 | 5e858593000085970d01091306078e63 195 | 0a05006300050413f20ff0ef00090513 196 | 62050513000085179a8585930000b597 197 | 990585930000b59700100613348010ef 198 | 0000041300050993325030ef00090513 199 | 00008517974585930000b5970c050c63 200 | 0200006f00098413314010ef60450513 201 | 00100513f61ff06f5705859300008597 202 | 34813083f6050ee300100413e40ff0ef 203 | 33013903340134033381348300040513 204 | 31013b0331813a8332013a0332813983 205 | 01c10693000080673501011330813b83 206 | 4c9030ef000905130004859303f00613 207 | 02e41a63020006930004879301c12703 208 | 28c010ef51c505130000851700048593 209 | 00008517f20504e3328040ef00090513 210 | f81ff06f00100413274010ef52c50513 211 | 0014041b0007802300d614630007c603 212 | 01c10b1310d00993fb9ff06f00178793 213 | 5d8a8a9300008a9700001bb701799993 214 | 000b06930205d5930204159300800a13 215 | 439030ef00090513013585b3000b8613 216 | 00fa87b30037f79300c4579b02051663 217 | 1e9010ef000a05131f1010ef0007c503 218 | 00090513fa079ee300f4043b01c12783 219 | 830585930000b59700050e6328c040ef 220 | f5dff06f1d0010ef4d85051300008517 221 | 0000b697000407130179999310d00993 222 | 00008517000405930009861380c68693 223 | 00090513060109131a4010ef4c450513 224 | 0009859302065613020416132a1070ef 225 | 1e1070ef00090513125070ef00090513 226 | 00a13423000485932c1070ef00090513 227 | 0004859300050c6300813603c88ff0ef 228 | e61ff06f150010ef4b85051300008517 229 | 13c010ef4c4505130000851700060593 230 | 0121302300813823fe010113e4dff06f 231 | 00a00913000504130091342300113c23 232 | 0f9010ef00140413000504931a1010ef 233 | 01813083fe040fa3ff2496e3fe940fa3 234 | 02010113000139030081348301013403 235 | 0005041302813823fc01011300008067 236 | 0291342301313c2302113c2300000513 237 | 01513423014138230321302300058993 238 | 0006859300100693495050ef01613023 239 | 00100513491050ef0000051300068613 240 | 000005934c9050ef200005134bd050ef 241 | ff07b783000087975a5050ef1d400513 242 | 030134030381308302078a630307a783 243 | 01013a03018139830201390302813483 244 | 3f0505130000851700013b0300813a83 245 | 000005930000061366c0506f04010113 246 | 1aa005930010061330d060ef00000513 247 | 00100913065004932fd060ef00800513 248 | 0009061302900b1340300ab703700a13 249 | 000906132d9060ef000a051300000593 250 | 000546632c9060ef000b0513000a8593 251 | 0000059300300613fc049ce3fff4849b 252 | 00000593001006132ad060ef00200513 253 | 000085170005049329d060ef00300513 254 | 00048513008005935dc050ef37450513 255 | 00048593554050ef00a00513644050ef 256 | 00048593269060ef0090051300300613 257 | 00048593259060ef00d0051300100613 258 | 00048593249060ef0070051300100613 259 | 00100613239060ef0370051300100613 260 | 00048593229060ef0330051300000593 261 | 00100613219060ef0370051300100613 262 | 00100613209060ef00d0051300000593 263 | 1f5060ef0004041b0100051320000593 264 | 01100a9301500a130004091300000493 265 | 030134030381308302f9f663009907b3 266 | 01013a03018139830201390302813483 267 | 000080670401011300013b0300813a83 268 | 1a5060ef000a8513000a061300040593 269 | 0005b023fb9ff06f0014041b00148493 270 | 02000313005008130090089300150693 271 | 0000806700079463fff68513fff6c783 272 | 0005b70302e8e0630ff77713fd07871b 273 | 00f5b02300f707b3fd07071302e60733 274 | 0ff77713fbf7871bfcdff06f00168693 275 | fc97071302e607330005b70300e86a63 276 | 00e86a630ff77713f9f7871bfd9ff06f 277 | fbdff06ffa97071302e607330005b703 278 | fe0101130000806700068513fc6780e3 279 | 00113c23008105930005841300813823 280 | 0181308300a4302300040463f5dff0ef 281 | 00008067020101130101340300813503 282 | 0321382302913c2304813023fb010113 283 | 0161382301513c230341302303313423 284 | 00050493041134230181302301713423 285 | 00400b9300a00b1300d00a9300000413 286 | 2000099300200a130200091303a00c13 287 | 350050ef000a85130207986301f47793 288 | 00040513000b8593348050ef000b0513 289 | 00090513334050ef000c0513424050ef 290 | 0014041b000a05930004c50332c050ef 291 | 00148493314050ef00090513404050ef 292 | 04813083304050ef00d00513fb3418e3 293 | 02813983030139030381348304013403 294 | 00813b8301013b0301813a8302013a03 295 | 2d00506f0501011300a0051300013c03 296 | 249134232481382324113c23da010113 297 | 235134232341382323313c2325213023 298 | 12f7686368e786630570071300054783 299 | 0430071304f764633ee7886304900713 300 | 26e78e630040071302f762632ee78063 301 | 25850513000085172ae78a6304200713 302 | 2ee78a63044007132640006f4b9000ef 303 | 24c0006f851ff0effee792e304600713 304 | 04a0071300f76e6346e78e6305000713 305 | da4ff0effce790e304d0071340e78463 306 | 054007135ae78263053007132280006f 307 | 01000613fae790e30520071360e78063 308 | 01013783d95ff0ef0015051301010593 309 | 00f13823ffc7f7930181059301000613 310 | 0080041302000913d79ff0ef05200493 311 | 018137830101370300a00a1303a00993 312 | 000905131c4050ef000485131ce7e463 313 | 298050ef00040593010125031bc050ef 314 | 538050ef010135031a8050ef00098513 315 | 02f13023000405930207d79302051793 316 | 01013783184050ef000a0513274050ef 317 | 06c00713fa1ff06f00f1382300478793 318 | 20e780630660071314f7646334e78063 319 | 064007131ae78063063007130ef76a63 320 | f505051300008517400005b7ece79ce3 321 | 00008517fff58593000205b7389000ef 322 | 01f5959300100593375000eff6450513 323 | 078005b7361000eff785051300008517 324 | 34d000eff845051300008517fff58593 325 | 00001437f945051300008517000035b7 326 | 0000851700040593fff40413339000ef 327 | 00008517000025b7325000effa450513 328 | 0000851700040593315000effbc50513 329 | 00008517400205b7305000effcc50513 330 | fff404132f1000ef00002437fdc50513 331 | 2dd000effec505130000851700040593 332 | 2cd000ef004505130000851700000593 333 | 2bd000ef01c505130000851700040593 334 | 0690071310e78863068007130680006f 335 | 001505130101059301000613dee794e3 336 | bd1ff0ef0181059301000613bddff0ef 337 | 00a13c23000794630101350301813783 338 | 072007130200006f991ff0ef01813583 339 | 22e7806306d0071302f76c6324e78e63 340 | 2501340325813083d8e79ae307100713 341 | 23013a03238139832401390324813483 342 | 07400713000080672601011322813a83 343 | d4e79ee3075007132ae7ec6338e78063 344 | e24ff0ef00150513fc5ff06f838ff0ef 345 | fadff06f7a4050effb5ff06fd60ff0ef 346 | b31ff0ef001505130201059301000613 347 | 791040ef02000513799040ef04300513 348 | 00a0051306c050ef0080059302012503 349 | f6dff06f51c050ef0201250377d040ef 350 | 00150513f61ff06f8b8ff0ef00150513 351 | 0101059301000613f55ff06f828ff0ef 352 | 0181059301000613ad9ff0ef00150513 353 | 068009132000049302010413acdff0ef 354 | 0101250303a00a9300800a1302000993 355 | 040512635bc060ef0004059300048613 356 | 701040ef000905130407986301813783 357 | 000a0593010125036f9040ef00098513 358 | 000405136e5040ef000a85137d5040ef 359 | 00f138230017879301013783b31ff0ef 360 | fa0790e3ece7e0e30101370301813783 361 | 2c4070ef0004051300048593eb9ff06f 362 | 001505130101059301000613fd5ff06f 363 | a21ff0ef0181059301000613a2dff0ef 364 | 00f13c23010137830007966301813783 365 | 2000049302010413010137835ac060ef 366 | 00a7f8630181378300c1250300f12623 367 | 00048613e51ff06fbedfe0ef00000513 368 | 0017879b00c127833f4060ef00040593 369 | e2dff06f974ff0effd1ff06f00f12623 370 | 9b1ff0ef001505130201059301000613 371 | 611040ef02000513619040ef06c00513 372 | 00a005136ed040ef0020059302012503 373 | 0000a797354050ef020125035fd040ef 374 | db0505130000851700078a63c4c7a783 375 | f79ff06f00100513dd5ff06f651040ef 376 | 01000613dc1ff06fc2cff0ef00150513 377 | 01013783945ff0ef0015051301010593 378 | 00f13823ffc7f7930181059301000613 379 | 0080041302000913929ff0ef07200493 380 | 018137830101370300a00a1303a00993 381 | 00090513575040ef00048513d6e7ece3 382 | 649040ef000405930101250356d040ef 383 | 0004059301013783559040ef00098513 384 | 02f130230207d793020517930007a503 385 | 01013783535040ef000a0513625040ef 386 | 01000613fa1ff06f00f1382300478793 387 | 000504138a5ff0ef0015051301010593 388 | 501040ef02000513509040ef07300513 389 | 010006135dd040ef0020059301012503 390 | 00050413875ff0ef0004051301810593 391 | 00800593018125034d9040ef02c00513 392 | 0004051302010593010006135b5040ef 393 | 020125034b5040ef02c0051384dff0ef 394 | 4a1040ef00a00513591040ef00100593 395 | 1b4060ef010125030181258302012603 396 | 05300513c81ff06f489040ef00a00513 397 | 22010793475040ef0200051347d040ef 398 | fd5ff06f54d040efdec7851b00800593 399 | fe0ff0ef001505130201059301000613 400 | 441040ef02000513449040ef07400513 401 | 00a0051351d040ef0080059302012503 402 | c1dff06f2c0050ef0201250342d040ef 403 | 001505130101059301000613e1dfe0ef 404 | 401040ef0570051300050413f9cff0ef 405 | 00800593010125033f9040ef02000513 406 | 0004051302010593010006134d5040ef 407 | 020125033d5040ef02c00513f6cff0ef 408 | 3c1040ef00a005134b1040ef00800593 409 | 70d040ef000006130101350302012583 410 | 0005b78300a780230005b783ba9ff06f 411 | e80101130000806700f5b02300178793 412 | 15613023155134231541382316913423 413 | 16113c2311b13c231381382313713c23 414 | 1391342315313c231721302316813823 415 | 00060a9300058a1300050d9313a13023 416 | 03000b1300900c1306f00b9300068493 417 | 001a869308851663000ac50302500413 418 | 0009841302000d1300068713fff00993 419 | 06c00893064008130390059300000793 420 | 02e00e9302a0061300a00e1303100313 421 | 023003930300029302d00f9302d00f13 422 | 0e657e6306a5e86300170a9300074503 423 | 025007930475026304a6686312c50063 424 | 00d1302302500513000a05932cf50663 425 | 00068a930004891300013683000d80e7 426 | 001a8a93000a05932a050c630600006f 427 | 000a871300028d13f61ff06f000d80e7 428 | fbe51ce3ff6508e30fd50263fa1ff06f 429 | 02abe6631f750863fe9ff06f000f8d13 430 | f8f51ce3063007930d15086319050263 431 | 000d80e700848913000a05930004a503 432 | 0ae5086307300713f0dff06f00090493 433 | 000a0593f6f516e30700079302a76863 434 | 07800513000a0593000d80e7000b0513 435 | 0240006f0084891301000993000d80e7 436 | 010009930780071316e50e6307500713 437 | 16f75a630084891300100713f2e51ae3 438 | 03c989bb000009931280006f0004b483 439 | fd07071b0007051300a989bb000ac703 440 | fe1ff06f001a8a9300ec6a63fd09899b 441 | 00098413f20452e3008484930004a983 442 | 00000413f0045ae3f19ff06ffff00993 443 | 00848913f05ff06f0017879bf0dff06f 444 | 9f04849300008497000496630004b483 445 | 060514630004c503fff00d1302804663 446 | 00048513000a0593f0805ee302000493 447 | 02d00793fedff06ffff4041b000d80e7 448 | 178070ef0004851300098593fcfd0ae3 449 | 0004079301904c6300040c9340a4043b 450 | fa9ff06f40f4043b0000079300045463 451 | fffc8c9b000d80e7000d0513000a0593 452 | f9a98ae3fff9899b0009c663fd9ff06f 453 | fff4041b00148493000d80e7000a0593 454 | 04f754630084891300100713f79ff06f 455 | 000a05930004da6300a009930004b483 456 | 01010713409004b3000d80e702d00513 457 | 001c8c9b0334f63300e1302300000c93 458 | 0334d4b30534e263fec72e2300470713 459 | fb9ff06f0004a483fa079ee3fe9ff06f 460 | e89ff06f00800993e91ff06f00a00993 461 | 000a0593fb5ff06f0004e483e60794e3 462 | fe8cc8e3fff4041b000d80e7000d0513 463 | fff009930000041300249493fffc849b 464 | ffc00793df3c8ce3fffc8c9b05700713 465 | 00f687b3009787b30001368302f407b3 466 | 0007079300ac7463000b07930007a503 467 | 000d80e700e1342300f5053b000a0593 468 | 000a0593fbdff06f0081370300140413 469 | 17813083da9ff06f00048913000d80e7 470 | 15813983160139031681348317013403 471 | 13813b8314013b0314813a8315013a03 472 | 11813d8312013d0312813c8313013c03 473 | fc0101130a0500630000806718010113 474 | 81858593000085970281382300810693 475 | 02113c23000685130210061300050413 476 | 00900813000007930005069341d060ef 477 | 00f47513ff0005930570031303000893 478 | 00f686330003071300a8546300088713 479 | 40445413fff7879300e60ea300a7073b 480 | 300027f3199000ef00068513fcb79ee3 481 | 3007907300e7e7b38807071300002737 482 | 03013403038130833020007334105073 483 | 00002737300027f30000806704010113 484 | 341050733007907300e7e7b388070713 485 | 00058513000508930000806730200073 486 | 00008067000000730006861300060593 487 | 0007a7030002079300813023ff010113 488 | 00e787b300d7a0230017069b00113423 489 | 0400079300f5066300a0079304a78023 490 | 00100593040406130002041300f69e63 491 | 0081308300042023fa5ff0ef04000513 492 | 00008067010101130001340300000513 493 | 000006130000069300050593ff010113 494 | 0000006ff71ff0ef0011342305d00513 495 | 4d200513000006130000069300050593 496 | 00a1342300113c23fe010113f59ff06f 497 | 000506930181308300813603599060ef 498 | f2dff06f020101130400051300100593 499 | 00050713fd0101130000806700051063 500 | 03000313009008930000079302113423 501 | 00f77813ff0005930081051305700e13 502 | 00f50633000e06930108f46300030693 503 | 00475713fff7879300d607a3010686bb 504 | 02813083f7dff0ef00010c23fcb79ee3 505 | 02b13423fa0101130000806703010113 506 | 028106930005061302d13c2302c13823 507 | 00113c2300000593ec05051300000517 508 | 05113c230501382304f1342304e13023 509 | 00000513018130839e9ff0ef00d13423 510 | 02813023fa0101130000806706010113 511 | 0005041302d13c2302c1382300a13423 512 | 99c50513000005170005861303010693 513 | 04e1302304f134230211342300810593 514 | 991ff0ef00d13c2305113c2305013823 515 | 02813083008135030007802300813783 516 | 0000806706010113020134034085053b 517 | 021134230121382302813023fd010113 518 | 00058913002007130131342300913c23 519 | 02e51c63cc002573008007ef00060413 520 | 02f7186300f777b30005a7830007a703 521 | 01813483040438230049051302813083 522 | 03010113008139830101390302013403 523 | 000506130ef5066300b0079300008067 524 | 59050513000095175405859300007597 525 | 6c4000ef5845051300009517f19ff0ef 526 | 0000951752c585930000759700090613 527 | 5605051300009517ef5ff0ef56c50513 528 | 5185859300007597343025736a0000ef 529 | ecdff0ef544505130000951700050613 530 | 00092603678000ef5385051300009517 531 | 52050513000095175005859300007597 532 | 654000ef5145051300009517ea9ff0ef 533 | 000095174ec585930000759701043603 534 | 4f05051300009517e85ff0ef4fc50513 535 | 4d0585930000759702043603630000ef 536 | 00009517e61ff0ef4d85051300009517 537 | bfdff0ef5390051360c000ef4cc50513 538 | 00e7966305d0071308863783ef1ff06f 539 | 24e79a634d200713fe9ff06f05063503 540 | 4907879300009797f000257305062703 541 | 0000769740d505331007b68300071c63 542 | f020257310a7b02318d7b82347468693 543 | 0000769740d505331087b68300071c63 544 | cc00257310a7b42318d7bc2345c68693 545 | 0000769740d505331107b68300071c63 546 | cc10257310a7b8231ad7b02344c68693 547 | 0000769740d505331187b68300071c63 548 | cc20257310a7bc231ad7b42343468693 549 | 0000769740d505331207b68300071c63 550 | cc30257312a7b0231ad7b82341c68693 551 | 0000769740d505331287b68300071c63 552 | cc40257312a7b4231ad7bc2340468693 553 | 0000769740d505331307b68300071c63 554 | cc50257312a7b8231cd7b0233ec68693 555 | 0000769740d505331387b68300071c63 556 | cc60257312a7bc231cd7b4233d468693 557 | 0000769740d505331407b68300071c63 558 | cc70257314a7b0231cd7b8233bc68693 559 | 0000769740d505331487b68300071c63 560 | cc80257314a7b4231cd7bc233a468693 561 | 0000769740d505331507b68300071c63 562 | cc90257314a7b8231ed7b02338c68693 563 | 0000769740d505331587b68300071c63 564 | cca0257314a7bc231ed7b42337468693 565 | 0000769740d505331607b68300071c63 566 | ccb0257316a7b0231ed7b82335c68693 567 | 0000769740d505331687b68300071c63 568 | ccc0257316a7b4231ed7bc2334468693 569 | 0000769740d505331707b68300071c63 570 | ccd0257316a7b82320d7b02332c68693 571 | 0000769740d505331787b68300071c63 572 | cce0257316a7bc2320d7b42331468693 573 | 0000769740d505331807b68300071c63 574 | ccf0257318a7b02320d7b8232fc68693 575 | 0000771740e505331887b70300071c63 576 | c85ff06f18a7b42320e7bc232e470713 577 | c7348ae3013489b30606398305863483 578 | ff1ff06f35c000effff4c50300148493 579 | 03213823aec7b78300007797fb010113 580 | 0411342301513c23ad09390300007917 581 | 02913c230481302340f9093300490ab3 582 | 01613823034130230331342305010413 583 | d8010113000509930181302301713423 584 | 000075970009061300058a1300020513 585 | a906360300007617548060efa745b583 586 | 41560633004606330125053300000593 587 | a75ff0ef00098513000a0593648060ef 588 | fc04f493000005130000059303f10493 589 | 00050a93284a0a1300009a170ed060ef 590 | 00007c17090a0b930004899300000913 591 | 0007b683012a07b309000b131fcc0c13 592 | 000985130007b603012b87b300068e63 593 | 0089091300a989b3ad5ff0ef000c0593 594 | 9d9ff0ef0004851301348663fd691ce3 595 | 3487b7830000979799dff0ef000a8513 596 | 04e7a02300a0071300e7a02300100713 597 | 086007130007ae2306e7a0230e400713 598 | 00009797000080670007a02306e7a023 599 | 0e40071300e7a023001007133147b783 600 | 2f87b783000097970000806706e7a023 601 | fe070ce3004777130647a70306a7a423 602 | 00009797000080670ff5751306c7a503 603 | 0647a70300b51e6300b505b32d47b783 604 | 06e7a0230c600713fe070ce300477713 605 | 06e7a423fff547030015051300008067 606 | 0000079329c6b68300009697fd9ff06f 607 | 004777130646a70300b79e630ff00713 608 | 0000806700f51c6300f507b3fe070ce3 609 | fd9ff06f0ff7f79306e6a4230017879b 610 | 0015051306c727032607370300009717 611 | 00009797ffe00713fd5ff06ffee50fa3 612 | fff007130000806706e7a8232447b783 613 | 0000806706e7a8232307b78300009797 614 | 00e7a623080007138787b78300007797 615 | 00b007130007a22300e7a02300d00713 616 | 0000806700e7a2230010071300e7a623 617 | 00913c230281302302113423fd010113 618 | 08f50e6300a007930131342301213823 619 | 000097970080071302f5006300d00793 620 | fff7879b07f7f71308e51c631cc7a783 621 | fff7871b02813083000017b706071663 622 | 0201340300e7f7b31a87a78300009797 623 | 00009717008139830101390301813483 624 | 00251513000080670301011318f72623 625 | 00009717009505330009859300090613 626 | 1605250300009517184040ef16f72623 627 | 00009717fc871ae30015079b07f57713 628 | 00a004b707f00413f91ff06f14f72623 629 | 0017871bfd1ff06f0200099300100913 630 | 0000061300a005370027979300050593 631 | 12c040ef10e6aa230000969700a78533 632 | 014727837547370300006717f55ff06f 633 | ef5ff06f00a72023fe078ce30407f793 634 | 000504130011342300813023ff010113 635 | 000134030081308300051a6300044503 636 | fb9ff0ef001404130000806701010113 637 | 00913c2302813023fd010113fe1ff06f 638 | 00b44c63000004130005049302113423 639 | 03010113018134830201340302813083 640 | 0014041b00b134230004c50300008067 641 | fd1ff06f0081358300148493f75ff0ef 642 | 00113c230091342300813823fe010113 643 | 6a07370300006717100004b700900437 644 | 00072503000786630017f79301472783 645 | 0095753308c040ef000405130480006f 646 | 000006130044041300900437fc051ce3 647 | 00040513030040ef0004051300000593 648 | 00d0079307f575130085551b064040ef 649 | 0ff575130181308300a0051300f51463 650 | 00008067020101130081348301013403 651 | 0ff575130007a5036287b78300006797 652 | 0147a5036147b7830000679700008067 653 | 00006797001007130000806700157513 654 | 000067970000806700e7a2235fc7b783 655 | 40000537000080670007a2235ec7b783 656 | 0000806701f515130010051300008067 657 | 00008067000005130000806707800537 658 | fff00793000537031cb7fe6304000793 659 | 6206b6830000669700f777330187d793 660 | f8010113038557031cd7106300200793 661 | 05413823033707330380099305313c23 662 | 06113c2302059a930551342302053a03 663 | 05613023072130230691342306813823 664 | 03a13023039134230381382303713c23 665 | 0030079301470733020ada9301b13c23 666 | 00000d130005049300b1222308eaee63 667 | 00007c17d44b8b9300007b9700100b13 668 | 0384d783d6cc8c9300007c97d8cc0c13 669 | 020d14130640006f0000079300fd6663 670 | 00848433014404330334043302045413 671 | 0e078e63028437831167926300042783 672 | 02043d83d90ff0ef000b8513000d0593 673 | 00b4873301843903008435830a0d8263 674 | 000075170041260304bafc6300bd85b3 675 | 0781308300300793d64ff0efcdc50513 676 | 06013903068134830701340300078513 677 | 04013b0304813a8305013a0305813983 678 | 02013d0302813c8303013c0303813b83 679 | 00070613000080670801011301813d83 680 | 00e13423000c851300090593000d8693 681 | 00090513000d861300813703d08ff0ef 682 | 00090513000d859b739050ef00070593 683 | 02f77e6302043703028437836b1050ef 684 | 01b70db30004061340e7843301843d83 685 | 00000593cc0ff0ef000c0513000d8593 686 | 0004059b010060ef000d851300040613 687 | ed1ff06f001d0d1b66d050ef000d8513 688 | 04051463000080670007851300100793 689 | 00c00793164050ef00113423ff010113 690 | 0000679728d030efd6f7062300009717 691 | 000067170fe7f793008130833b47c783 692 | 0101011339c54503000065173af70223 693 | 00051863000080670010051300008067 694 | 00100513000080673805450300006517 695 | 080688630040051308051e6300008067 696 | 003005130017f7933607c78300006797 697 | cf47878300009797fd01011306079e63 698 | 00913c23021134230121382302813023 699 | 00060413000589130087f79301313423 700 | 20000993008684bb0096141b00079463 701 | 0014041b000986130004051300090593 702 | 1c9030effe9414e320090913014050ef 703 | 01013903018134830201340302813083 704 | 00008067000005130301011300813983 705 | fe01011310051c630000806700400513 706 | 012130230091342300113c2300813823 707 | 0000679706068e630040051300068413 708 | 06079463003005130017f7932a47c783 709 | 002005130047f7932907c78300006797 710 | 0087f713c287c7830000979704079a63 711 | 0096191b000714630006091300058493 712 | 000905930000061304e4146300100713 713 | 0fe005930805186362c050ef01800513 714 | 109030ef0015341362c050ef00048513 715 | 00813483010134030181308300803533 716 | 0067f793000080670201011300013903 717 | 09700513000405930000061300078a63 718 | 0190051300090593000006135e0050ef 719 | 000905930fc00913fa051ce35d0050ef 720 | fff4041b000508635cc050ef00048513 721 | 000005130fd00593fe0414e320048493 722 | f81ff06f00100413f80514e35b0050ef 723 | 000067972e0514630000806700400513 724 | 0a079e63003005130017f7931a47c783 725 | 0291342302113c2302813823fc010113 726 | 02b7e26322f580630006041303200793 727 | 12a58a63040588630695806300100493 728 | 0600006f0040051328f5826300700793 729 | 0350079320f5e06322f5846303400793 730 | 00000593fef590e30360079322f58663 731 | 04000593508050ef08d0051300000613 732 | 001535137f0030ef1ec0006f02051463 733 | 00900513000005930000061301c0006f 734 | 00a1342300100513020504634e0050ef 735 | 0301340303813083008135037c4030ef 736 | 01000593000080670401011302813483 737 | 01014603fc0508e34b4050ef01010513 738 | 017147030066561b0191468301814783 739 | 0107171b00d787bb0087979b02961663 740 | 00e787bb0017071b00d77733003f06b7 741 | 0027171b0a40006f00f4202300a7979b 742 | 000016370161470300e787bb0067d79b 743 | 00e787bb00c7773300a7171bc006061b 744 | 0076561b0016969b0151470301a14603 745 | 00d7073b0066f69300c7073b00f77713 746 | fa9ff06f00e797bb0197071b0017879b 747 | 000006130047f7939dc7878300009797 748 | 3f4050ef08d005130407806300000593 749 | 3ec050ef0101051301000593f0051ce3 750 | 0047d71301a1478352d030eff00504e3 751 | 0000051300f4202300e797b301000793 752 | ec051ee33b8050ef00900513eedff06f 753 | ec0506e33b0050ef0101051301000593 754 | 01a147830027771396c7070300009717 755 | 07e7f7930017979b01b1470302070663 756 | 0017879b01d1470300e787bb0077571b 757 | 01b14683f51ff06ffff7071b0067571b 758 | 018777130036971b01f7f7934027d79b 759 | 0017879b0017071b00d7073b0056d693 760 | 9047878300009797ed1ff06f02f707bb 761 | 0000059300000613f69ff06f00f60023 762 | 01000593e40510e331c050ef00900513 763 | 00000613e15ff06f314050ef00040513 764 | 00000613fddff06f00a0051300000593 765 | e00516e32e8050ef03a0051300000593 766 | 00f400230185579b2f5030ef00000513 767 | 00f401230085579b00f400a30105579b 768 | ed87c78300006797ef9ff06f00a401a3 769 | ee1ff06fecf70623000067170017e793 770 | fff6061bfff007930000806700400513 771 | 001505130005c7030000806700f61463 772 | fff00793fe5ff06ffee50fa300158593 773 | 00b500230000806700f61463fff6061b 774 | 0095871301452783fedff06f00150513 775 | 0200089300000693018537830a078a63 776 | 02e00e9300900e130050031300078513 777 | 0016869b0005460300b008130e500f13 778 | 01c69663000f06130066146303160063 779 | 0017071300c700230017071301d70023 780 | 00d5842300b7c683fd069ae300150513 781 | 00d666330086161301c7c68301d7c603 782 | 01f7c68300c6e6330106969301e7c683 783 | 0197c68300d5a02300c6e6b30186969b 784 | 00d5922300c6e6b30086969b0187c603 785 | 00f6e7b30086969b0167c7830177c683 786 | 00053783000080670007002300f59323 787 | 03a00613020005930007871302078263 788 | 0017869300c69a6304d5f66300074683 789 | 001707130300006ffff0071300d70a63 790 | fff00713001006130007c683fe1ff06f 791 | 0027879300b668630ff6f593fd06869b 792 | 000080670007051300f5302300068713 793 | 04050663ff1ff06f7007470300008717 794 | 02070e630007c7030407826300053783 795 | ff01011302e69863008557030067d683 796 | 00157793995ff0ef0017c50300113423 797 | 00813083009005130007846300000513 798 | 00008067009005130000806701010113 799 | 00e7e7b30087979b01a5c70301b5c783 800 | 0145c6830155c70300e51e6300300713 801 | 00e7e7b30107171b00d767330087171b 802 | 02813023fd0101130000806700078513 803 | 01213823021134230141302300913c23 804 | 0005041303450a130305248301313423 805 | 000a0593000486130010069300154503 806 | 01c4270302442783060516639b9ff0ef 807 | 0000051302e7e46340f487bb00040223 808 | 01013903018134830201340302813083 809 | 000080670301011300013a0300813983 810 | 01c42783fd29fae30010099300344903 811 | 0004861300f484bb0009869300144503 812 | fddff06ffff9091b955ff0ef000a0593 813 | 0007846300454783fadff06f00100513 814 | fd0101130000806700000513f49ff06f 815 | 0005041300913c230211342302813023 816 | 00300793000447030e051463fd9ff0ef 817 | 0af69e6300100793005446830cf71463 818 | 00048513000005932000061303440493 819 | 22f4092305500793d19ff0ef00d13423 820 | 02f40a230520079322f409a3faa00793 821 | 20f40da302f40b230610079302f40aa3 822 | 20e40d2302e40ba30410071301042783 823 | 0107d71b20e40ea320f40e230087d71b 824 | 00c4278320f40fa3072006130187d79b 825 | 20e40f230204260320c40ca320c40c23 826 | 22f40023001445030087d71b00813683 827 | 0187d79b0107d71b0016061b22e400a3 828 | 0004859302c4282322f401a322e40123 829 | 0000061300144503000402a3849ff0ef 830 | 0281308300a03533955ff0ef00000593 831 | 00008067030101130181348302013403 832 | 00113c2300813823fe01011303052783 833 | 02b78e63000004130121302300913423 834 | 00050413eb1ff0ef0005891300050493 835 | 00090613001006930014c50302051463 836 | 0010041300050663f30ff0ef03448593 837 | 00040513018130830324a823fff00913 838 | 02010113000139030101340300813483 839 | 0011342300813023ff01011300008067 840 | 0005041302f5282300050223fff00793 841 | 232447032334478308051263f71ff0ef 842 | 0107979b00e7e7b30087979b00200513 843 | 04e79663a557071b4107d79bffffb737 844 | 0107979b0100053706a4570306c45783 845 | 1465071b00544537fff5069b00e7e7b3 846 | 0884550302e780630000051300d7f7b3 847 | 00d5753300f565330105151b08645783 848 | 000134030081308300a0353340e5053b 849 | fedff06f003005130000806701010113 850 | 041134230341302303313423fb010113 851 | 01513c230321382302913c2304813023 852 | 0005851300050a130005302301613823 853 | 04054a6300b00793bd9ff0ef00060993 854 | 32848493000084970009079300050913 855 | 00c007930087b40300f487b300379793 856 | 0407886300044783008a302302040863 857 | 0407906300157793dc8ff0ef00144503 858 | 00a00793000504630045751300098863 859 | 03813483040134030007851304813083 860 | 01813a8302013a030281398303013903 861 | 0ff97513000080670501011301013b03 862 | 00157713d24ff0ef00a400a300040023 863 | 0045751300098663fa071ee300300793 864 | e69ff0ef0004051300000593fa0516e3 865 | 000006131fa407930af5106300100793 866 | 00000713ffc7c6830100059300010a13 867 | 008696930007c7030017c68302068663 868 | 00d766b3010717130027c70300e6e6b3 869 | 00ca06b300d767330187171b0037c703 870 | fab61ee3010787930046061300e6a023 871 | 012a07b301000a9300200b1300000913 872 | 0009859300098a63000b05130007a983 873 | 0049091302050a63de1ff0ef00040513 874 | 00e51a630010079300300713fd591ee3 875 | 00050663eee50ce300300713f01ff06f 876 | 0404478300000993eedff06f00d00793 877 | 0107979b00e7e7b30087979b03f44703 878 | 04b44703fce79ce3200007134107d79b 879 | 0307171300f767330087171b04a44783 880 | 04444583058427030007146303075713 881 | 00b401a3fff5879b0010069300e42e23 882 | 0104012304144803f8f6eee30ff7f793 883 | f80792e30107f7b3fff8079bf80808e3 884 | 00f666330086161b0454478304644603 885 | 00f6779300c414230306561303061613 886 | 0086969b0474478304844683f60790e3 887 | 000687930306d6930306969300f6e6b3 888 | 04244503043446830544278300069463 889 | 0306d6930306969300a6e6b30086969b 890 | 00d585bb0046559302b7053bf20680e3 891 | 0307d7bb40b787bbf0b7e6e300a585bb 892 | 00100913ff58081b00001837f00780e3 893 | 00f93933ff59091b0001093700f87a63 894 | 00d986bb0027879b00b985bb00290913 895 | 02d422230334202300f42c2302b42623 896 | 06042683ea061ce30eb9166300300593 897 | 0097d79b1ff7879b02d424230027979b 898 | 00f4262300f42823fff00793eaf760e3 899 | 08f916630030079300f402a3f8000793 900 | 00e7e7b30087979b0644470306544783 901 | 06e79663001007134107d79b0107979b 902 | 04051e63b99ff0ef0004051300e985bb 903 | 0087979b000402a32324470323344783 904 | 4107d79bffffb7370107979b00e7e7b3 905 | 416157b70344270302e79a63a557071b 906 | 614177b72184270302f712632527879b 907 | 00f4282321c4278300f71a632727879b 908 | 012400230184d78300f4262322042783 909 | 0307d793030797930017879b00042a23 910 | cc5ff06f0000079300f4132300f49c23 911 | 0020069302d4242300d506bbdc0608e3 912 | 02b785bbf11ff06f0017979b00d91663 913 | efdff06f00f587bb0015d59b0017f793 914 | 00f77c63ffe7879bffe5871b01852783 915 | 00a5853b02e585bb02c5250300254583 916 | 00100793000080670000051300008067 917 | 01313423fd0101130185270316b7f663 918 | 0121382300913c230281302302113423 919 | 002006930005470304e5f06300050993 920 | 0cd70c63003006930ad7066300058413 921 | 00b484bb0245278300f5d4bb02f71263 922 | 02050463a59ff0ef00f585bb0094d59b 923 | 020134030007851302813083fff00793 924 | 03010113008139830101390301813483 925 | 0099559b0014891b0249a78300008067 926 | 0009851300f585bb009984b31ff4f493 927 | 1ff97913fa051ce3a0dff0ef0344c483 928 | 0087979b001474130345478301298533 929 | f99ff06f0047d79b000406630097e7b3 930 | f89ff06f00e7f7b3fff7071b00001737 931 | 9c5ff0ef00f585bb0085d59b02452783 932 | 00a985331fe575130014151bf60518e3 933 | 00e7e7b30087979b0345470303554783 934 | 00f585bb0075d59b02452783f55ff06f 935 | 1fc474130024141bf2051ee3991ff0ef 936 | 002746830037478300e9873303440713 937 | 00d7e7b30106969b0187979b00898533 938 | 00d7e7b30087171b0345468300174703 939 | 00078513f6dff06f1000073700e7e7b3 940 | 00c5240302813823fc01011300008067 941 | 01313c230291342302113c2303213023 942 | 0010079300b515230151342301413823 943 | 038130830020051302f4166300050913 944 | 01813983020139030281348303013403 945 | 000080670401011300813a8301013a03 946 | 00058493fce478e30187a70300053783 947 | 00e69663003007130007c68304041c63 948 | fae4f6e30087d703040714630287a703 949 | 0044d79bfa0500e3008928230287a503 950 | 1e04f493005494930009378300a7853b 951 | 00993c2300a92a23009784b303478793 952 | 0027c98300040713f79ff06f00000513 953 | 00100a930049999bfff00a1300070413 954 | d75ff0ef0134f6630004059300093503 955 | 01450e6300050413d99ff0effa5ff06f 956 | f2f576e30187a78300093783f2aafce3 957 | f21ff06f00100513fcdff06f413484bb 958 | 0091342300813823fe01011300a55583 959 | 00050493ecdff0ef0005041300113c23 960 | ff4ff0ef000435030144258304051063 961 | 02000613018435030205166300050493 962 | fe50071301843783c28ff0ef00000593 963 | 00e78223001007130004378300e78023 964 | 00813483010134030004851301813083 965 | 01313423fd0101130000806702010113 966 | 0121382300913c230281302302113423 967 | 0cb9f863002007930010099301413023 968 | 000547030ce5f2630005049301852703 969 | 003006930ef70a630005841300060913 970 | 0135d9bb024527830b37146312d70263 971 | f44ff0ef00f585bb0099d59b00b989bb 972 | 00198a1b001474130805146300050793 973 | 013487b3020404631ff9f9930ff97793 974 | 00f7f713ff06869b000016b70347c783 975 | 0ff7f79300f767b300d7f7b30049179b 976 | 00f482230010079302f98a23013489b3 977 | 00f585bb00048513009a559b0244a783 978 | 1ffa7a130205126300050793ee0ff0ef 979 | 01448a330ff979130049591b04040063 980 | 0281308300e4822300100713032a0a23 981 | 01013903018134830201340300078513 982 | 000080670301011300013a0300813983 983 | 00f979130089591b0347470301448733 984 | 02452783fb1ff06f01276933ff077713 985 | 00050793e68ff0ef00f585bb0085d59b 986 | 008484331fe474130014141bfa0516e3 987 | 0134822303240aa30089591b03240a23 988 | 00f585bb0075d59b02452783f8dff06f 989 | 0024141bf6051ae300050793e30ff0ef 990 | 0037460300e48733034407131fc47413 991 | 00d676330186161b00848433f00006b7 992 | 00d700a30089569b03240a2301266933 993 | 012701a300d701230189591b0109569b 994 | 01313c2302913423fc010113f9dff06f 995 | 01413823032130230281382302113c23 996 | 00059e63000589930005049301513423 997 | 04f96e63018527830009066300c52903 998 | 00100793ae5ff0ef0540006f00100913 999 | 02f51863fff007930ea7f66300050413 1000 | 028134830004051303813083fff00413 1001 | 01013a03018139830201390303013403 1002 | 0184a783000080670401011300813a83 1003 | 00100a1300090413fcf568e300098913 1004 | 00f46a630014041b0184a783fff00a93 1005 | 00200413fadff06f00000413012a6663 1006 | 00050a63a65ff0ef0004851300040593 1007 | fd9ff06ffd2416e307450663f95508e3 1008 | 0004851300040593fff6061b10000637 1009 | 0104a7830209966304051263d49ff0ef 1010 | fff7879bf4e78ee3fff007130084a623 1011 | 00f482a30017e7930054c78300f4a823 1012 | 000485130009859300040613f45ff06f 1013 | f2f502e300100793fc0504e3d09ff0ef 1014 | 01313c23fc010113f21ff06f00100413 1015 | 0019899b0281382302113c2300a55983 1016 | 0141382303213023029134230109979b 1017 | 020798630107d79b0161302301513423 1018 | 02813483030134030381308300400513 1019 | 00813a8301013a030181398302013903 1020 | 01452783000080670401011300013b03 1021 | 0005041300058a9300f9fa13fc0788e3 1022 | 00f52a230017879b01052583020a1063 1023 | faf9f2e30085578302059a6300053503 1024 | 03478793020a1a13005a1a1b00043783 1025 | 01443c230134152301478a33020a5a13 1026 | 0049d79b00254903f81ff06f00000513 1027 | 911ff0effc0914e300f97933fff9091b 1028 | 0020051300a7e6630005049300100793 1029 | 0010051300f51663fff00793f55ff06f 1030 | 06f4ec630185278300043503f45ff06f 1031 | 00050493dadff0ef01042583f20a88e3 1032 | fd5480e300100a93f20480e300700513 1033 | a3cff0ef00043503fcf484e3fff00793 1034 | 000005932000061300043503fa051ee3 1035 | 0004859300043b03f99fe0ef03450513 1036 | 0004350302ab282385dff0ef000b0513 1037 | 4127893b0305278302f9646300254783 1038 | 00048593009428230004350303252823 1039 | 01550223f09ff06f00a42a23831ff0ef 1040 | 0019091b00043703f4051ce3928ff0ef 1041 | fb1ff06f02f728230017879b03072783 1042 | 02113c230291342302813823fc010113 1043 | 015134230141382301313c2303213023 1044 | 0005041302f007130005c78301613023 1045 | 0ce7906305c0071300e7866300058493 1046 | 01f007930004c7030004262300148493 1047 | 02e0091305c00a1302f009930ae7fe63 1048 | 0d478c630d378e630004c78300300a93 1049 | 000b05130200059300b0061302043b03 1050 | 008006930d2782630004c783eadfe0ef 1051 | 02000893000007930000071300000613 1052 | 0017059b0190081300b00e1300068313 1053 | 0007470300e487330207571302071713 1054 | 2527026317470a6317370c6310e8fe63 1055 | 00054c634185551b0187151b02d67263 1056 | 240e806300054e835605051300005517 1057 | 000537830240006f006005130dd71463 1058 | 00000593f41ff06f00f526230147a783 1059 | 0381308300043c23891ff0ef00040513 1060 | 01813983020139030281348303013403 1061 | 0401011300013b0300813a8301013a03 1062 | 00048613f1dff06f0014849300008067 1063 | 0017879b0006470300000793000b0693 1064 | 01268023f95786e30016061301271c63 1065 | 0147066301370863fe5ff06f00168693 1066 | 0207d79302079793f6e6e8e302000693 1067 | 00e6f4630240079300f484b302000693 1068 | 000405130000059300fb05a302000793 1069 | f2dff06f001505130e40006fff4ff0ef 1070 | 00ab053302055513020615130027e793 1071 | 0040071314c0006f0016061b00e50023 1072 | 00c516630e500613000b4503f0060ae3 1073 | 00c696630080061300cb002300500613 1074 | 001006130037f6930ff7f7930027979b 1075 | 0040069300c7f7930107671300c69463 1076 | 0205d593020595930087671300d79463 1077 | 00000713f71ff06f00eb05a300b484b3 1078 | 894ff0ef0004350301442583fa1ff06f 1079 | 04078263000747830184370304051a63 1080 | 02043783020792630087f79300b74783 1081 | 001787930017071302f5886300b78593 1082 | 00000593fed606e3fff7c683fff74603 1083 | 0080006ffa0506e3bb5ff0ef00040513 1084 | 02050c6300b7c7830204378300400513 1085 | 0047f7930207f713e6e514e300400713 1086 | d8078ce300043c230004262300070c63 1087 | 00500513e40792e3e49ff06f00000513 1088 | 01843583fe0794e30047f793e3dff06f 1089 | 00043783fe0782e30107f79300b5c783 1090 | d59ff06f00a42623dcdfe0ef0007c503 1091 | 0ff7f793000686130027979bde6692e3 1092 | fbf7051bd85ff06f00058713000e0693 1093 | 0ff57513f9f7051be8a876e30ff57513 1094 | 0ff777130017e793fe07071be8a862e3 1095 | 00913c2302813023fd010113e75ff06f 1096 | 02113423000504130131342301213823 1097 | 00f009930e5009130040051300058493 1098 | 06c0006f040500630005966301442583 1099 | 0184378306051063f4dfe0ef00043503 1100 | 03f7f79300b7c78304070e630007c703 1101 | ff87879bfdf7f79303378a6303270c63 1102 | 0281308300000513029792630017b793 1103 | 00813983010139030181348302013403 1104 | 00040513000005930000806703010113 1105 | fd1ff06f00042a23f80506e3a59ff0ef 1106 | 00000593fe010113ff5ff06f00400513 1107 | 012130230091342300113c2300813823 1108 | 0e50091302051e63d80ff0ef00050413 1109 | ea5fe0ef000435030144258300100493 1110 | 032782630007c7830184378302051263 1111 | 9edff0ef000405130004859302078063 1112 | 0070051300f5146300400793fc050ae3 1113 | 00013903008134830101340301813083 1114 | 00813823fe0101130000806702010113 1115 | f6dff0ef0005041300113c2300913423 1116 | 00043503014425830405126300050493 1117 | 018435030205186300050493e31fe0ef 1118 | 02043583a65fe0ef0000059302000613 1119 | 00043783a31fe0ef00b0061301843503 1120 | 000485130181308300e7822300100713 1121 | 00008067020101130081348301013403 1122 | 000080670020051302b7e86300100793 1123 | 01813483020134030281308300200513 1124 | 0301011300013a030081398301013903 1125 | 02813023fd0101130185270300008067 1126 | 01313423012138230211342300913c23 1127 | fae5fae3000584930005041301413023 1128 | 00f4fc6301842783fff00a1300078913 1129 | 00050993ab4ff0ef0004051300048593 1130 | f92502e3f8dff06f0000051300051663 1131 | 00040513000485930000061303450e63 1132 | 01478c6301042783f60518e3d98ff0ef 1133 | 0017e7930054478300f428230017879b 1134 | 00100513fa1ff06f0009849300f402a3 1135 | 0281051300a13c23fc010113f45ff06f 1136 | 02b1342300c1342300b1382302113c23 1137 | 000077970005071306054663a1dfe0ef 1138 | 0087370300e787330037171317478793 1139 | 01813703000700230007046300813603 1140 | 00a78533003515130007002300070463 1141 | 0010079300070e630000051300e53423 1142 | 01810513010105930000061300f61a63 1143 | 000080670401011303813083db1fe0ef 1144 | 08113423f7010113ff1ff06f00b00513 1145 | 073134230721382306913c2308813023 1146 | 2005006300b1342305513c2307413023 1147 | 00053023000504930006091302810a13 1148 | d55fe0ef000a05130081059301e67613 1149 | 000a0513018107930081358318051663 1150 | 000516630401340393dff0ef04f13423 1151 | 01f9799301c977930060051300041463 1152 | 14f518630040079312050e6318078063 1153 | 0401340314051263d95ff0ef000a0513 1154 | 02813a0308078e630089f7930089e993 1155 | 00f40ca300f408a30210071304600793 1156 | 00040b2300e40823000407a300040723 1157 | 00040e23000405a300e40c2300040ba3 1158 | 000a450300040fa300040f2300040ea3 1159 | 00040d230010079397dfe0ef00040593 1160 | 00fa022300040aa300040a2300040da3 1161 | 000a0513000505930205086300050913 1162 | 028135030a051a63d7dff0ef030a2a83 1163 | b45fe0ef01252623000a8593fff9091b 1164 | 0209e993000784630089f79308051e63 1165 | 0284b423030927830004059302813903 1166 | 00094503000485a302f4a02301348523 1167 | 01c4478301d4470300a4aa23901fe0ef 1168 | 01e4478300f767330087171300000513 1169 | 0004a62301f4478300e7e73301079793 1170 | 00f4a82300e7e7b30187979b0004ae23 1171 | 0200006f00f494230124b02300695783 1172 | 000798630117f7930070051300b44783 1173 | 08813083ec0906e30080051300497913 1174 | 06813983070139030781348308013403 1175 | 000080670901011305813a8306013a03 1176 | 0107f7130040051300b44783fc051ee3 1177 | 0017f793f20906e300297913fc0716e3 1178 | 00900513f1dff06ffa079ce300700513 1179 | 0551342306813823f8010113fadff06f 1180 | 072130230691342306113c2305613023 1181 | 0381382303713c230541382305313c23 1182 | 0006a02301b13c2303a1302303913423 1183 | 00068b1300c1302300b1342300050413 1184 | 00b4478300051c6300050a93f9cfe0ef 1185 | 00078a93040784630081358300013603 1186 | 0681348307013403000a851307813083 1187 | 04813a8305013a030581398306013903 1188 | 02813c8303013c0303813b8304013b03 1189 | 000080670801011301813d8302013d03 1190 | 010429031c078c630017f79300a44783 1191 | 000609130126746340f9093b00c42783 1192 | 00100c1303040b9320000c9300058a13 1193 | 1ff7f71300c42783f80906e3fff00d13 1194 | 002549830097d71b0004350316071463 1195 | 040992630ff9f99300e9f9b3fff9899b 1196 | 0020079302ac60630144250300079e63 1197 | 01842583f49ff06f00200a9300f405a3 1198 | 0010079301a51a63fe5ff06fe6dfe0ef 1199 | 00a42c23f29ff06f00100a9300f405a3 1200 | 00f13023000785130184258300043783 1201 | 01350dbb0099549bfa050ce3e11fe0ef 1202 | 013486bb0027c7030804886300013783 1203 | 000486930017c503413704bb00d77463 1204 | fa0510e382cfe0ef000a0593000d8613 1205 | 01c42503020786630407f79300a44783 1206 | 020d9513009d9d9b029df06341b50dbb 1207 | 00aa0533000b8593000c861302055513 1208 | 0207d793020497930094949bca8fe0ef 1209 | 009787bb4099093b00c4278300fa0a33 1210 | 00fb2023009787bb000b278300f42623 1211 | 00a4470304cd866301c42603ee5ff06f 1212 | 000c06930017c5030207026304077713 1213 | 00a44783f0051ae3844fe0ef000b8593 1214 | 000c06930004378300f40523fbf7f793 1215 | f79fd0ef000b85930017c503000d8613 1216 | 0009049300c4258301b42e23ee0516e3 1217 | 000784930127f46340bc87bb1ff5f593 1218 | 00048613030585930205d59302059593 1219 | f4dff06fbf0fe0ef000a051300b405b3 1220 | 06813823f8010113dddff06f00700a93 1221 | 06113c23056130230551342305313c23 1222 | 03713c23054138230721302306913423 1223 | 01b13c2303a130230391342303813823 1224 | 0006099300b13423000504130006a023 1225 | 00051a6300050a93d08fe0ef00068b13 1226 | 00078a93040784630081358300b44783 1227 | 0681348307013403000a851307813083 1228 | 04813a8305013a030581398306013903 1229 | 02813c8303013c0303813b8304013b03 1230 | 000080670801011301813d8302013d03 1231 | 00c42783220784630027f79300a44783 1232 | 20000c9300058a1306f76c630137873b 1233 | 06098063fff00d1300100c1303040b93 1234 | 000435031a0716631ff7f71300c42783 1235 | 00e97933fff9091b002549030097d71b 1236 | 014427830207926306091c630ff97913 1237 | 002007930587906300078e6300000593 1238 | 01842583f49ff06f00200a9300f405a3 1239 | 00c42783fe0510e3000507938b4ff0ef 1240 | 0207e79300a4478318f76a6301042703 1241 | 0010079301a79a63f1dff06f00f40523 1242 | 01442703f09ff06f00100a9300f405a3 1243 | 00a4478300f42a230007146300f42c23 1244 | 01c4260300043783020786630407f793 1245 | e3dfd0ef000b85930017c503000c0693 1246 | 00f40523fbf7f79300a44783fa051ee3 1247 | 00f13423000785130184258300043783 1248 | 01250dbb0099d49bf4050ce3b21fe0ef 1249 | 012486bb0027c7030804886300813783 1250 | 000486930017c503412704bb00d77463 1251 | f60510e3de1fd0ef000a0593000d8613 1252 | 009d9d9b029df66341b50dbb01c42503 1253 | 00ba05b3000c86130205d593020d9593 1254 | fbf7f79300a447839c4fe0ef000b8513 1255 | 0207d793020497930094949b00f40523 1256 | 009787bb409989bb00c4278300fa0a33 1257 | 00fb2023009787bb000b278300f42623 1258 | 00c4268302ed846301c42703e7dff06f 1259 | 000c06930017c50300e6fe6301042703 1260 | ec0518e3cadfd0ef000b8593000d8613 1261 | 1ff575130009849300c4250301b42e23 1262 | 02051513000784930137f46340ac87bb 1263 | 000a0593000486130305051302055513 1264 | 0407e79300a44783924fe0ef00a40533 1265 | e6dff06f00f42823f65ff06f00f40523 1266 | 00813023ff010113d8dff06f00700a93 1267 | 0e051863a64fe0ef0005041300113423 1268 | 0407f7930e0702630207f71300a44783 1269 | 0010069301c426030004378302078663 1270 | 0a051e63cb1fd0ef030405930017c503 1271 | 0204258300f40523fbf7f79300a44783 1272 | 028437830a051263c7cfe0ef00043503 1273 | 0104270300e785a30207671300b7c703 1274 | 00e78ea30087571b0104570300e78e23 1275 | 00e78fa30134470300e78f2301245703 1276 | 00e78d2300078ba300078b2301442703 1277 | 0087571b00e78a230107571b0087569b 1278 | 0460071300e78c230210071300e78aa3 1279 | 000789a30007892300e78ca300d78da3 1280 | 00f40523fdf7f7930004350300a44783 1281 | 000134030081308300f5022300100793 1282 | 0081308300100513ac8fe06f01010113 1283 | ff010113000080670101011300013403 1284 | eddff0ef000504130011342300813023 1285 | 00051463944fe0ef0004051300051a63 1286 | 01010113000134030081308300043023 1287 | 0081051300a13423fe01011300008067 1288 | 0000779700054e638a8fe0ef00113c23 1289 | 02010113018130830000051380a78223 1290 | f9010113ff1ff06f00b0051300008067 1291 | 0000061300a134230281049304913c23 1292 | 06113423068130230004851300810593 1293 | 0081358302051a6300050413c50fe0ef 1294 | 834ff0ef04f134230004851301810793 1295 | 02059463040135830405186300050413 1296 | 0681308300e7aa230281378303412703 1297 | 07010113060134030581348300040513 1298 | 020780630107f79300b5c78300008067 1299 | 00a4aa238b8fe0ef0004c50302813483 1300 | 00500413fcf512e300400793fcdff06f 1301 | 0921382308913c23f5010113fbdff06f 1302 | 09413023093134230a8130230a113423 1303 | 00a13423077134230761382307513c23 1304 | 00000613000500230005891303810493 1305 | 06051663b88fe0ef0004851300810593 1306 | 000904130381378304f13c2301010793 1307 | fff00a9302010a130147a78300100993 1308 | 060b946304412b8302f00b1304f12223 1309 | 00006717020516630081378300000513 1310 | 03a0071300e780230307071b6b470703 1311 | 00e7812302f007131724126300e780a3 1312 | 0a0134030a8130830007802300378793 1313 | 08013a03088139830901390309813483 1314 | 0b01011306813b8307013b0307813a83 1315 | 889fe0ef000485130009859300008067 1316 | a28ff0ef0004851300000593f8051ae3 1317 | 0007c5030501358303813783f80512e3 1318 | 000485130000059304a12223f91fd0ef 1319 | 0004851300000593f60510e3855fe0ef 1320 | 0501358303813783080512639f4ff0ef 1321 | 000a059306ab9063f5dfd0ef0007c503 1322 | 0207971300000793dc5fd0ef00048513 1323 | fc97470300e687330601069302075713 1324 | 0007869308e464630037871b04071c63 1325 | fff7c7930556946300813703fff6869b 1326 | 00f707330207d7930204179300f4043b 1327 | 0004851300000593ed5ff06f01670023 1328 | ecf514e300400793f6050ae3c69fe0ef 1329 | f91ff06f0017879bec1ff06f00200513 1330 | 00c58633020656130601059302069613 1331 | 0206161340f6063b00d4063bfc964583 1332 | f85ff06f00b7002300c7073302065613 1333 | 0081370300278793e81ff06f01100513 1334 | 0007470300d707330206d69302041693 1335 | ff2460e3fee78fa30014041b00178793 1336 | 0291342302813823fc010113e85ff06f 1337 | 014138230321302302113c2301313c23 1338 | df1fd0ef000584930005041301513423 1339 | 0207886300b447830005186300050993 1340 | 03013403000985130381308300078993 1341 | 01013a03018139830201390302813483 1342 | 01042703000080670401011300813a83 1343 | 000794630027f79300a4478300977a63 1344 | 08049a630004262300c4278300070493 1345 | 00f42823faf776e30104270300c42783 1346 | f99ff06f00f405230207e79300a44783 1347 | fc078ae31ff7f79300c4278300000493 1348 | 0304091300a44783fcc486e301c42603 1349 | 0010069300043783020784630407f793 1350 | 0c051c63fb0fd0ef0017c50300090593 1351 | 0004378300f40523fbf7f79300a44783 1352 | 000905930017c5030004861300100693 1353 | f75ff06f00942e230a051863ee4fd0ef 1354 | 060784630099191b0025490300043503 1355 | 0327d6bb0327573bfff4871bfff7879b 1356 | 00f777b3018425834120073b04d76a63 1357 | fff00a13f4058ce340f484bb00f42623 1358 | 009787bb00c4278306996e6300100a93 1359 | 00043503f2078ce31ff4f79300f42623 1360 | 00a484bb0094d49b02050463c20fe0ef 1361 | 909fe0ef0405906301442583f25ff06f 1362 | 0020079300f51a630005059300100793 1363 | fff00793e8dff06f0020099300f405a3 1364 | 0010099300f405a30010079300f51a63 1365 | f7dff06f00b42c2300a42a23e75ff06f 1366 | 00078c630027f7930004350300a44783 1367 | 0009049300051a63000505938b5fe0ef 1368 | fb458ce300050593bc8fe0eff69ff06f 1369 | f8f5f8e30187a78300043783f8bafee3 1370 | 012787bb412484bb00b42c2300c42783 1371 | 02113c23fc010113f31ff06f00f42623 1372 | 000504130a05006300b1342302813823 1373 | f45fd0ef018105130081059300000613 1374 | 00040513008135830181378302051e63 1375 | b25fe0ef02f430230201079300f43023 1376 | 00b5c783020586630184358306051863 1377 | 0004302300500513000798630107f793 1378 | bc5fd0ef0007c503018137830380006f 1379 | 00040513000005930181378300a42623 1380 | 00400793c7cfe0ef00f414230067d783 1381 | 0301340303813083fc0514e3fcf504e3 1382 | fedff06f009005130000806704010113 1383 | ff010113fa5ff06ffaf502e300400793 1384 | b11fd0ef000504130011342300813023 1385 | 00013403008130830004302300051463 1386 | 02813023fd0101130000806701010113 1387 | 00050413021134230121382300913c23 1388 | 04051e6300050493ad9fd0ef00058913 1389 | be8fe0ef000405130000059300091c63 1390 | 00000593022430230440006f00050493 1391 | 04f5146300400793d81fe0ef00040513 1392 | 95dfd0ef000405130009059300042a23 1393 | 00400793851fe0ef0004051300000593 1394 | 000485130281308300042a23fcf510e3 1395 | 03010113010139030181348302013403 1396 | f9010113fbdff06ff8051ee300008067 1397 | 00a13423052138230281049304913c23 1398 | 00048513008105930000061300058913 1399 | 00050413da9fd0ef0611342306813023 1400 | 00048513018107930081358302051c63 1401 | 00051e630005041398dfe0ef04f13423 1402 | 00090593000908630207886304013783 1403 | 00040513068130838b5fd0ef00048513 1404 | 07010113050139030601340305813483 1405 | fa010113fe1ff06f0060041300008067 1406 | 00a13423052130230006041304813823 1407 | 00040513008105930000061300058913 1408 | 034138230491342304113c2303313c23 1409 | 0181382301713c230361302303513423 1410 | 0004340300051e6300050993d01fd0ef 1411 | 02f76e63ffea871b0104278301842a83 1412 | 05013403000985130581308300f92023 1413 | 03013a03038139830401390304813483 1414 | 01013c0301813b8302013b0302813a83 1415 | 0010079300044a030000806706010113 1416 | fff00b130000049300200a9304fa1863 1417 | 0f6504638b4fe0ef00040513000a8593 1418 | 001a8a9b00153513018427830f450663 1419 | 0094282300544783fcfaeee300a484bb 1420 | f79ff06f0099202300f402a30017e793 1421 | 000006930000079310000b3702442583 1422 | 02069063fffb0b1b00200b9300000493 1423 | 08051c63b09fd0ef00158c1b00040513 1424 | 037a1c6320000693000c059303440793 1425 | 0087171bffe6869b0007c6030017c703 1426 | 001737134107571b0107171b00c76733 1427 | fa0a98e3fffa8a9b0027879300e484bb 1428 | ffc6869b0007c7030017c603f79ff06f 1429 | 004787930027c70300e6663300861613 1430 | 0187171bfff7c70300c7663301071713 1431 | 00e484bb001737130167773300c76733 1432 | 00200993f31ff06f00100993fb9ff06f 1433 | fe010113f21ff06f00050993f29ff06f 1434 | 0121302300113c230091342300813823 1435 | 0005186300050493fe8fd0ef00050413 1436 | 01813083000784930207826300b44783 1437 | 00013903008134830101340300048513 1438 | 0027f71300a447830000806702010113 1439 | fcd778e30104268300c4270308070863 1440 | 0004350300f4052300e428230207e793 1441 | 00042a23c09fe0ef0144258304071663 1442 | fa0780e30407f79300a4478306051663 1443 | 0017c5030010069301c4260300043783 1444 | 00a44783040510639d4fd0ef03040593 1445 | 01842583f75ff06f00f40523fbf7f793 1446 | 00f50e6300050913fff00793eedfd0ef 1447 | 0100006f0020051302f5126300100793 1448 | 00a405a300100513f49ff06f00700493 1449 | 0185278300043503f39ff06f00050493 1450 | fff6061b1000063701842583f8f972e3 1451 | 0009059300043503fc051ce39a8fe0ef 1452 | 08913423f6010113f5dff06fb61fe0ef 1453 | 008105930010061300a1342302010493 1454 | 092130230881382308113c2300048513 1455 | 0081358304051463a2dfd0ef07313c23 1456 | e14fe0ef04f130230004851301010793 1457 | 00b7c783006005130401378302051863 1458 | 00058a630381358300079e630207f793 1459 | 0070051302078c630019779300b5c903 1460 | 08013903088134830901340309813083 1461 | 02013503000080670a01011307813983 1462 | 0780006ffc051ce3abdfe0ef00040593 1463 | 00050413e78fd0ef0009c50302013983 1464 | 0149a783040906630109791304050a63 1465 | 000905130004859304810913faf406e3 1466 | 0009051300200593c84fd0ef02800613 1467 | 00000593f80514e3f11fd0ef04812a23 1468 | 00400793f6050ae38b1fe0ef00090513 1469 | f60512e3808fe0ef00048513f6f518e3 1470 | f55ff06ff04fd0ef02013503f6041ee3 1471 | 00a1342302810b9307713c23f4010113 1472 | 0a813823000b85130081059300100613 1473 | 09313c230b2130230a9134230a113c23 1474 | 07813823096130230951342309413823 1475 | 8e5fd0ef05b13c2307a1302307913423 1476 | 018107930081358320051a6300050413 1477 | 00050413cc8fe0ef04f13423000b8513 1478 | 048137831ef518630040079322050c63 1479 | 1c079e630207f79300b7c78300600413 1480 | 0005049399cfe0ef0000059302813503 1481 | 1af4886300200413001007931a050c63 1482 | 028135031ae4826300078413fff00713 1483 | 0281340318051a6300050413e28fd0ef 1484 | c55fd0ef000405130104999b00048593 1485 | 00000593200006130005071303440a13 1486 | 00b00613b64fd0ef00e13023000a0513 1487 | 01000793b54fd0ef000a051302000593 1488 | 0109d99b021007930104d91b02f40fa3 1489 | 046007930ff97b1304f4062302e00c13 1490 | 04f406a30089591b0089d99b0ff4fa93 1491 | 0404052303840a23000a059302000613 1492 | 05640423053407a305540723040405a3 1493 | 02813683ad0fd0ef05440513052404a3 1494 | 034127830006c5830030061305840aa3 1495 | 00c794630286a60300c5986300013703 1496 | 0107d79b0087d61b06f4072300000793 1497 | 06f404a306c407a30087d79b06f40423 1498 | 060c106320000d1300100c930026cc03 1499 | 0805186300050413ff4fe0ef000b8513 1500 | 00e785a3028135030100071304013783 1501 | 00078b230460071300e78c2302100713 1502 | 01378da301578d2300e78ca300078ba3 1503 | 00f502230010079301278aa301678a23 1504 | 028135030500006f00050413cecfd0ef 1505 | c0cfd0ef0195022302e5282300170d9b 1506 | 00000593000d06130205146300050413 1507 | 0ffc7c13fffc0c1ba18fd0ef000a0513 1508 | 0281350300700413f69ff06f000d8713 1509 | 000405130b813083fccfe0ef00048593 1510 | 098139830a0139030b0134030a813483 1511 | 07813b8308013b0308813a8309013a03 1512 | 05813d8306013d0306813c8307013c03 1513 | fbdff06f00800413000080670c010113 1514 | 068130230281049304913c23f9010113 1515 | 000609130005841300a1342305213823 1516 | 06113423000485130010061300810593 1517 | 018107930081358306051063e50fd0ef 1518 | 04051463a38fe0ef04f1342300048513 1519 | 0207f79300b7c7830060051304813783 1520 | 00b7c703020786630401378302079a63 1521 | 027474130124743300e4443302813503 1522 | 00f5022300100793008785a300e44433 1523 | 058134830601340306813083bbcfd0ef 1524 | f5010113000080670701011305013903 1525 | 00b1302300a13423040104130a813023 1526 | 0a113423000405130081059300100613 1527 | 00050793da8fd0ef0921382308913c23 1528 | 00040513008135830401378316051a63 1529 | 984fe0ef06f130230181079306f13423 1530 | 00b7c703060137831405186300050793 1531 | 0581358312071e630207771300600793 1532 | 00b58593015006131205886300400793 1533 | 0280061306810493854fd0ef02810513 1534 | 00010513840fd0ef0004851300040593 1535 | 000135830e054e6300b0079393cfd0ef 1536 | 100502630005079391cfe0ef00048513 1537 | d8cfe0ef000485130ee5106300400713 1538 | 01300613080139030c05186300050793 1539 | 02814783ff1fc0ef00d9051302a10593 1540 | 0010079300f905a30207e79304013483 1541 | 06078e630107f79300b9478300f48223 1542 | 0004c50306f708630741278304c12703 1543 | 000485130005059397cfd0ef00090593 1544 | 0605046300200793000505938a1fd0ef 1545 | 04051c6300050793b6cfd0ef00048513 1546 | 02f696630557468302e0079304013703 1547 | 0107d79b06f707230087d69b07412783 1548 | 0010079306f704a30087d79b06f70423 1549 | b05fd0ef0004051300f7022306d707a3 1550 | a00fd0ef040135030005186300050793 1551 | 0a013403000785130a81308300050793 1552 | 000080670b0101130901390309813483 1553 | 04913c23f9010113fe1ff06f00800793 1554 | 0005841300a134230681302302810493 1555 | 06113423000485130081059300100613 1556 | 018107930081358306051863be0fd0ef 1557 | 04051c63fc9fd0ef04f1342300048513 1558 | 0207f79300b7c7830060051304813783 1559 | 0064570302078e630401378304079263 1560 | 0087571b0064570300e78b2302813503 1561 | 0044570300e78c230044570300e78ba3 1562 | 00f502230010079300e78ca30087571b 1563 | 05813483060134030681308393cfd0ef 1564 | 05313423f90101130000806707010113 1565 | 00a134230521382304913c2301810993 1566 | 00000613008105930006049300058913 1567 | b24fd0ef061134230681302300098513 1568 | 00000593060904630c051e6300050413 1569 | 0e051a638adfd0ef0201222300098513 1570 | 0c051a63a4cfe0ef0009851300100593 1571 | dedfc0ef0009051300b0061303013583 1572 | 000680230200061300b0079300b90693 1573 | 0207571302079713fff7879b02078063 1574 | fec700e3fff686930007470300e90733 1575 | 984fd0ef020525830181350306048863 1576 | 003007930181368304051e6300050413 1577 | 0270061300f704630006c70304300613 1578 | 00e68733034607130206561302061613 1579 | 0346c68300c686b30027458300374783 1580 | 00b7e7b30105959b0187979b00174703 1581 | 00f4a02300e7e7b30087171b00d7e7b3 1582 | 06013403058134830004051306813083 1583 | 00008067070101130481398305013903 1584 | f65ff06f0009002300f5166300400793 1585 | 04913c23f9010113fcdff06f00050413 1586 | 008105930010061300a1342302810493 1587 | 9e4fd0ef061134230681302300048513 1588 | 00010c23008136031205126300050413 1589 | 00f607b30207d7930206979300000693 1590 | 080690630200059306079c630007c783 1591 | f48fd0ef02012a230004851300000593 1592 | 00048513001005930e05126300050413 1593 | 0401350301814783120516638e4fe0ef 1594 | c7dfc0ef0181059300b0061310078063 1595 | 0401378300078b230210071304013783 1596 | 0401378300e78c230401378300078ba3 1597 | 0016869b0d00006f00e78ca304600713 1598 | fff6871bf85ff06f00070693f75ff06f 1599 | 0007c78300f607b30207d79302071793 1600 | 000583130000079301810593feb782e3 1601 | 0006470300c00e9307f00e1301900893 1602 | 0105551b0105151bf9f7051b0017879b 1603 | 0307571303071713fe07071b02a8e863 1604 | 0005480300070f133305051300003517 1605 | ff1ff06f00150513010f08630c080063 1606 | 00040513068130830060041302ee7063 1607 | 00008067070101130601340305813483 1608 | 00d306b300e586b3fe1ff06ffc0710e3 1609 | 09c0006f0017071300a680230017879b 1610 | 001007930281350300f50023fe500793 1611 | fadff06f00050413e39fc0ef00f50223 1612 | f8078ee301814783fef51ae300400793 1613 | f80516e300050413854fe0ef00048513 1614 | b61fc0ef000005930200061304013503 1615 | b2dfc0ef0181059300b0061304013503 1616 | ea5ff06f00e785a30080071304013783 1617 | 001585930016061300e58023f5d78ae3 1618 | 00a006130000071302079593eed7eee3 1619 | 01814703f4f678e3020005130205d593 1620 | 925fb06ff1dff06fe2f716e30e500793 1621 | 0185571b000080670000806700100513 1622 | 0085171b00ff06b700e7e7b30185179b 1623 | f007071b0001073700e7e7b300d77733 1624 | 0000806700a7e53300e575330085551b 1625 | 00008067000080670000051300008067 1626 | 00100513000080670000806700008067 1627 | 00008067001005130000806700008067 1628 | 000504130011342300813023ff010113 1629 | 000134030081308300051a6300044503 1630 | 885fb0ef001404130000806701010113 1631 | 0011342300813023ff010113fe1ff06f 1632 | 00a0051300a7f8630005041300900793 1633 | 02a4753b00a00513fe1ff0ef02a4553b 1634 | 0305051b010101130001340300813083 1635 | 0011342300813023ff010113841fb06f 1636 | fff5859b00b7f8630005041300100793 1637 | 0090079300f47513fe1ff0ef0045551b 1638 | 00013403008130830375051b00a7fc63 1639 | fedff06f0305051bffcfb06f01010113 1640 | 032130230291342302813823fc010113 1641 | 02113c23015134230141382301313c23 1642 | 0000041300060a130005891300050993 1643 | 038130830324c66301000a9300000493 1644 | 02013903028134830301340300000513 1645 | 0401011300813a8301013a0301813983 1646 | 000a8613008a0533008985b300008067 1647 | fbdff06f010404130014849b2ec020ef 1648 | 032130230291342302813823fc010113 1649 | 02113c23015134230141382301313c23 1650 | 0000041300060a130005891300050993 1651 | 038130830324c66301000a9300000493 1652 | 02013903028134830301340300000513 1653 | 0401011300813a8301013a0301813983 1654 | 000a861300898533008a05b300008067 1655 | fbdff06f010404130014849b26c020ef 1656 | 00f12623eef7879bfc010113deadc7b7 1657 | 03213023028138231207a78300005797 1658 | 004797930017891b1104041300005417 1659 | 0141382301313c230291342302113c23 1660 | 00090593008406130007a42300f407b3 1661 | 0010059300010613f2dff0ef40010537 1662 | 00100a13f19ff0ef0001049340011537 1663 | 00098513000a059300048613400109b7 1664 | 020797930041670300816783e81ff0ef 1665 | 0010059300048613fe0790e300e7e7b3 1666 | 03813083ed9ff0ef0001262340011537 1667 | 06f72c230000571700f4242300100793 1668 | 0004282300f4262300090513008007b7 1669 | 01813983020139030301340302813483 1670 | 00005797000080670401011301013a03 1671 | 0017069b008787930007a70304478793 1672 | 00a7a22300e787b300471713fed7ac23 1673 | 00a7a42300e7a0234205551300200713 1674 | 0087879300005797eddff06f00b7a623 1675 | fed7ac230017069b008787930007a703 1676 | 0020071300a7a22300e787b300471713 1677 | 00b7a62300a7a42300e7a02342055513 1678 | eef7879bfd010113deadc7b7ea1ff06f 1679 | 0007a703fbc787930000579700f12e23 1680 | 00b1282300b706bb0211342300100593 1681 | 00e787b300d7a0230047171300a12a23 1682 | 00e7b42300a12c234205551301013703 1683 | e45ff0ef00e7b82300b1342301813703 1684 | fe050513400107b70045151300813583 1685 | 02813083d29ff0ef00f5053301010613 1686 | fd010113000080670301011301c12503 1687 | 00913c2302813023f407a78300005797 1688 | 00f587bb012138230211342301313423 1689 | 0006099300058493000504130ff00713 1690 | f087270300005717dddff0ef00f77463 1691 | 00479793f04909130000591700070793 1692 | 0004051300f907b3deadc637fff00693 1693 | 0206d69300100893000005930097083b 1694 | 000586630707126300100313eef6061b 1695 | fff4c413d89ff0efece7a02300005797 1696 | 00f90933004797930004079300a4043b 1697 | 0009061300a785330004859340010537 1698 | 04946663008484bb00000793c61ff0ef 1699 | 01013903018134830201340302813083 1700 | 00d575b3000080670301011300813983 1701 | 0117a02300b7a4234205559300b7a223 1702 | 00450513010787930017071b00c7a623 1703 | 00e9073300279713f75ff06f00030593 1704 | 00d720230014041b00f9873300c72683 1705 | e306061300006617f99ff06f00478793 1706 | 00050593ec5ff06f0060053702000593 1707 | 0050053700050593db9ff06f00700537 1708 | da1ff06f0040053700050593dadff06f 1709 | 006007b700251513e11ff06f00400537 1710 | 0060053700050593e01ff06f00f50533 1711 | 0081302300113423ff010113d7dff06f 1712 | 010007930007851300a7d4630ff00793 1713 | 000405930007841300f5546300050413 1714 | 00040593c70fb0efc605051300003517 1715 | 00450513006005370001340300813083 1716 | 0060053700050593d29ff06f01010113 1717 | 0060053700050593d19ff06f00850513 1718 | 0060053700050593d09ff06f00c50513 1719 | 0060053700050593cf9ff06f01050513 1720 | 002676130016161bce9ff06f01450513 1721 | 00d666330045f5930025959b0016f693 1722 | 006005370085f5930035159b00b66633 1723 | 0105159bcb5ff06f0185051300b665b3 1724 | ca1ff06f01c505130105d59b00600537 1725 | 0060053700b575b3fff5859b000015b7 1726 | 0060053700050593c89ff06f02050513 1727 | 0105051300251513c79ff06f02450513 1728 | 951ff06f0005250300f50533400107b7 1729 | 00913c230281302302113423fd010113 1730 | 0030079300100413b5dff0ef01213823 1731 | 00040593004007b70001061300f12023 1732 | 008126230001242300f1222340010537 1733 | 00010613eef7879bdeadc7b7ab1ff0ef 1734 | 0001049300f126234001153700040593 1735 | 000405930004861340010937a91ff0ef 1736 | 00416703008167839fdff0ef00090513 1737 | 02813083fe0790e300e7e7b302079793 1738 | 01013903018134830201340300012503 1739 | c1060613000066170000806703010113 1740 | ff010113ca5ff06f0060053702000593 1741 | 00113423006004370200051300813023 1742 | 0004051300c00593e11ff0ef01840413 1743 | ec1ff0ef00100513da5ff0efb7dff0ef 1744 | dddff0ef00000513ecdff0ef00100513 1745 | d7dff0efed1ff0ef1205051b0007a537 1746 | 00813083b45ff0ef0070059300040513 1747 | fa010113d61ff06f0101011300013403 1748 | 03313c23052130230491342304813823 1749 | 01713c23036130230351342303413823 1750 | 0000649704113c230191342301813823 1751 | 000049170190099300000413b5c48493 1752 | 00003a97beca0a1300003a1742490913 1753 | 00003b97bbcb0b1300003b17bd4a8a93 1754 | 00003c97b6cc0c1300003c17b84b8b93 1755 | 01e7d793020417930489e263b3cc8c93 1756 | 00078067012787b30007a783012787b3 1757 | 03a00513fe8ff0ef9c85051300003517 1758 | 849ff0ef008005930004a503890fb0ef 1759 | 020007930014041b87cfb0ef00a00513 1760 | 0501340305813083faf418e300448493 1761 | 03013a03038139830401390304813483 1762 | 01013c0301813b8302013b0302813a83 1763 | 00003517000080670601011300813c83 1764 | 9805051300003517f95ff06f97450513 1765 | f7dff06f98c5051300003517f89ff06f 1766 | 00003517f71ff06f9a05051300003517 1767 | 9a85051300003517f65ff06f9a450513 1768 | f4dff06f9b45051300003517f59ff06f 1769 | 00003517f41ff06f9c05051300003517 1770 | 9c85051300003517f35ff06f9c450513 1771 | f1dff06f9cc5051300003517f29ff06f 1772 | 00003517f11ff06f9d05051300003517 1773 | 9d85051300003517f05ff06f9d450513 1774 | eedff06f9e45051300003517ef9ff06f 1775 | 000c8513ee1ff06f9e85051300003517 1776 | 000b8513ed1ff06f000c0513ed9ff06f 1777 | 000a8513ec1ff06f000b0513ec9ff06f 1778 | fc010113eb1ff06f000a0513eb9ff06f 1779 | 02813823000005130005049302913423 1780 | 0141382301313c230321302302113c23 1781 | b8dff0ef95c404130000641701513423 1782 | 0010051318079a630207f79309842783 1783 | b1dff0ef2419091bc05ff0ef000f4937 1784 | 00079c631007f79302c42783b19ff0ef 1785 | fff9091b00f7766307c4278302842703 1786 | 020005939246061300006617fe0910e3 1787 | 07c42783028427039b9ff0ef00600537 1788 | 9b8505130000351702f7646300000913 1789 | b9dff0ef00000513d69ff0effc9fa0ef 1790 | 09842783fff00913b85ff0ef00000513 1791 | 02c42783aa1ff0ef08078c630207f793 1792 | 07c4278303842703000798634007f793 1793 | 12f77c6307c4278303842703fef764e3 1794 | 0107f79309c427831207886303c42783 1795 | 0005061308100793bddff0ef04078e63 1796 | 948505130000351703c4258300f50a63 1797 | 000007930000051320048a13f49fa0ef 1798 | 00050993b91ff0ef00150a9b00079c63 1799 | 0014849301348023000a851300400793 1800 | 09c42783fc9a1ce3fff787930089d99b 1801 | 0a0425830c090263020788630107f793 1802 | 02d586bb0015859b0a44260306400693 1803 | 02c6c6bb0ab420239005051300003517 1804 | 00000513ab1ff0ef00000513ed9fa0ef 1805 | 030134030009051303813083a99ff0ef 1806 | 01013a03018139830201390302813483 1807 | 09c42783000080670401011300813a83 1808 | 2000091300048a13e60794e30107f793 1809 | 000a470300400a930000079300000513 1810 | 00e5653300d7173b001787930037969b 1811 | fe0910e301578463fff90913001a0a13 1812 | 0000079300000513e20904e3979ff0ef 1813 | 0a442783f35ff06ffff00913fcdff06f 1814 | fd010113f5dff06f0af422230017879b 1815 | 0984a70373c484930000549700913c23 1816 | 02113423003777930141302301313423 1817 | 00200693fff7879b0121382302813023 1818 | 00f6ee630000051300058a1300050993 1819 | 05068693000046970207d79302079793 1820 | 0027f7930a84a7830007850300f687b3 1821 | 4185551b0185151b0205651300078863 1822 | 09c4a78300070e630005041302077713 1823 | 00c00713000794630107f79301400713 1824 | 00500593018909130060093700e46433 1825 | 8cdff0ef00000513e58ff0ef00090513 1826 | 92dff0ef408a551b925ff0ef0ac4a503 1827 | 93dff0ef00000513935ff0ef00040513 1828 | 0b04a503e24ff0ef0009051300700593 1829 | fff7879b000017b70b44a50396dff0ef 1830 | 1205051b0007a53796dff0ef00f57533 1831 | 00098513999ff0ef825ff0ef979ff0ef 1832 | 01013903018134830201340302813083 1833 | c91ff06f0301011300013a0300813983 1834 | fd010113cf1fa06f7285051300002517 1835 | 0121382300913c230211342302813023 1836 | 0ac7c0632ef602630005841302c00793 1837 | 0060079304c7ca6328f6046300e00793 1838 | 004007931206006302c7c46316f60263 1839 | 7e050513000025170006059316f60263 1840 | 008007931200006ffff00613c99fa0ef 1841 | 00005797fcf61ee300c007931af60063 1842 | 028007930200006f08b7ae2359478793 1843 | 32f60e630200079300c7ce6312f60a63 1844 | 0dc0006f00000613faf618e302400793 1845 | 22f6026355c909130000591702a00793 1846 | 03c00793fe1ff06f0cb9222316c7d063 1847 | 2cf60e630300079306c7c06332f60a63 1848 | 02f0079320f6006302e0079302c7ca63 1849 | 0807ae2351c7879300005797f6f612e3 1850 | eecff0efef9ff0ef000404630015f413 1851 | 038007932af6086303400793f99ff06f 1852 | 0cb7ac234ec7879300005797f2f61ae3 1853 | 00c7ca632af6066305000793f79ff06f 1854 | f59ff06f048007932af6086303e00793 1855 | f4f606e30fe00793f4f60ae30fc00793 1856 | 5e05051300002517f41ff06f05200793 1857 | 0000579700813603b85fa0ef00c13423 1858 | 00060513028130830a87ac2349478793 1859 | 03010113010139030181348302013403 1860 | 0ab7a82346c787930000579700008067 1861 | 0ab7aa2345c7879300005797ef9ff06f 1862 | 0a84a78344c4849300005497ee9ff06f 1863 | 0025f79300078c630027f79300f5c7b3 1864 | b0dfa0ef57c505130000251702078263 1865 | 0a84a423e14ff0ef00a404630a84a503 1866 | fe1ff06f5745051300002517ea9ff06f 1867 | e8dff06f0ab7a6234007879300005797 1868 | 06078a63018484930015f793006004b7 1869 | b90ff0ef0004851300400593dd1ff0ef 1870 | 00700593e00ff0ef00000513db8ff0ef 1871 | 00c00713ffe47793b78ff0ef00048513 1872 | 00a0071302e7866300e0071302e78463 1873 | a7dfa0ef51c505130000251700e79863 1874 | 5185051300002517e25ff06f0a892e23 1875 | fe1ff06f51c5051300002517fedff06f 1876 | 00800593a51fa0ef5205051300002517 1877 | 02813083fc9ff06fb18ff0ef00048513 1878 | 00005797010139030181348302013403 1879 | bf1ff06f08b7ac230301011334478793 1880 | 1207879b0007a7b7dc5ff06f0cb92023 1881 | 0cf7242300b7e6633207071300005717 1882 | 0085d59bda1ff06f0cb72423da9ff06f 1883 | 060580630cb4a6233004849300005497 1884 | 0cc4a7039d1fa0ef4b05051300002517 1885 | 0cf4a6230050079300e7c66300400793 1886 | 0cf4a62300e7d4630ff007930cc4a703 1887 | 99dfa0ef49450513000025170cc4a583 1888 | 0007a7b7cecff0ef0015151b0cc4a503 1889 | 00600537c80ff0ef0cf4a4231207879b 1890 | 0070059300040e630185051300447413 1891 | 95dfa0ef4745051300002517a3cff0ef 1892 | 00002517a24ff0ef00300593d6dff06f 1893 | 2587879300005797fe9ff06f47450513 1894 | 2487879300005797ce5ff06f0cb7a823 1895 | c3cff0ef00058513cd5ff06f0cb7aa23 1896 | 0cb7ae2322c7879300005797cc9ff06f 1897 | 0eb7a02321c7879300005797cb9ff06f 1898 | 0eb7a22320c7879300005797ca9ff06f 1899 | 0ea7c06326f5046302a00793c99ff06f 1900 | 0060079306a7c86320f50e6301000793 1901 | 004007931a05046302a7ce631cf50063 1902 | 0000251700050593ff0101131cf50063 1903 | 008130838a1fa0ef001134233ec50513 1904 | 00008067010101130007851300000793 1905 | 1af50a6300e007931af5066300c00793 1906 | 1887879300005797fcf510e300800793 1907 | 00000793020007131440006f0ac7a783 1908 | 1af502630180079302a7466312e50c63 1909 | f8f514e3014007931af5066301c00793 1910 | 10c0006f01c7a7831507879300005797 1911 | 024007931ca7c86312f50e6302800793 1912 | 0487a78312c7879300005797f6f512e3 1913 | 03c007930e00006f0107979b0017b793 1914 | 0000079302f0071306a7c0631cf50c63 1915 | 16f50a6302c0079302a744630ce50463 1916 | 00005797f0f51ee302e0079318f54c63 1917 | 034007930a00006f0c87a7830e478793 1918 | 0300079318f500630380079312f50863 1919 | 0d07a7830bc7879300005797eef51ae3 1920 | 06e5066300000793048007130780006f 1921 | 0440071304f50c630400079302a74863 1922 | eaf51ce303e0079304e50a63000807b7 1923 | 03c0006f0e07a7830807879300005797 1924 | 0fe0071302e50863001007930fc00713 1925 | e8f514e30500079302e5026300200793 1926 | 00c0006f0dc7a7830507879300005797 1927 | 00008067000785139007879b012027b7 1928 | fedff06f0b87a7830307879300005797 1929 | fddff06f0b07a7830207879300005797 1930 | fcdff06f0b47a7830107879300005797 1931 | fbdff06f0a87a7830007879300005797 1932 | fadff06f09c7a783ff07879300005797 1933 | f9dff06f0987a783fe07879300005797 1934 | f8dff06f0187a783fd07879300005797 1935 | f7dff06f0207a783fc07879300005797 1936 | f6dff06f0247a783fb07879300005797 1937 | f5dff06f0d47a783fa07879300005797 1938 | f4dff06f0c07a783f907879300005797 1939 | 0087979b0cc7a783f807879300005797 1940 | f687879300005797f35ff06f0027e793 1941 | f587879300005797f25ff06f0bc7a783 1942 | f487879300005797f15ff06f0c47a783 1943 | f387879300005797f05ff06f0d87a783 1944 | 02813823fc010113ef5ff06f0e47a783 1945 | 0000251706442583f204041300005417 1946 | 032130230291342302113c2314c50513 1947 | ddcfa0ef015134230141382301313c23 1948 | c69fe0ef000004930040059303442503 1949 | 0080059303042503c9cfa0ef03a00513 1950 | c84fa0ef02d00513c51fe0effec00913 1951 | 00800a1301840993c7cfa0ef03e00513 1952 | 02c4250305249663ffc4849302c00a93 1953 | c54fa0ef02c00513c21fe0ef00800593 1954 | 03813083c0dfe0ef0080059302842503 1955 | 01813983020139030281348303013403 1956 | 0401011300a0051300813a8301013a03 1957 | 000a05930107a503009987b3c20fa06f 1958 | f99ff06fc08fa0ef000a8513bd5fe0ef 1959 | 000005130005041300813823fe010113 1960 | 8ecff0ef00b1342300c1302300113c23 1961 | 00058513008135838d4ff0ef00000513 1962 | 000136038b0ff0ef000405138a8ff0ef 1963 | 8acff0ef00060513e004041300005417 1964 | 0e8405138b0ff0ef00100513fd9fe0ef 1965 | 01842503eb1ff0effc5fe0efc5cff0ef 1966 | 0101340300f57533ffff07b701813083 1967 | 02113c23fc0101130000806702010113 1968 | da840413000054170291342302813823 1969 | 015134230141382301313c2303213023 1970 | 0240051300050b13fff0049301613023 1971 | b79ff0ef00001a370c94282300058993 1972 | 0009851320000a9300e0061301aa059b 1973 | b59ff0ef03000513f58ff0ef0b542623 1974 | b49ff0ef0d2428230300051300100913 1975 | 0007a7b7b3dff0ef0c94282302400513 1976 | 00e006130cf4242313aa059b1207879b 1977 | 0b542a2308f42e230009851301200793 1978 | 00050993f04ff0ef0b6426230b242823 1979 | 0d24282303000513b01ff0ef03000513 1980 | 000985130c94282303813083af5ff0ef 1981 | 01813983020139030281348303013403 1982 | 0401011300013b0300813a8301013a03 1983 | 0121382300913c23fd01011300008067 1984 | 02813023021134230141302301313423 1985 | 0040049300060a130005899300050913 1986 | ecdff0ef0009051300098593000a0613 1987 | fe0512e30204866300050413fff4849b 1988 | 02013403018134830004051302813083 1989 | 0301011300013a030081398301013903 1990 | 0000251700090593fc050ee300008067 1991 | d9010113fc9ff06fb24fa0efe8450513 1992 | 2521382324913c232611342326813023 1993 | 2361382323513c232541302325313423 1994 | 21a1382321913c232381302323713423 1995 | dddfe0efbfc404130000541721b13423 1996 | 67050513000015170407886304842783 1997 | 2601340326813083fff005138f1fe0ef 1998 | 24013a03248139832501390325813483 1999 | 22013c0322813b8323013b0323813a83 2000 | 2701011320813d8321013d0321813c83 2001 | 0fe0051399dff0ef0400051300008067 2002 | 000105130010059302f00613995ff0ef 2003 | 0290061397dff0ef02f00513d7cff0ef 2004 | 027f07b7d64ff0ef0001051300f00593 2005 | 0c042c230cf42a230280051303b7879b 2006 | 000105130000059302800613955ff0ef 2007 | 02c0051393dff0ef02400513d3cff0ef 2008 | 000105130020059302c00613935ff0ef 2009 | 02c00613f019059b00010937d1cff0ef 2010 | 909ff0ef02c00513d08ff0ef00010513 2011 | cf0ff0ef0001051302c00613f069059b 2012 | 00000593028006138f1ff0ef02800513 2013 | 02400513fff00913cd8ff0ef00010513 2014 | 0000059300e006138d1ff0ef0d242823 2015 | 00100993cb4ff0ef0a04262300010513 2016 | 024005138adff0ef0d34282303000513 2017 | 1aa00793000015b78a1ff0ef0d242823 2018 | 0af426230001051381a5859b00e00613 2019 | 0300051387dff0ef03000513c7cff0ef 2020 | 869ff0ef000104930d34282300003b37 2021 | 00e00c1371ab0c9b02400b9306500d93 2022 | 0d242823000b851340300d3703000a13 2023 | 00048513000c8593000c0613845ff0ef 2024 | 829ff0ef000a0513c28ff0ef0a042623 2025 | 000b851381dff0ef0d342823000a0513 2026 | 000c0613903b059b811ff0ef0d242823 2027 | 000a0513bf4ff0ef0ba4262300048513 2028 | fe8ff0ef0d342823000a0513ff4ff0ef 2029 | a09fe0efffff0ab70d24282300600537 2030 | c385051300002517000a859301557ab3 2031 | f60d96e3fffd8d9b000ac6638a8fa0ef 2032 | 00e00613facff0ef0d24282302400513 2033 | b90ff0ef0a0426230004851320900593 2034 | 0300051300100993f90ff0ef03000513 2035 | 0d24282302400513f80ff0ef0d342823 2036 | 0004851331a0059300e00613f74ff0ef 2037 | f58ff0ef03000513b58ff0ef0a042623 2038 | 00600537f4cff0ef0d34282303000513 2039 | 01457a3396dfe0efffff0a370d242823 2040 | 80cfa0efbac5051300002517000a0593 2041 | f18ff0ef0d24282300001ab702400513 2042 | 0b44262300048513909a859b00e00613 2043 | 03000513efcff0ef03000513afcff0ef 2044 | 0d24282302400513ef0ff0ef0d342823 2045 | 00048513d1aa859b00e00613ee4ff0ef 2046 | ec8ff0ef03000513ac8ff0ef0b442623 2047 | 02400513ebcff0ef0d34282303000513 2048 | 71a0059300e00613eb0ff0ef0d242823 2049 | 03000513a94ff0ef0b44262300048513 2050 | e88ff0ef0d34282303000513e94ff0ef 2051 | 0d24282371ac0d1b0240051300003c37 2052 | 00048513000d059300e00613e74ff0ef 2053 | e58ff0ef03000513a58ff0ef0b442623 2054 | 02400513e4cff0ef0d34282303000513 2055 | 00800793e3cff0ef0007ab370d242823 2056 | 01200b93120b0b1b00e0061333ac059b 2057 | 0b3428230d6424230af42a2300048513 2058 | 03000513a04ff0ef0a04262309742e23 2059 | df8ff0ef0d34282303000513e04ff0ef 2060 | 010007b7decff0ef0d24282302400513 2061 | 04000c9363a0059300e00613ff17879b 2062 | 0b942a230d6424230af4262300048513 2063 | 030005139b4ff0ef09742e230b342823 2064 | 0d24282302400513db0ff0ef0d342823 2065 | 00e00613ff17879b810007b7da4ff0ef 2066 | 0d6424230af426230004851363a00593 2067 | 970ff0ef09742e230b3428230b942a23 2068 | 0d34282303000513d70ff0ef03000513 2069 | d58ff0ef0d24282302400513d64ff0ef 2070 | 0b44262300048513000d059300e00613 2071 | 03000513d3cff0ef0300051393cff0ef 2072 | 0d24282302400513d30ff0ef0d342823 2073 | 00200c1361a0059300e00613d24ff0ef 2074 | 03000513904ff0ef0b84262300048513 2075 | cf8ff0ef0d34282303000513d04ff0ef 2076 | 02800613cecff0ef0d24282302800513 2077 | 024005138d4ff0ef00048513000c0593 2078 | 000d059300e00613cd0ff0ef0d242823 2079 | 030005138b4ff0ef0b44262300048513 2080 | ca8ff0ef0d34282303000513cb4ff0ef 2081 | 00e00613c9cff0ef0d24282302400513 2082 | 0b942a230d64242300048513d3aa859b 2083 | 870ff0ef0a04262309742e230b342823 2084 | 0d34282303000513c70ff0ef03000513 2085 | c58ff0ef0d24282302400513c64ff0ef 2086 | c02a859b02c00613c50ff0ef02c00513 2087 | c01a859b02c00613838ff0ef00048513 2088 | c28ff0ef02c00513828ff0ef00048513 2089 | 810ff0ef00048513c06a859b02c00613 2090 | 000c059302800613c10ff0ef02800513 2091 | a1dff06f00000513ff9fe0ef00048513 2092 | 0000806700000513000080670ff00513 2093 | 0545230305052e030000806700000513 2094 | 00813423ff01011305c5280305852883 2095 | 0008059304050f930005061300913023 2096 | 00003f17000e0e930003071300088793 2097 | 010f0f1300062683000f2283f24f0f13 2098 | 00e2f2b300b7c2b3005686bb01060613 2099 | 00769e9b01d686bb005686bb00b2c2b3 2100 | ff4f228300e68ebb01d6e6b30196d69b 2101 | 00f745b300b686bb005686bbff462683 2102 | 00c5969b00d585bb00f5c5b301d5f5b3 2103 | ff86268300d5e5b3ff8f22830145d59b 2104 | 00eec7b300f686bb005686bb00be85bb 2105 | 00f7d69b00d787bb00e7c7b300b7f7b3 2106 | 00f587bbffc6268300d7e7b30117979b 2107 | 00bec73300d706bbffcf268300d7073b 2108 | 00a7569b00d7073b01d7473300f77733 2109 | f3f61ce300e7873b00d767330167171b 2110 | f40f0f9300000293f50f0f1300003f17 2111 | 00b6763300f74633000fa68300400393 2112 | 004f0f13000f468300d6063b00f64633 2113 | 0006a68300d506b300269693010f8f93 2114 | 0056961b01d686bb00d606bb0012829b 2115 | 00e68ebbffdf460300c6e6b301b6d69b 2116 | 00d585bb00c5063300261613ff4fa683 2117 | 00eec5b300d586bbffef460300062683 2118 | 0095969b00d585bb00e5c5b300f5f5b3 2119 | 00261613ff8fa68300d5e5b30175d59b 2120 | 00be85bb0006268300d787bb00c50633 2121 | 00e7f7b300bec7b300d786bbffff4603 2122 | 0127d79b00e7969b00d787bb01d7c7b3 2123 | 00c5063300261613ffcfa68300d7e7b3 2124 | 00d706bb00f587bb0006268300d7073b 2125 | 00d7073b00b7473301d7773300f5c733 2126 | 00e7873b00d767330147171b00c7569b 2127 | 00000293e4cf0f1300003f17f07292e3 2128 | 000fa483000f440300400393f70f0f93 2129 | 00042403008504330024141300f74633 2130 | 01d686bb008686bb009686bb00b646b3 2131 | 01d6e6b301c6d69b001f440300469e9b 2132 | 0085043300241413004fa68300e68ebb 2133 | 010f8f93004f0f130004268300d585bb 2134 | ffef460300d585bb01d645b300d586bb 2135 | ff8fa68300d5e5b30155d59b00b5969b 2136 | 0006268300d787bb00c5063300261613 2137 | 00eec7b300d786bbffff460301d585bb 2138 | 0107d79b0107969b00d787bb00b7c7b3 2139 | 00c5063300261613ffcfa68300d7e7b3 2140 | 0012829b00b787bb0006268300d7073b 2141 | 00d7073b00f7473300bec73300d706bb 2142 | 00f7073b00d767330177171b0097569b 2143 | 00000f93d5c6061300003617f0729ce3 2144 | fff5c693000f238300400293fa060f13 2145 | 00064383007686bb00f6c6b300e6e6b3 2146 | 007503b300239393010f0f1300460613 2147 | 01d686bb007686bb001f8f9b0003a383 2148 | 01d6e6b301a6d69bffd6438300669e9b 2149 | 007503b300239393ff4f268300e68ebb 2150 | 00d586bbffe643830003a68300d585bb 2151 | 00d585bb00e5c5b301d5e5b3fff7c593 2152 | ff8f268300d5e5b30165d59b00a5969b 2153 | 0003a68300d787bb007503b300239393 2154 | fff7479300d786bbfff6438301d585bb 2155 | 00f7969b00d787bb01d7c7b300b7e7b3 2156 | 00239393ffcf268300d7e7b30117d79b 2157 | 00b787bb0003a68300d7073b007503b3 2158 | 00b7473300f76733fffec71300d706bb 2159 | 00d767330157171b00b7569b00d7073b 2160 | 00e3073b01de06bbf05f92e300f7073b 2161 | 000134830081340300b805bb00f887bb 2162 | 04b52e2304f52c2304e52a2304d52823 2163 | fc010113048537830000806701010113 2164 | 01413823032130230291342302813823 2165 | 0151342301313c2302113c2301613023 2166 | 00058a130005049300c787b303f7f413 2167 | 408b09bb04000b1304f5342300060913 2168 | 0009099b00f974630207d79302099793 2169 | 02055513020ada9302099a9302041513 2170 | 00a48533000a8613000a0593fc04041b 2171 | 015a0a3341590933228000ef0089843b 2172 | 000780e7000485130404b78300041a63 2173 | 028134830301340303813083fadff06f 2174 | 00813a8301013a030181398302013903 2175 | 04852783000080670401011300013b03 2176 | 0005041303f7f79302813023fd010113 2177 | 012138230207d793020797930017851b 2178 | 00f407b300913c230211342301313423 2179 | 007009130400099300e78023f8000713 2180 | 02049613020555130205151340a984bb 2181 | 29c000ef00a405330000059302065613 2182 | 02f43c23003797930484378300997863 2183 | 00000513000780e70004051304043783 2184 | 018134830201340302813083fc9970e3 2185 | 00008067030101130081398301013903 2186 | efcdb7b704f528233017879b674527b7 2187 | cfe7879b98bae7b704f52a23b897879b 2188 | 04f52e234767879b103257b704f52c23 2189 | 04f5302304053423a087879300000797 2190 | 0100061305050593fe01011300008067 2191 | 000508130e4000ef00113c2300010513 2192 | 00001597294686930000469700000713 2193 | 0007c78300e807b30100051323458593 2194 | 00b606330047d6130017071300268693 2195 | 0007c78300b787b30006460300f7f793 2196 | 01813083fca718e3fef68fa3fec68f23 2197 | 00008067020101132485051300004517 2198 | 000105130005049306913c23f7010113 2199 | 00058913072138230881302308113423 2200 | 000105130004859300090613f21ff0ef 2201 | 00010513e65ff0ef00010513da9ff0ef 2202 | 000906130005069300050413f45ff0ef 2203 | ddcf90ef1a4505130000151700048593 2204 | 08013403078134830004051308813083 2205 | 00a5c7b3000080670901011307013903 2206 | 007007930e07986300c508b30077f793 2207 | 0407986300050713007577930ec7f463 2208 | 03077c6306f76c63fc080793ff88f813 2209 | 008787930006b6030007079300058693 2210 | fff74793ff07e8e3fec7bc2300868693 2211 | 0107073300880813ff88781301078833 2212 | 0005c6830000806709176e63010585b3 2213 | 00158593fed70fa30077779300170713 2214 | 00777793001707130005c683fa0780e3 2215 | f85ff06ffc079ae300158593fed70fa3 2216 | 0185bf030105bf830085b2830005b383 2217 | 0385b6030305b3030285be030205be83 2218 | fa773c23ff85b6830487071304858593 2219 | fdd73c23fde73823fdf73423fc573023 2220 | fed73c23fec73823fe673423ffc73023 2221 | f71576e300050713f39ff06ffaf768e3 2222 | fef70fa300158593001707130005c783 2223 | 0005071300f0081300008067ff1768e3 2224 | 06059e630a07906300f7779302c87a63 2225 | 00b7302300e686b300f67613ff067693 2226 | 00061463fed76ae30107071300b73423 2227 | 000002970026969340c806b300008067 2228 | 00b706a300b7072300c68067005686b3 2229 | 00b704a300b7052300b705a300b70623 2230 | 00b702a300b7032300b703a300b70423 2231 | 00b700a300b7012300b701a300b70223 2232 | 008596930ff5f5930000806700b70023 2233 | 0205969300d5e5b30105969300d5e5b3 2234 | 0000029700279693f6dff06f00d5e5b3 2235 | 00028093f98680e700008293005686b3 2236 | f6c874e300f6063340f70733ff078793 2237 | 0a0798630077f79300b567b3f3dff06f 2238 | 0005b7030007b6833607879300000797 2239 | 00d888b300d7663300d778b3fff00793 2240 | 0086061302f894630005061300c8e8b3 2241 | 00d777b30005b703fee63c2300858593 2242 | ff1780e30107e7b300d7683300d787b3 2243 | 00f600230025c6830015c7030005c783 2244 | 0035c78304070e6300e600a306078263 2245 | 00f601a30045c7030406886300d60123 2246 | 02070c6300e602230055c78304078263 2247 | 00e603230207866300f602a30065c703 2248 | 0005079300008067000603a302070263 2249 | fee78fa300158593001787930005c703 2250 | 000507930075771300008067fe0718e3 2251 | 288787930000079706071e6300050693 2252 | ff86b70300868693fff005930007b603 2253 | 00e7e7b300c7673300c787b300c777b3 2254 | 0607846340a68733ff86c783feb784e3 2255 | 06078463ffa6c78304078c63ff96c783 2256 | ffd6c583ffc6c60304078c63ffb6c783 2257 | 00f0353304058e6304060c63ffe6c783 2258 | 0406886300008067ffe5051300e50533 2259 | fe0718e30077f693001787930007c703 2260 | ff97051300008067fff7851340a787b3 2261 | ffb7051300008067ff87051300008067 2262 | ffc7051300008067ffa7051300008067 2263 | 0007869300008067ffd7051300008067 2264 | 020784630005478302058863f39ff06f 2265 | 0007c70300c0006f0005079300b505b3 2266 | 40a78533feb79ae30017879300070663 2267 | fb010113000080670000051300008067 2268 | 0321382302913c230481302304113423 2269 | 0161382301513c230341302303313423 2270 | cb1f70ef5c4404130000241701713423 2271 | 00000a97ed5fd0ef00700937871f90ef 2272 | 00000b97774b0b1300000b1774ca8a93 2273 | 26040493794a0a1300000a17764b8b93 2274 | 00157513abdfd0ef0009051307100993 2275 | a51f70ef969f90ef000a851300050863 2276 | 00050c6300257513aa1fd0ef00090513 2277 | d55f70ef000b851394df90ef000b0513 2278 | 00048513939f90ef000a0513c91f70ef 2279 | 26044783c08f80ef00048513ff5f70ef 2280 | 040134030000051304813083fb3792e3 2281 | 02013a03028139830301390303813483 2282 | 0501011300813b8301013b0301813a83 2283 | 7305051300000517ff01011300008067 2284 | fff0051300813083840f90ef00113423 2285 | 00000000400210000000806701010113 2286 | 00000000000000000000000000000001 2287 | 00000000400097300000000040000184 2288 | 000000004000c8b8000000004000cbc0 2289 | 000000004000cbc00000000000000000 2290 | 00000000000000800000000000000000 2291 | 0000000000000000ffffffffffffffff 2292 | 00000000deadbeef7f7f7f7f7f7f7f7f 2293 | 00000002464c457f0123456789abcdef 2294 | 445320746e756f6d206f74206c696146 2295 | 000000000000000a2172657669726420 2296 | 25206e65706f206f742064656c696166 2297 | 656e65706f20732500000000000a2173 2298 | 25207265626d754e0000000000000a64 2299 | 0000000000000a732520656d614e2064 2300 | 69642065736f6c63206f74206c696166 2301 | 00000000000073250000000000000072 2302 | 0000000a642520657a697320656c6966 2303 | 69662065736f6c63206f74206c696166 2304 | 206f74206c696166000000000021656c 2305 | 00000000216b73696420746e756f6d75 2306 | 00000000000a2e6465736f6c63207325 2307 | 6172676f72702074736574204d415244 2308 | 6c6220657469725700000000000a2e6d 2309 | 6b20676e69737520786c2540206b636f 2310 | 00000000000000000a786c6c25207965 2311 | 786c2540206b636f6c62206b63656843 2312 | 0a786c6c252079656b20676e69737520 2313 | 6b2021726f7272450000000000000000 2314 | 40206465726f747320786c6c25207965 2315 | 74616d20746f6e2073656f6420786c25 2316 | 0000000a786c6c252068746977206863 2317 | 287373657264646120676e6974697257 2318 | 0a7825203e3d202964252c64252c6425 2319 | 20676e69646165520000000000000000 2320 | 64252c64252c64252873736572646461 2321 | 00000000000000000a7825203e3d2029 2322 | 6b636162646165722073736572646441 2323 | 782520646e756f66202c726f72726520 2324 | 000a782520656220646c756f6873202c 2325 | 20524444206f7420666c652064616f6c 2326 | 6461657220666c65000a79726f6d656d 2327 | 646f6320687469772064656c69616620 2328 | 65687420746f6f420000006430252065 2329 | 2e6d6172676f727020646564616f6c20 2330 | 62204853414c460a00000000000a2e2e 2331 | 0000000a2e6d6172676f727020746f6f 2332 | 6f727020746f6f622043534952776f6c 2333 | 3d3d3d3d3d3d3d3d3d3d3d0a6d617267 2334 | 3d3d3d3d3d3d3d3d3d3d3d3d3d3d3d3d 2335 | 00000000000a3d3d3d3d3d3d3d3d3d3d 2336 | 00000000000000006e69622e746f6f62 2337 | 000000000000000035646d2e746f6f62 2338 | 206d757335646d206465746365707845 2339 | 202935646d2e746f6f62206d6f726628 2340 | 206f74206c6961660000000a7325203d 2341 | 6c6966206d757335646d2065736f6c63 2342 | 2073252064616f4c0000000000002165 2343 | 000000000a79726f6d656d206f746e69 2344 | 25206e65706f206f742064656c696146 2345 | 206f74206c6961660000000000000a73 2346 | 0000000000000a2173252065736f6c63 2347 | 736b6e75686320646c6c252064616f4c 2348 | 79726f6d656d206f7420736574796220 2349 | 726620786c6c25207373657264646120 2350 | 796220646c6c2520666f207325206d6f 2351 | 64657463657078450000000a2e736574 2352 | 206c6175746361202c7325206d757320 2353 | 636568632035444d00000000000a7325 2354 | 00000000000a4b4f207325206d75736b 2355 | 6f6c732064726163000000005c2d2f7c 2356 | 00000000000a7974706d652073692074 2357 | 5f50414d5f564544000000003d616372 2358 | 41425f5f6d6172625f7478655f6f695f 2359 | 00000000000000000a7825203d204553 2360 | 5f7478655f6f695f5f50414d5f564544 2361 | 0a7825203d204b53414d5f5f6d617262 2362 | 5f50414d5f5645440000000000000000 2363 | 0a7825203d20455341425f5f6d656d5f 2364 | 5f50414d5f5645440000000000000000 2365 | 0a7825203d204b53414d5f5f6d656d5f 2366 | 5f50414d5f5645440000000000000000 2367 | 425f5f30696372705f746e695f6f695f 2368 | 000000000000000a7825203d20455341 2369 | 5f746e695f6f695f5f50414d5f564544 2370 | 7825203d204b53414d5f5f3069637270 2371 | 5f50414d5f564544000000000000000a 2372 | 5341425f5f6374725f746e695f6f695f 2373 | 5f50414d5f564544000a7825203d2045 2374 | 53414d5f5f6374725f746e695f6f695f 2375 | 5f50414d5f564544000a7825203d204b 2376 | 41425f5f747261755f7478655f6f695f 2377 | 00000000000000000a7825203d204553 2378 | 5f7478655f6f695f5f50414d5f564544 2379 | 0a7825203d204b53414d5f5f74726175 2380 | 5f50414d5f5645440000000000000000 2381 | 5f6d6f72746f6f625f746e695f6f695f 2382 | 00000000000a7825203d20455341425f 2383 | 5f746e695f6f695f5f50414d5f564544 2384 | 203d204b53414d5f5f6d6f72746f6f62 2385 | 2079646165726c6100000000000a7825 2386 | 00000000000000000a6465746e756f6d 2387 | 6d6d6f63206e776f6e6b6e75203a6325 2388 | 20676e69706d754a000000000a646e61 2389 | 2065737561636562204d415244206f74 2390 | 0a0d2e2e206867696820736920305753 2391 | 20676e69746f6f420000000000000000 2392 | 7561636562204853414c46206d6f7266 2393 | 2e206867696820736920315753206573 2394 | 74736574666c657300000000000a0d2e 2395 | 0000296c6c756e28000000000000203e 2396 | 4646783028746978652021726f727265 2397 | 0a294646464646464646464646464646 2398 | 6e656d656c706d490000000000000000 2399 | 000a216f6f66202c29286e69616d2074 2400 | 00000000000a7830253d65737561636d 2401 | 000000000000000a7830253d6370656d 2402 | 0000000a7830253d726464616461626d 2403 | 0000000000000a7830253d6e736e6965 2404 | 000a7830253d7074000a7830253d7073 2405 | 74657274736e696d0000656c6379636d 2406 | 00000030636378300000000000000000 2407 | 00000032636378300000003163637830 2408 | 00000034636378300000003363637830 2409 | 00000036636378300000003563637830 2410 | 00000038636378300000003763637830 2411 | 00000061636378300000003963637830 2412 | 00000063636378300000006263637830 2413 | 00000065636378300000006463637830 2414 | 0a6425203d2073250000006663637830 2415 | 5b6e6f69746365530000000000000000 2416 | 75716572206e656c000000203a5d6425 2417 | 75746361202c586c25203d2064657269 2418 | 00000000000000000a7825203d206c61 2419 | 302c702578302c7025287970636d656d 2420 | 25287465736d656d000a3b29786c2578 2421 | 000000000a3b29786c2578302c302c70 2422 | 00007f7c5d5b3f3e3d3c3b3a2c2b2a22 2423 | 007f7c5d5b3f3e3d3c3b3a2e2c2b2a22 2424 | 203d2072656469766964206b636f6c43 2425 | 725f646d635f647300000000000a6425 2426 | 0000005d373a38335b65736e6f707365 2427 | 5b65736e6f707365725f646d635f6473 2428 | 725f646d635f647300005d39333a3037 2429 | 005d31373a3230315b65736e6f707365 2430 | 5b65736e6f707365725f646d635f6473 2431 | 00000000000000005d3330313a333331 2432 | 0000000000746961775f646d635f6473 2433 | 000000000000007375746174735f6473 2434 | 31335b74656b6361705f646d635f6473 2435 | 705f646d635f647300000000005d303a 2436 | 000000005d32333a37345b74656b6361 2437 | 00000000746961775f617461645f6473 2438 | 000000746e635f66736e6172745f6473 2439 | 00007375746174735f6f6669665f7872 2440 | 00007375746174735f6f6669665f7874 2441 | 000000000000007463657465645f6473 2442 | 00000000000000006e67696c615f6473 2443 | 64735f726564697669645f6b636f6c63 2444 | 615f646d635f6473000000006b6c635f 2445 | 695f646d635f64730000000000006772 2446 | 617461645f64737b0000000000000000 2447 | 65735f646d635f64732c74726174735f 2448 | 00000000007d5d303a325b676e697474 2449 | 0000000074726174735f646d635f6473 2450 | 6b6c635f64732c74657365725f64737b 2451 | 7473725f617461645f64732c7473725f 2452 | 000000007d7473725f646d635f64732c 2453 | 00000000000000746e636b6c625f6473 2454 | 000000000000657a69736b6c625f6473 2455 | 000074756f656d69745f646d635f6473 2456 | 000000000a74756f656d697420646d63 2457 | 2c6425203d20746e635f66736e617274 2458 | 000a6425203d20746e635f6f66696620 2459 | 256425282064252f6425203d20646162 2460 | 696d5f696368647300000000000a2925 2461 | 3b292874657365725f77685f6e6f696e 2462 | 726464615f414d44000000000000000a 2463 | 000000000000000a7825203d20737365 2464 | 656c62616e6520737562207469622d34 2465 | 7562207469622d340000000000000a64 2466 | 00000000000a64656c62617369642073 2467 | 0000000a56382e31203d207265776f50 2468 | 0000000a56302e33203d207265776f50 2469 | 0000000a56332e33203d207265776f50 2470 | 0000000000000a66666f207265776f50 2471 | 766964206b636f6c6320676e69797254 2472 | 63206c617574634100000a6425203d20 2473 | 25203d2072656469766964206b636f6c 2474 | 6f6c6320647261430000000000000a64 2475 | 00000000000a64656c62616e65206b63 2476 | 6261736964206b636f6c632064726143 2477 | 286e776f6e6b6e75000000000a64656c 2478 | 00003a6425444d430000002978257830 2479 | 657474612033206e6168742065726f4d 2480 | 73206e6f2064656c696166207374706d 2481 | 000000000000000a642520726f746365 2482 | 0000000000000a7825203d2079737562 2483 | 000000000000000a7825203d20414352 2484 | 66656463626139383736353433323130 2485 | 252c70252835646d0000000000000000 2486 | 00000000000000000a7325203d202964 2487 | 0101780100527a010000000000000014 2488 | 0000001c000000140000000000020d1b 2489 | 000000000000000000000028ffff6728 2490 | 00000074ffff6738000000340000001c 2491 | 00000000c1000e4002018158100e5000 2492 | 00000058ffff678c000000540000001c 2493 | 000000000001810389028850200e4400 2494 | 00000054ffff67c4000000740000001c 2495 | 00000000000181038902884c200e4400 2496 | 00000094ffff67f80000009400000024 2497 | 92018106940593038902885c600e4400 2498 | 000000bc000000140000000000079504 2499 | 00018144100e440000000034ffff6864 2500 | 000000bcffff6880000000d400000024 2501 | 069405930492038902885c01900e4400 2502 | 000000fc000000140000000001810795 2503 | 00018144100e440000000034ffff6914 2504 | 00000060ffff6930000001140000001c 2505 | 0000000000c1000e78018154100e5000 2506 | 00000084ffff6970000001340000001c 2507 | 000000000288018103894c04d00e4400 2508 | 00000088ffff69d4000001540000001c 2509 | 00000000000288018103894c500e4400 2510 | 00000028ffff6a3c0000017400000014 2511 | 0000018c0000002c0000000000000000 2512 | 88018174700e440000000140ffff6a4c 2513 | 990a9809970795069405930492038902 2514 | 000001bc000000140008960d9b0c9a0b 2515 | 00018144100e440000000034ffff6b5c 2516 | 000001dcffff6b78000001d40000002c 2517 | 01810a980896069404927401800e4400 2518 | 0d9b0c9a0b9909970795059303890288 2519 | 00000054ffff6d240000020400000014 2520 | 0000021c000000140000000000000000 2521 | 00018150200e440000000058ffff6d60 2522 | 00000068ffff6da0000002340000001c 2523 | 000000000000000288018148100e4400 2524 | 000002b4ffff6de80000025400000024 2525 | 059304920389028801817006d00e4400 2526 | 0000027c0000001c0997089607950694 2527 | 92028850200e440000000050ffff7074 2528 | 0000029c000000240000000389018104 2529 | 54028844400e440000000228ffff70a4 2530 | 00089607950694049254038905930181 2531 | 00000090ffff72a4000002c400000014 2532 | 000002dc0000001c0000000000000000 2533 | 4c028844200e440000000034ffff731c 2534 | 000002fc0000002c0000000000000181 2535 | 89028868500e4400000000e0ffff7330 2536 | 810a9809970896079506940593049203 2537 | 0000032c000000240000000000000001 2538 | 01815c04e00e440000000724ffff73e0 2539 | 00000000079506940593049203890288 2540 | 000000f8ffffeef40000035400000024 2541 | 940593049203890288018164500e4400 2542 | 0000037c000000140009970896079506 2543 | 000000000000000000000018ffff7ab4 2544 | 000003dcffff7ab4000003940000002c 2545 | 099708960795069403897403800e4400 2546 | 0c9a0b9905930492028801810d9b0a98 2547 | 000000c0ffff7e60000003c40000001c 2548 | c8c1000e8002018150028850400e4800 2549 | 00000018ffff7f00000003e400000014 2550 | 000003fc0000001c0000000000000000 2551 | 54028844100e440000000060ffff7f00 2552 | 0000041c000000140000000000000181 2553 | 00018154100e440000000020ffff7f40 2554 | 00000014ffff7f480000043400000014 2555 | 0000044c000000140000000000000000 2556 | 00018144200e44000000002cffff7f44 2557 | 00000008ffff7f580000046400000014 2558 | 0000047c000000140000000000000000 2559 | 0001814c100e440000000024ffffeec4 2560 | 00000060ffff7f300000049400000014 2561 | 000004ac0000001400018148300e4400 2562 | 00098164600e440000000050ffff7f78 2563 | 00000068ffff7fb0000004c40000001c 2564 | 00000000000007815c088850600e4400 2565 | 000003e0ffff7ff8000004e40000001c 2566 | 000593038901810492028854300e4400 2567 | 00000108ffff83b8000005040000002c 2568 | 89028850018107955004924c500e4400 2569 | 0a9809970896069405935400080c4403 2570 | 00000034ffff84900000053400000014 2571 | 0000054c000000140000000000000000 2572 | 00000000000000000000001cffff84ac 2573 | 00000024ffff84b00000056400000014 2574 | 0000057c000000140000000000000000 2575 | 000000000000000000000038ffff84bc 2576 | 00000054ffff84dc0000059400000014 2577 | 000005ac000000140000000000000000 2578 | 000000000000000000000014ffff8518 2579 | 00000014ffff8514000005c400000014 2580 | 000005dc000000140000000000000000 2581 | 000000000000000000000030ffff8510 2582 | 000000f4ffff8528000005f40000001c 2583 | 000593049203890288018154300e4400 2584 | 0000001cffff85fc0000061400000014 2585 | 0000062c0000001c0000000000000000 2586 | 81028848100e440000000034ffff8600 2587 | 0000064c0000001c0000000000000001 2588 | 8902884c300e44000000004cffff8614 2589 | 0000066c0000001c0000000000018103 2590 | 8902884c200e440000000090ffff8640 2591 | 0000068c000000140000000000018103 2592 | 000000000000000000000014ffff86b0 2593 | 00000014ffff86ac000006a400000014 2594 | 000006bc000000140000000000000000 2595 | 000000000000000000000014ffff86a8 2596 | 00000010ffff86a4000006d400000014 2597 | 000006ec000000140000000000000000 2598 | 000000000000000000000008ffff869c 2599 | 0000000cffff868c0000070400000014 2600 | 0000071c000000140000000000000000 2601 | 000000000000000000000008ffff8680 2602 | 00000008ffff86700000073400000014 2603 | 0000074c000000440000000000000000 2604 | 05934401800e7000000001ecffff8660 2605 | 9604920389028801816c07954806944c 2606 | 000e018c030d9b0c9a0b990a98099708 2607 | 000000dbdad9d8d7d6d5d4d3d2c9c8c1 2608 | 00000050ffff8804000007940000001c 2609 | 00000000c1000e4402018144100e4800 2610 | 00000018ffff8834000007b400000014 2611 | 000007cc000000240000000000000000 2612 | 9202885c300e6400000000a4ffff8834 2613 | d3d2c9c8c1000e600205930389018104 2614 | 00000120ffff88b0000007f400000024 2615 | 011c03049203890181028850200e4800 2616 | 0000081c000000340000d2c9c8c1000e 2617 | 8102884c400e5c00000002f0ffff89a8 2618 | 880181400e44c9c8c1000eb802038901 2619 | 0000000000c9c8c1000e023c03038902 2620 | 00000024ffff8c600000085400000014 2621 | 0000086c000000140000000000000000 2622 | 00000000000000000000001cffff8c6c 2623 | 000000c4ffff8c700000088400000014 2624 | 0000089c000000140000000000000000 2625 | 000000000000000000000070ffff8d1c 2626 | 00000054ffff8d74000008b40000001c 2627 | 0000000000c1000e68018144100e6400 2628 | 00000038ffff8da8000008d400000014 2629 | 000008ec000000240000000000000000 2630 | 89028858300e4400000000b0ffff8dc8 2631 | 00000000000000059304920181069403 2632 | 00000014ffff8e500000091400000014 2633 | 0000092c0000001c0000000000000000 2634 | 8102884c300e440000000114ffff8e4c 2635 | 0000094c0000001c0000000000038901 2636 | 81028850200e480000000074ffff8f40 2637 | 0000096c0000001c0000000492038901 2638 | 81028848100e4400000000acffff8f94 2639 | 0000098c000000240000000000000001 2640 | 94059360500e440000000400ffff9020 2641 | 00000008960795049203890288018106 2642 | 0000002cffff93f8000009b400000014 2643 | 000009cc0000002c0000000000000000 2644 | 81059354300e500000000178ffff940c 2645 | d2c9c8c1000e015c0304920389028801 2646 | 000009fc0000002400000000000000d3 2647 | 5c028844400e44000000011cffff9554 2648 | 00000000079506940593038901810492 2649 | 00000078ffff964800000a240000001c 2650 | 00000000000181038902884c200e4800 2651 | 000001ccffff96a000000a4400000024 2652 | 940492038902880181059358300e4400 2653 | 00000a6c000000240000000000000006 2654 | 9303895c400e440000000144ffff9844 2655 | 00000000000795069404920288018105 2656 | 000001b8ffff996000000a9400000024 2657 | 049203890288018168059344400e4400 2658 | 00000abc000000240000089607950694 2659 | 89028860400e440000000354ffff9af0 2660 | 00000008960795069405930492018103 2661 | 000000b4ffff9e1c00000ae40000001c 2662 | 018148059304920389028850300e4400 2663 | 00000080ffff9eb000000b040000001c 2664 | 000000049203890181028854200e4400 2665 | 00000078ffff9f1000000b240000001c 2666 | 00000000000181038902884c200e4400 2667 | 000000d4ffff9f6800000b440000003c 2668 | 069405930492038902880181300e5000 2669 | 89028858300e48d4d3d2c9c8c1000e64 2670 | 00000000000000069405930492018103 2671 | 00000094ffff9ffc00000b8400000014 2672 | 00000b9c000000240001814c400e4400 2673 | 01815c01900e44000000022cffffa078 2674 | 00000000079506940593049203890288 2675 | 00000294ffffa27c00000bc40000002c 2676 | 038901810896079502887401800e4400 2677 | 0d9b0c9a0b990a980997069405930492 2678 | 000002e0ffffa4e000000bf40000002c 2679 | 018108960795059302887401800e4400 2680 | 0d9b0c9a0b990a980997069404920389 2681 | 00000114ffffa79000000c240000001c 2682 | 000000000000000181028848100e4400 2683 | 00000038ffffa88400000c440000001c 2684 | 000000000000000181028848100e4400 2685 | 00000038ffffa89c00000c6400000014 2686 | 00000c7c0000001c0001814c200e4400 2687 | 5c038944700e4400000000a8ffffa8bc 2688 | 00000c9c000000240000000001810288 2689 | 03896401b00e440000000230ffffa944 2690 | 09970896079506940593028801810492 2691 | 00000234ffffab4c00000cc400000024 2692 | 94049201810593038902885c400e4400 2693 | 00000cec0000001c0000000000079506 2694 | 88018148400e4400000000c4ffffad58 2695 | 00000d0c0000001c0000000000000002 2696 | 81028848100e44000000002cffffadfc 2697 | 00000d2c0000001c0000000000000001 2698 | 89028850300e4400000000a4ffffae08 2699 | 00000d4c0000001c0000000181049203 2700 | 4c038944700e440000000090ffffae8c 2701 | 00000d6c0000002c0001810288580492 2702 | 4c028844600e4400000001c0ffffaefc 2703 | 97089607950694038901810593700492 2704 | 00000d9c0000001c00000000000a9809 2705 | 89028850200e44000000012cffffb08c 2706 | 00000dbc000000240000000492018103 2707 | 03894401a00e440000000128ffffb198 2708 | 00000000000000059304920288018164 2709 | 000002b0ffffb29800000de400000034 2710 | 038901810288440209974401c00e4400 2711 | 0c9a0b990a9808960795069405930492 2712 | 00000e1c0000001c0000000000000d9b 2713 | 50038944700e4400000000acffffb510 2714 | 00000e3c0000001c0001815804920288 2715 | 02884401b00e4400000001ccffffb59c 2716 | 00000e5c0000001c0004920389018164 2717 | 4c038944700e4400000000b0ffffb748 2718 | 00000e7c000000240000000181540288 2719 | 50059344700e440000000150ffffb7d8 2720 | 00000000000000018102885c04920389 2721 | 00000234ffffb90000000ea40000001c 2722 | 00000000018102885c038944700e4400 2723 | 00000004ffffbb1400000ec400000014 2724 | 00000edc000000140000000000000000 2725 | 000000000000000000000008ffffbb00 2726 | 00000004ffffbaf000000ef400000014 2727 | 00000f0c000000140000000000000000 2728 | 000000000000000000000034ffffbadc 2729 | 00000004ffffbaf800000f2400000014 2730 | 00000f3c000000140000000000000000 2731 | 000000000000000000000008ffffbae4 2732 | 00000004ffffbad400000f5400000014 2733 | 00000f6c000000140000000000000000 2734 | 000000000000000000000004ffffbac0 2735 | 00000004ffffbaac00000f8400000014 2736 | 00000f9c000000140000000000000000 2737 | 000000000000000000000004ffffba98 2738 | 00000008ffffba8400000fb400000014 2739 | 00000fcc000000140000000000000000 2740 | 000000000000000000000004ffffba74 2741 | 00000008ffffba6000000fe400000014 2742 | 00000ffc0000001c0000000000000000 2743 | 81028848100e440000000034ffffba50 2744 | 0000101c0000001c0000000000000001 2745 | 81028848100e440000000040ffffba64 2746 | 0000103c0000001c0000000000000001 2747 | 81028848100e44000000004cffffba84 2748 | 0000105c000000240000000000000001 2749 | 8902885c400e440000000080ffffbab0 2750 | 00000000000181079506940593049203 2751 | 00000080ffffbb080000108400000024 2752 | 95069405930492038902885c400e4400 2753 | 000010ac000000240000000000018107 2754 | 92028858400e4800000000ecffffbb60 2755 | 00000000000006940593038901816004 2756 | 0000003cffffbc24000010d400000014 2757 | 000010ec000000140000000000000000 2758 | 00000000000000000000003cffffbc48 2759 | 00000088ffffbc6c0000110400000014 2760 | 0000111c0000001c0001815c300e4800 2761 | 8902885c300e44000000012cffffbcdc 2762 | 0000113c000000140004920181059303 2763 | 000000000000000000000014ffffbde8 2764 | 0000000cffffbde40000115400000014 2765 | 0000116c000000140000000000000000 2766 | 00000000000000000000000cffffbdd8 2767 | 0000000cffffbdcc0000118400000014 2768 | 0000119c000000140000000000000000 2769 | 000000000000000000000008ffffbdc0 2770 | 00000010ffffbdb0000011b400000014 2771 | 000011cc000000140000000000000000 2772 | 00000000000000000000000cffffbda8 2773 | 00000054ffffbd9c000011e40000001c 2774 | 000000000000000288018148100e4400 2775 | 00000010ffffbdd00000120400000014 2776 | 0000121c000000140000000000000000 2777 | 000000000000000000000010ffffbdc8 2778 | 00000010ffffbdc00000123400000014 2779 | 0000124c000000140000000000000000 2780 | 000000000000000000000010ffffbdb8 2781 | 00000034ffffbdb00000126400000014 2782 | 0000127c000000140000000000000000 2783 | 000000000000000000000014ffffbdcc 2784 | 00000018ffffbdc80000129400000014 2785 | 000012ac000000140000000000000000 2786 | 000000000000000000000010ffffbdc8 2787 | 00000018ffffbdc0000012c400000014 2788 | 000012dc0000001c0000000000000000 2789 | 88018150300e4400000000a8ffffbdc0 2790 | 000012fc000000140000000492038902 2791 | 000000000000000000000014ffffbe48 2792 | 00000070ffffbe44000013140000001c 2793 | 000000000000018148028848100e4400 2794 | 000001f0ffffbe94000013340000002c 2795 | 95069405930492038902886c600e4400 2796 | 000000000001810b990a980997089607 2797 | 00000240ffffc0540000136400000024 2798 | 059304920181028860038944400e4400 2799 | 0000138c000000240000000007950694 2800 | 64038944300e440000000134ffffc26c 2801 | 00000000000004920288018106940593 2802 | 0000000cffffc378000013b400000014 2803 | 000013cc0000001c0000000000000000 2804 | 81028850300e440000000408ffffc36c 2805 | 000013ec0000001c0000000492038901 2806 | 5c018150100e7400000002d4ffffc754 2807 | 0000140c000000240000000000c1000e 2808 | 6c028844400e4400000000e8ffffca08 2809 | 00000000079506940593049203890181 2810 | 00000088ffffcac8000014340000001c 2811 | 00000000000001814c028844200e4400 2812 | 000000fcffffcb300000145400000024 2813 | 059304925c0389028801814c400e4400 2814 | 0000147c000000240000089607950694 2815 | 92038958300e440000000088ffffcc04 2816 | 00000000000000028801810694059304 2817 | 00000644ffffcc64000014a40000002c 2818 | 059304920389018102887404f00e4400 2819 | 0d9b0c9a0b990a980997089607950694 2820 | 00000008ffffd278000014d400000014 2821 | 000014ec000000140000000000000000 2822 | 000000000000000000000008ffffd268 2823 | 00000008ffffd2580000150400000014 2824 | 0000151c0000001c0000000000000000 2825 | 89018848100e540000000460ffffd248 2826 | 0000153c000000240000000000000002 2827 | 89028860400e4800000000c4ffffd688 2828 | 00000007950593018108960694049203 2829 | 000000a4ffffd724000015640000001c 2830 | 038901810593049260028848300e4800 2831 | 00000044ffffd7a80000158400000014 2832 | 0000159c000000140000000000000000 2833 | 00018150200e44000000007cffffd7d4 2834 | 0000007cffffd838000015b40000001c 2835 | 000492028801815403894401900e4400 2836 | 0000011cffffd894000015d400000014 2837 | 000015ec000000140000000000000000 2838 | 0000000000000000000000d4ffffda74 2839 | 000000dcffffdb300000160400000014 2840 | 0000161c000000140000000000000000 2841 | 000000000000000000000038ffffdbf4 2842 | ffffbcb4ffffbca8ffffbc9cffffbc30 2843 | ffffbce4ffffbcd8ffffbcccffffbcc0 2844 | ffffbd14ffffbd08ffffbcfcffffbcf0 2845 | ffffbc58ffffbc58ffffbc58ffffbd20 2846 | ffffbd50ffffbd44ffffbd38ffffbd2c 2847 | ffffbd74ffffbd6cffffbd64ffffbd5c 2848 | 0000000000010103ffffbd84ffffbd7c 2849 | 00000000004000000000000000500000 2850 | 00000000007000000000000000600000 2851 | c1bdceee242070dbe8c7b756d76aa478 2852 | fd469501a83046134787c62af57c0faf 2853 | 895cd7beffff5bb18b44f7af698098d8 2854 | 49b40821a679438efd9871936b901122 2855 | e9b6c7aa265e5a51c040b340f61e2562 2856 | e7d3fbc8d8a1e68102441453d62f105d 2857 | 455a14edf4d50d87c33707d621e1cde6 2858 | 8d2a4c8a676f02d9fcefa3f8a9e3e905 2859 | fde5380c6d9d61228771f681fffa3942 2860 | bebfbc70f6bb4b604bdecfa9a4beea44 2861 | 04881d05d4ef3085eaa127fa289b7ec6 2862 | c4ac56651fa27cf8e6db99e5d9d4d039 2863 | fc93a039ab9423a7432aff97f4292244 2864 | 85845dd1ffeff47d8f0ccc92655b59c3 2865 | 4e0811a1a3014314fe2ce6e06fa87e4f 2866 | eb86d3912ad7d2bbbd3af235f7537e82 2867 | 0c07020d08030e09040f0a05000b0601 2868 | 020f0c090603000d0a0704010e0b0805 2869 | 09020b040d060f08010a030c050e0700 2870 | 00000000000000000000000000000000 2871 | 00000000000000000000000000000000 2872 | 00000000000000000000000000000000 2873 | 00000000000000000000000000000000 2874 | 00000000000000000000000000000000 2875 | 00000000000000000000000000000000 2876 | 00000000000000000000000000000000 2877 | 00000000000000000000000000000000 2878 | 00000000000000000000000000000000 2879 | 00000000000000000000000000000000 2880 | 00000000000000000000000000000000 2881 | 00000000000000000000000000000000 2882 | 00000000000000000000000000000000 2883 | 00000000000000000000000000000000 2884 | 00000000000000000000000000000000 2885 | 00000000000000000000000000000000 2886 | 00000000000000000000000000000000 2887 | 00000000000000000000000000000000 2888 | 00000000000000000000000000000000 2889 | 00000000000000000000000000000000 2890 | 00000000000000000000000000000000 2891 | 00000000000000000000000000000000 2892 | 00000000000000000000000000000000 2893 | 00000000000000000000000000000000 2894 | 00000000000000000000000000000000 2895 | 00000000000000000000000000000000 2896 | 00000000000000000000000000000000 2897 | 00000000000000000000000000000000 2898 | 00000000000000000000000000000000 2899 | 00000000000000000000000000000000 2900 | 00000000000000000000000000000000 2901 | 00000000000000000000000000000000 2902 | 00000000000000000000000000000000 2903 | 00000000000000000000000000000000 2904 | 00000000000000000000000000000000 2905 | 00000000000000000000000000000000 2906 | 00000000000000000000000000000000 2907 | 00000000000000000000000000000000 2908 | 00000000000000000000000000000000 2909 | 00000000000000000000000000000000 2910 | 00000000000000000000000000000000 2911 | 00000000000000000000000000000000 2912 | 00000000000000000000000000000000 2913 | 00000000000000000000000000000000 2914 | 00000000000000000000000000000000 2915 | 00000000000000000000000000000000 2916 | 00000000000000000000000000000000 2917 | 00000000000000000000000000000000 2918 | 00000000000000000000000000000000 2919 | 00000000000000000000000000000000 2920 | 00000000000000000000000000000000 2921 | 00000000000000000000000000000000 2922 | 00000000000000000000000000000000 2923 | 00000000000000000000000000000000 2924 | 00000000000000000000000000000000 2925 | 00000000000000000000000000000000 2926 | 00000000000000000000000000000000 2927 | 00000000000000000000000000000000 2928 | 00000000000000000000000000000000 2929 | 00000000000000000000000000000000 2930 | 00000000000000000000000000000000 2931 | 00000000000000000000000000000000 2932 | 00000000000000000000000000000000 2933 | 00000000000000000000000000000000 2934 | 00000000000000000000000000000000 2935 | 00000000000000000000000000000000 2936 | 00000000000000000000000000000000 2937 | 00000000000000000000000000000000 2938 | 00000000000000000000000000000000 2939 | 00000000000000000000000000000000 2940 | 00000000000000000000000000000000 2941 | 00000000000000000000000000000000 2942 | 00000000000000000000000000000000 2943 | 00000000000000000000000000000000 2944 | 00000000000000000000000000000000 2945 | 00000000000000000000000000000000 2946 | 00000000000000000000000000000000 2947 | 00000000000000000000000000000000 2948 | 00000000000000000000000000000000 2949 | 00000000000000000000000000000000 2950 | 00000000000000000000000000000000 2951 | 00000000000000000000000000000000 2952 | 00000000000000000000000000000000 2953 | 00000000000000000000000000000000 2954 | 00000000000000000000000000000000 2955 | 00000000000000000000000000000000 2956 | 00000000000000000000000000000000 2957 | 00000000000000000000000000000000 2958 | 00000000000000000000000000000000 2959 | 00000000000000000000000000000000 2960 | 00000000000000000000000000000000 2961 | 00000000000000000000000000000000 2962 | 00000000000000000000000000000000 2963 | 00000000000000000000000000000000 2964 | 00000000000000000000000000000000 2965 | 00000000000000000000000000000000 2966 | 00000000000000000000000000000000 2967 | 00000000000000000000000000000000 2968 | 00000000000000000000000000000000 2969 | 00000000000000000000000000000000 2970 | 00000000000000000000000000000000 2971 | 00000000000000000000000000000000 2972 | 00000000000000000000000000000000 2973 | 00000000000000000000000000000000 2974 | 00000000000000000000000000000000 2975 | 00000000000000000000000000000000 2976 | 00000000000000000000000000000000 2977 | 00000000000000000000000000000000 2978 | 00000000000000000000000000000000 2979 | 00000000000000000000000000000000 2980 | 00000000000000000000000000000000 2981 | 00000000000000000000000000000000 2982 | 00000000000000000000000000000000 2983 | 00000000000000000000000000000000 2984 | 00000000000000000000000000000000 2985 | 00000000000000000000000000000000 2986 | 00000000000000000000000000000000 2987 | 00000000000000000000000000000000 2988 | 00000000000000000000000000000000 2989 | 00000000000000000000000000000000 2990 | 00000000000000000000000000000000 2991 | 00000000000000000000000000000000 2992 | 00000000000000000000000000000000 2993 | 00000000000000000000000000000000 2994 | 00000000000000000000000000000000 2995 | 00000000000000000000000000000000 2996 | 00000000000000000000000000000000 2997 | 00000000000000000000000000000000 2998 | 00000000000000000000000000000000 2999 | 00000000000000000000000000000000 3000 | 00000000000000000000000000000000 3001 | 00000000000000000000000000000000 3002 | 00000000000000000000000000000000 3003 | 00000000000000000000000000000000 3004 | 00000000000000000000000000000000 3005 | 00000000000000000000000000000000 3006 | 00000000000000000000000000000000 3007 | 00000000000000000000000000000000 3008 | 00000000000000000000000000000000 3009 | 00000000000000000000000000000000 3010 | 00000000000000000000000000000000 3011 | 00000000000000000000000000000000 3012 | 00000000000000000000000000000000 3013 | 00000000000000000000000000000000 3014 | 00000000000000000000000000000000 3015 | 00000000000000000000000000000000 3016 | 00000000000000000000000000000000 3017 | 00000000000000000000000000000000 3018 | 00000000000000000000000000000000 3019 | 00000000000000000000000000000000 3020 | 00000000000000000000000000000000 3021 | 00000000000000000000000000000000 3022 | 00000000000000000000000000000000 3023 | 00000000000000000000000000000000 3024 | 00000000000000000000000000000000 3025 | 00000000000000000000000000000000 3026 | 00000000000000000000000000000000 3027 | 00000000000000000000000000000000 3028 | 00000000000000000000000000000000 3029 | 00000000000000000000000000000000 3030 | 00000000000000000000000000000000 3031 | 00000000000000000000000000000000 3032 | 00000000000000000000000000000000 3033 | 00000000000000000000000000000000 3034 | 00000000000000000000000000000000 3035 | 00000000000000000000000000000000 3036 | 00000000000000000000000000000000 3037 | 00000000000000000000000000000000 3038 | 00000000000000000000000000000000 3039 | 00000000000000000000000000000000 3040 | 00000000000000000000000000000000 3041 | 00000000000000000000000000000000 3042 | 00000000000000000000000000000000 3043 | 00000000000000000000000000000000 3044 | 00000000000000000000000000000000 3045 | 00000000000000000000000000000000 3046 | 00000000000000000000000000000000 3047 | 00000000000000000000000000000000 3048 | 00000000000000000000000000000000 3049 | 00000000000000000000000000000000 3050 | 00000000000000000000000000000000 3051 | 00000000000000000000000000000000 3052 | 00000000000000000000000000000000 3053 | 00000000000000000000000000000000 3054 | 00000000000000000000000000000000 3055 | 00000000000000000000000000000000 3056 | 00000000000000000000000000000000 3057 | 00000000000000000000000000000000 3058 | 00000000000000000000000000000000 3059 | 00000000000000000000000000000000 3060 | 00000000000000000000000000000000 3061 | 00000000000000000000000000000000 3062 | 00000000000000000000000000000000 3063 | 00000000000000000000000000000000 3064 | 00000000000000000000000000000000 3065 | 00000000000000000000000000000000 3066 | 00000000000000000000000000000000 3067 | 00000000000000000000000000000000 3068 | 00000000000000000000000000000000 3069 | 00000000000000000000000000000000 3070 | 00000000000000000000000000000000 3071 | 00000000000000000000000000000000 3072 | 00000000000000000000000000000000 3073 | 00000000000000000000000000000000 3074 | 00000000000000000000000000000000 3075 | 00000000000000000000000000000000 3076 | 00000000000000000000000000000000 3077 | 00000000000000000000000000000000 3078 | 00000000000000000000000000000000 3079 | 00000000000000000000000000000000 3080 | 00000000000000000000000000000000 3081 | 00000000000000000000000000000000 3082 | 00000000000000000000000000000000 3083 | 00000000000000000000000000000000 3084 | 00000000000000000000000000000000 3085 | 00000000000000000000000000000000 3086 | 00000000000000000000000000000000 3087 | 00000000000000000000000000000000 3088 | 00000000000000000000000000000000 3089 | 00000000000000000000000000000000 3090 | 00000000000000000000000000000000 3091 | 00000000000000000000000000000000 3092 | 00000000000000000000000000000000 3093 | 00000000000000000000000000000000 3094 | 00000000000000000000000000000000 3095 | 00000000000000000000000000000000 3096 | 00000000000000000000000000000000 3097 | 00000000000000000000000000000000 3098 | 00000000000000000000000000000000 3099 | 00000000000000000000000000000000 3100 | 00000000000000000000000000000000 3101 | 00000000000000000000000000000000 3102 | 00000000000000000000000000000000 3103 | 00000000000000000000000000000000 3104 | 00000000000000000000000000000000 3105 | 00000000000000000000000000000000 3106 | 00000000000000000000000000000000 3107 | 00000000000000000000000000000000 3108 | 00000000000000000000000000000000 3109 | 00000000000000000000000000000000 3110 | 00000000000000000000000000000000 3111 | 00000000000000000000000000000000 3112 | 00000000000000000000000000000000 3113 | 00000000000000000000000000000000 3114 | 00000000000000000000000000000000 3115 | 00000000000000000000000000000000 3116 | 00000000000000000000000000000000 3117 | 00000000000000000000000000000000 3118 | 00000000000000000000000000000000 3119 | 00000000000000000000000000000000 3120 | 00000000000000000000000000000000 3121 | 00000000000000000000000000000000 3122 | 00000000000000000000000000000000 3123 | 00000000000000000000000000000000 3124 | 00000000000000000000000000000000 3125 | 00000000000000000000000000000000 3126 | 00000000000000000000000000000000 3127 | 00000000000000000000000000000000 3128 | 00000000000000000000000000000000 3129 | 00000000000000000000000000000000 3130 | 00000000000000000000000000000000 3131 | 00000000000000000000000000000000 3132 | 00000000000000000000000000000000 3133 | 00000000000000000000000000000000 3134 | 00000000000000000000000000000000 3135 | 00000000000000000000000000000000 3136 | 00000000000000000000000000000000 3137 | 00000000000000000000000000000000 3138 | 00000000000000000000000000000000 3139 | 00000000000000000000000000000000 3140 | 00000000000000000000000000000000 3141 | 00000000000000000000000000000000 3142 | 00000000000000000000000000000000 3143 | 00000000000000000000000000000000 3144 | 00000000000000000000000000000000 3145 | 00000000000000000000000000000000 3146 | 00000000000000000000000000000000 3147 | 00000000000000000000000000000000 3148 | 00000000000000000000000000000000 3149 | 00000000000000000000000000000000 3150 | 00000000000000000000000000000000 3151 | 00000000000000000000000000000000 3152 | 00000000000000000000000000000000 3153 | 00000000000000000000000000000000 3154 | 00000000000000000000000000000000 3155 | 00000000000000000000000000000000 3156 | 00000000000000000000000000000000 3157 | 00000000000000000000000000000000 3158 | 00000000000000000000000000000000 3159 | 00000000000000000000000000000000 3160 | 00000000000000000000000000000000 3161 | 00000000000000000000000000000000 3162 | 00000000000000000000000000000000 3163 | 00000000000000000000000000000000 3164 | 00000000000000000000000000000000 3165 | 00000000000000000000000000000000 3166 | 00000000000000000000000000000000 3167 | 00000000000000000000000000000000 3168 | 00000000000000000000000000000000 3169 | 00000000000000000000000000000000 3170 | 00000000000000000000000000000000 3171 | 00000000000000000000000000000000 3172 | 00000000000000000000000000000000 3173 | 00000000000000000000000000000000 3174 | 00000000000000000000000000000000 3175 | 00000000000000000000000000000000 3176 | 00000000000000000000000000000000 3177 | 00000000000000000000000000000000 3178 | 00000000000000000000000000000000 3179 | 00000000000000000000000000000000 3180 | 00000000000000000000000000000000 3181 | 00000000000000000000000000000000 3182 | 00000000000000000000000000000000 3183 | 00000000000000000000000000000000 3184 | 00000000000000000000000000000000 3185 | 00000000000000000000000000000000 3186 | 00000000000000000000000000000000 3187 | 00000000000000000000000000000000 3188 | 00000000000000000000000000000000 3189 | 00000000000000000000000000000000 3190 | 00000000000000000000000000000000 3191 | 00000000000000000000000000000000 3192 | 00000000000000000000000000000000 3193 | 00000000000000000000000000000000 3194 | 00000000000000000000000000000000 3195 | 00000000000000000000000000000000 3196 | 00000000000000000000000000000000 3197 | 00000000000000000000000000000000 3198 | 00000000000000000000000000000000 3199 | 00000000000000000000000000000000 3200 | 00000000000000000000000000000000 3201 | 00000000000000000000000000000000 3202 | 00000000000000000000000000000000 3203 | 00000000000000000000000000000000 3204 | 00000000000000000000000000000000 3205 | 00000000000000000000000000000000 3206 | 00000000000000000000000000000000 3207 | 00000000000000000000000000000000 3208 | 00000000000000000000000000000000 3209 | 00000000000000000000000000000000 3210 | 00000000000000000000000000000000 3211 | 00000000000000000000000000000000 3212 | 00000000000000000000000000000000 3213 | 00000000000000000000000000000000 3214 | 00000000000000000000000000000000 3215 | 00000000000000000000000000000000 3216 | 00000000000000000000000000000000 3217 | 00000000000000000000000000000000 3218 | 00000000000000000000000000000000 3219 | 00000000000000000000000000000000 3220 | 00000000000000000000000000000000 3221 | 00000000000000000000000000000000 3222 | 00000000000000000000000000000000 3223 | 00000000000000000000000000000000 3224 | 00000000000000000000000000000000 3225 | 00000000000000000000000000000000 3226 | 00000000000000000000000000000000 3227 | 00000000000000000000000000000000 3228 | 00000000000000000000000000000000 3229 | 00000000000000000000000000000000 3230 | 00000000000000000000000000000000 3231 | 00000000000000000000000000000000 3232 | 00000000000000000000000000000000 3233 | 00000000000000000000000000000000 3234 | 00000000000000000000000000000000 3235 | 00000000000000000000000000000000 3236 | 00000000000000000000000000000000 3237 | 00000000000000000000000000000000 3238 | 00000000000000000000000000000000 3239 | 00000000000000000000000000000000 3240 | 00000000000000000000000000000000 3241 | 00000000000000000000000000000000 3242 | 00000000000000000000000000000000 3243 | 00000000000000000000000000000000 3244 | 00000000000000000000000000000000 3245 | 00000000000000000000000000000000 3246 | 00000000000000000000000000000000 3247 | 00000000000000000000000000000000 3248 | 00000000000000000000000000000000 3249 | 00000000000000000000000000000000 3250 | 00000000000000000000000000000000 3251 | 00000000000000000000000000000000 3252 | 00000000000000000000000000000000 3253 | 00000000000000000000000000000000 3254 | 00000000000000000000000000000000 3255 | 00000000000000000000000000000000 3256 | 00000000000000000000000000000000 3257 | 00000000000000000000000000000000 3258 | 00000000000000000000000000000000 3259 | 00000000000000000000000000000000 3260 | 00000000000000000000000000000000 3261 | 00000000000000000000000000000000 3262 | 00000000000000000000000000000000 3263 | 00000000000000000000000000000000 3264 | 00000000000000000000000000000000 3265 | 00000000000000000000000000000000 3266 | 00000000000000000000000000000000 3267 | 00000000000000000000000000000000 3268 | 00000000000000000000000000000000 3269 | 00000000000000000000000000000000 3270 | 00000000000000000000000000000000 3271 | 00000000000000000000000000000000 3272 | 00000000000000000000000000000000 3273 | 00000000000000000000000000000000 3274 | 00000000000000000000000000000000 3275 | 00000000000000000000000000000000 3276 | 00000000000000000000000000000000 3277 | 00000000000000000000000000000000 3278 | 00000000000000000000000000000000 3279 | 00000000000000000000000000000000 3280 | 00000000000000000000000000000000 3281 | 00000000000000000000000000000000 3282 | 00000000000000000000000000000000 3283 | 00000000000000000000000000000000 3284 | 00000000000000000000000000000000 3285 | 00000000000000000000000000000000 3286 | 00000000000000000000000000000000 3287 | 00000000000000000000000000000000 3288 | 00000000000000000000000000000000 3289 | 00000000000000000000000000000000 3290 | 00000000000000000000000000000000 3291 | 00000000000000000000000000000000 3292 | 00000000000000000000000000000000 3293 | 00000000000000000000000000000000 3294 | 00000000000000000000000000000000 3295 | 00000000000000000000000000000000 3296 | 00000000000000000000000000000000 3297 | 00000000000000000000000000000000 3298 | 00000000000000000000000000000000 3299 | 00000000000000000000000000000000 3300 | 00000000000000000000000000000000 3301 | 00000000000000000000000000000000 3302 | 00000000000000000000000000000000 3303 | 00000000000000000000000000000000 3304 | 00000000000000000000000000000000 3305 | 00000000000000000000000000000000 3306 | 00000000000000000000000000000000 3307 | 00000000000000000000000000000000 3308 | 00000000000000000000000000000000 3309 | 00000000000000000000000000000000 3310 | 00000000000000000000000000000000 3311 | 00000000000000000000000000000000 3312 | 00000000000000000000000000000000 3313 | 00000000000000000000000000000000 3314 | 00000000000000000000000000000000 3315 | 00000000000000000000000000000000 3316 | 00000000000000000000000000000000 3317 | 00000000000000000000000000000000 3318 | 00000000000000000000000000000000 3319 | 00000000000000000000000000000000 3320 | 00000000000000000000000000000000 3321 | 00000000000000000000000000000000 3322 | 00000000000000000000000000000000 3323 | 00000000000000000000000000000000 3324 | 00000000000000000000000000000000 3325 | 00000000000000000000000000000000 3326 | 00000000000000000000000000000000 3327 | 00000000000000000000000000000000 3328 | 00000000000000000000000000000000 3329 | 00000000000000000000000000000000 3330 | 00000000000000000000000000000000 3331 | 00000000000000000000000000000000 3332 | 00000000000000000000000000000000 3333 | 00000000000000000000000000000000 3334 | 00000000000000000000000000000000 3335 | 00000000000000000000000000000000 3336 | 00000000000000000000000000000000 3337 | 00000000000000000000000000000000 3338 | 00000000000000000000000000000000 3339 | 00000000000000000000000000000000 3340 | 00000000000000000000000000000000 3341 | 00000000000000000000000000000000 3342 | 00000000000000000000000000000000 3343 | 00000000000000000000000000000000 3344 | 00000000000000000000000000000000 3345 | 00000000000000000000000000000000 3346 | 00000000000000000000000000000000 3347 | 00000000000000000000000000000000 3348 | 00000000000000000000000000000000 3349 | 00000000000000000000000000000000 3350 | 00000000000000000000000000000000 3351 | 00000000000000000000000000000000 3352 | 00000000000000000000000000000000 3353 | 00000000000000000000000000000000 3354 | 00000000000000000000000000000000 3355 | 00000000000000000000000000000000 3356 | 00000000000000000000000000000000 3357 | 00000000000000000000000000000000 3358 | 00000000000000000000000000000000 3359 | 00000000000000000000000000000000 3360 | 00000000000000000000000000000000 3361 | 00000000000000000000000000000000 3362 | 00000000000000000000000000000000 3363 | 00000000000000000000000000000000 3364 | 00000000000000000000000000000000 3365 | 00000000000000000000000000000000 3366 | 00000000000000000000000000000000 3367 | 00000000000000000000000000000000 3368 | 00000000000000000000000000000000 3369 | 00000000000000000000000000000000 3370 | 00000000000000000000000000000000 3371 | 00000000000000000000000000000000 3372 | 00000000000000000000000000000000 3373 | 00000000000000000000000000000000 3374 | 00000000000000000000000000000000 3375 | 00000000000000000000000000000000 3376 | 00000000000000000000000000000000 3377 | 00000000000000000000000000000000 3378 | 00000000000000000000000000000000 3379 | 00000000000000000000000000000000 3380 | 00000000000000000000000000000000 3381 | 00000000000000000000000000000000 3382 | 00000000000000000000000000000000 3383 | 00000000000000000000000000000000 3384 | 00000000000000000000000000000000 3385 | 00000000000000000000000000000000 3386 | 00000000000000000000000000000000 3387 | 00000000000000000000000000000000 3388 | 00000000000000000000000000000000 3389 | 00000000000000000000000000000000 3390 | 00000000000000000000000000000000 3391 | 00000000000000000000000000000000 3392 | 00000000000000000000000000000000 3393 | 00000000000000000000000000000000 3394 | 00000000000000000000000000000000 3395 | 00000000000000000000000000000000 3396 | 00000000000000000000000000000000 3397 | 00000000000000000000000000000000 3398 | 00000000000000000000000000000000 3399 | 00000000000000000000000000000000 3400 | 00000000000000000000000000000000 3401 | 00000000000000000000000000000000 3402 | 00000000000000000000000000000000 3403 | 00000000000000000000000000000000 3404 | 00000000000000000000000000000000 3405 | 00000000000000000000000000000000 3406 | 00000000000000000000000000000000 3407 | 00000000000000000000000000000000 3408 | 00000000000000000000000000000000 3409 | 00000000000000000000000000000000 3410 | 00000000000000000000000000000000 3411 | 00000000000000000000000000000000 3412 | 00000000000000000000000000000000 3413 | 00000000000000000000000000000000 3414 | 00000000000000000000000000000000 3415 | 00000000000000000000000000000000 3416 | 00000000000000000000000000000000 3417 | 00000000000000000000000000000000 3418 | 00000000000000000000000000000000 3419 | 00000000000000000000000000000000 3420 | 00000000000000000000000000000000 3421 | 00000000000000000000000000000000 3422 | 00000000000000000000000000000000 3423 | 00000000000000000000000000000000 3424 | 00000000000000000000000000000000 3425 | 00000000000000000000000000000000 3426 | 00000000000000000000000000000000 3427 | 00000000000000000000000000000000 3428 | 00000000000000000000000000000000 3429 | 00000000000000000000000000000000 3430 | 00000000000000000000000000000000 3431 | 00000000000000000000000000000000 3432 | 00000000000000000000000000000000 3433 | 00000000000000000000000000000000 3434 | 00000000000000000000000000000000 3435 | 00000000000000000000000000000000 3436 | 00000000000000000000000000000000 3437 | 00000000000000000000000000000000 3438 | 00000000000000000000000000000000 3439 | 00000000000000000000000000000000 3440 | 00000000000000000000000000000000 3441 | 00000000000000000000000000000000 3442 | 00000000000000000000000000000000 3443 | 00000000000000000000000000000000 3444 | 00000000000000000000000000000000 3445 | 00000000000000000000000000000000 3446 | 00000000000000000000000000000000 3447 | 00000000000000000000000000000000 3448 | 00000000000000000000000000000000 3449 | 00000000000000000000000000000000 3450 | 00000000000000000000000000000000 3451 | 00000000000000000000000000000000 3452 | 00000000000000000000000000000000 3453 | 00000000000000000000000000000000 3454 | 00000000000000000000000000000000 3455 | 00000000000000000000000000000000 3456 | 00000000000000000000000000000000 3457 | 00000000000000000000000000000000 3458 | 00000000000000000000000000000000 3459 | 00000000000000000000000000000000 3460 | 00000000000000000000000000000000 3461 | 00000000000000000000000000000000 3462 | 00000000000000000000000000000000 3463 | 00000000000000000000000000000000 3464 | 00000000000000000000000000000000 3465 | 00000000000000000000000000000000 3466 | 00000000000000000000000000000000 3467 | 00000000000000000000000000000000 3468 | 00000000000000000000000000000000 3469 | 00000000000000000000000000000000 3470 | 00000000000000000000000000000000 3471 | 00000000000000000000000000000000 3472 | 00000000000000000000000000000000 3473 | 00000000000000000000000000000000 3474 | 00000000000000000000000000000000 3475 | 00000000000000000000000000000000 3476 | 00000000000000000000000000000000 3477 | 00000000000000000000000000000000 3478 | 00000000000000000000000000000000 3479 | 00000000000000000000000000000000 3480 | 00000000000000000000000000000000 3481 | 00000000000000000000000000000000 3482 | 00000000000000000000000000000000 3483 | 00000000000000000000000000000000 3484 | 00000000000000000000000000000000 3485 | 00000000000000000000000000000000 3486 | 00000000000000000000000000000000 3487 | 00000000000000000000000000000000 3488 | 00000000000000000000000000000000 3489 | 00000000000000000000000000000000 3490 | 00000000000000000000000000000000 3491 | 00000000000000000000000000000000 3492 | 00000000000000000000000000000000 3493 | 00000000000000000000000000000000 3494 | 00000000000000000000000000000000 3495 | 00000000000000000000000000000000 3496 | 00000000000000000000000000000000 3497 | 00000000000000000000000000000000 3498 | 00000000000000000000000000000000 3499 | 00000000000000000000000000000000 3500 | 00000000000000000000000000000000 3501 | 00000000000000000000000000000000 3502 | 00000000000000000000000000000000 3503 | 00000000000000000000000000000000 3504 | 00000000000000000000000000000000 3505 | 00000000000000000000000000000000 3506 | 00000000000000000000000000000000 3507 | 00000000000000000000000000000000 3508 | 00000000000000000000000000000000 3509 | 00000000000000000000000000000000 3510 | 00000000000000000000000000000000 3511 | 00000000000000000000000000000000 3512 | 00000000000000000000000000000000 3513 | 00000000000000000000000000000000 3514 | 00000000000000000000000000000000 3515 | 00000000000000000000000000000000 3516 | 00000000000000000000000000000000 3517 | 00000000000000000000000000000000 3518 | 00000000000000000000000000000000 3519 | 00000000000000000000000000000000 3520 | 00000000000000000000000000000000 3521 | 00000000000000000000000000000000 3522 | 00000000000000000000000000000000 3523 | 00000000000000000000000000000000 3524 | 00000000000000000000000000000000 3525 | 00000000000000000000000000000000 3526 | 00000000000000000000000000000000 3527 | 00000000000000000000000000000000 3528 | 00000000000000000000000000000000 3529 | 00000000000000000000000000000000 3530 | 00000000000000000000000000000000 3531 | 00000000000000000000000000000000 3532 | 00000000000000000000000000000000 3533 | 00000000000000000000000000000000 3534 | 00000000000000000000000000000000 3535 | 00000000000000000000000000000000 3536 | 00000000000000000000000000000000 3537 | 00000000000000000000000000000000 3538 | 00000000000000000000000000000000 3539 | 00000000000000000000000000000000 3540 | 00000000000000000000000000000000 3541 | 00000000000000000000000000000000 3542 | 00000000000000000000000000000000 3543 | 00000000000000000000000000000000 3544 | 00000000000000000000000000000000 3545 | 00000000000000000000000000000000 3546 | 00000000000000000000000000000000 3547 | 00000000000000000000000000000000 3548 | 00000000000000000000000000000000 3549 | 00000000000000000000000000000000 3550 | 00000000000000000000000000000000 3551 | 00000000000000000000000000000000 3552 | 00000000000000000000000000000000 3553 | 00000000000000000000000000000000 3554 | 00000000000000000000000000000000 3555 | 00000000000000000000000000000000 3556 | 00000000000000000000000000000000 3557 | 00000000000000000000000000000000 3558 | 00000000000000000000000000000000 3559 | 00000000000000000000000000000000 3560 | 00000000000000000000000000000000 3561 | 00000000000000000000000000000000 3562 | 00000000000000000000000000000000 3563 | 00000000000000000000000000000000 3564 | 00000000000000000000000000000000 3565 | 00000000000000000000000000000000 3566 | 00000000000000000000000000000000 3567 | 00000000000000000000000000000000 3568 | 00000000000000000000000000000000 3569 | 00000000000000000000000000000000 3570 | 00000000000000000000000000000000 3571 | 00000000000000000000000000000000 3572 | 00000000000000000000000000000000 3573 | 00000000000000000000000000000000 3574 | 00000000000000000000000000000000 3575 | 00000000000000000000000000000000 3576 | 00000000000000000000000000000000 3577 | 00000000000000000000000000000000 3578 | 00000000000000000000000000000000 3579 | 00000000000000000000000000000000 3580 | 00000000000000000000000000000000 3581 | 00000000000000000000000000000000 3582 | 00000000000000000000000000000000 3583 | 00000000000000000000000000000000 3584 | 00000000000000000000000000000000 3585 | 00000000000000000000000000000000 3586 | 00000000000000000000000000000000 3587 | 00000000000000000000000000000000 3588 | 00000000000000000000000000000000 3589 | 00000000000000000000000000000000 3590 | 00000000000000000000000000000000 3591 | 00000000000000000000000000000000 3592 | 00000000000000000000000000000000 3593 | 00000000000000000000000000000000 3594 | 00000000000000000000000000000000 3595 | 00000000000000000000000000000000 3596 | 00000000000000000000000000000000 3597 | 00000000000000000000000000000000 3598 | 00000000000000000000000000000000 3599 | 00000000000000000000000000000000 3600 | 00000000000000000000000000000000 3601 | 00000000000000000000000000000000 3602 | 00000000000000000000000000000000 3603 | 00000000000000000000000000000000 3604 | 00000000000000000000000000000000 3605 | 00000000000000000000000000000000 3606 | 00000000000000000000000000000000 3607 | 00000000000000000000000000000000 3608 | 00000000000000000000000000000000 3609 | 00000000000000000000000000000000 3610 | 00000000000000000000000000000000 3611 | 00000000000000000000000000000000 3612 | 00000000000000000000000000000000 3613 | 00000000000000000000000000000000 3614 | 00000000000000000000000000000000 3615 | 00000000000000000000000000000000 3616 | 00000000000000000000000000000000 3617 | 00000000000000000000000000000000 3618 | 00000000000000000000000000000000 3619 | 00000000000000000000000000000000 3620 | 00000000000000000000000000000000 3621 | 00000000000000000000000000000000 3622 | 00000000000000000000000000000000 3623 | 00000000000000000000000000000000 3624 | 00000000000000000000000000000000 3625 | 00000000000000000000000000000000 3626 | 00000000000000000000000000000000 3627 | 00000000000000000000000000000000 3628 | 00000000000000000000000000000000 3629 | 00000000000000000000000000000000 3630 | 00000000000000000000000000000000 3631 | 00000000000000000000000000000000 3632 | 00000000000000000000000000000000 3633 | 00000000000000000000000000000000 3634 | 00000000000000000000000000000000 3635 | 00000000000000000000000000000000 3636 | 00000000000000000000000000000000 3637 | 00000000000000000000000000000000 3638 | 00000000000000000000000000000000 3639 | 00000000000000000000000000000000 3640 | 00000000000000000000000000000000 3641 | 00000000000000000000000000000000 3642 | 00000000000000000000000000000000 3643 | 00000000000000000000000000000000 3644 | 00000000000000000000000000000000 3645 | 00000000000000000000000000000000 3646 | 00000000000000000000000000000000 3647 | 00000000000000000000000000000000 3648 | 00000000000000000000000000000000 3649 | 00000000000000000000000000000000 3650 | 00000000000000000000000000000000 3651 | 00000000000000000000000000000000 3652 | 00000000000000000000000000000000 3653 | 00000000000000000000000000000000 3654 | 00000000000000000000000000000000 3655 | 00000000000000000000000000000000 3656 | 00000000000000000000000000000000 3657 | 00000000000000000000000000000000 3658 | 00000000000000000000000000000000 3659 | 00000000000000000000000000000000 3660 | 00000000000000000000000000000000 3661 | 00000000000000000000000000000000 3662 | 00000000000000000000000000000000 3663 | 00000000000000000000000000000000 3664 | 00000000000000000000000000000000 3665 | 00000000000000000000000000000000 3666 | 00000000000000000000000000000000 3667 | 00000000000000000000000000000000 3668 | 00000000000000000000000000000000 3669 | 00000000000000000000000000000000 3670 | 00000000000000000000000000000000 3671 | 00000000000000000000000000000000 3672 | 00000000000000000000000000000000 3673 | 00000000000000000000000000000000 3674 | 00000000000000000000000000000000 3675 | 00000000000000000000000000000000 3676 | 00000000000000000000000000000000 3677 | 00000000000000000000000000000000 3678 | 00000000000000000000000000000000 3679 | 00000000000000000000000000000000 3680 | 00000000000000000000000000000000 3681 | 00000000000000000000000000000000 3682 | 00000000000000000000000000000000 3683 | 00000000000000000000000000000000 3684 | 00000000000000000000000000000000 3685 | 00000000000000000000000000000000 3686 | 00000000000000000000000000000000 3687 | 00000000000000000000000000000000 3688 | 00000000000000000000000000000000 3689 | 00000000000000000000000000000000 3690 | 00000000000000000000000000000000 3691 | 00000000000000000000000000000000 3692 | 00000000000000000000000000000000 3693 | 00000000000000000000000000000000 3694 | 00000000000000000000000000000000 3695 | 00000000000000000000000000000000 3696 | 00000000000000000000000000000000 3697 | 00000000000000000000000000000000 3698 | 00000000000000000000000000000000 3699 | 00000000000000000000000000000000 3700 | 00000000000000000000000000000000 3701 | 00000000000000000000000000000000 3702 | 00000000000000000000000000000000 3703 | 00000000000000000000000000000000 3704 | 00000000000000000000000000000000 3705 | 00000000000000000000000000000000 3706 | 00000000000000000000000000000000 3707 | 00000000000000000000000000000000 3708 | 00000000000000000000000000000000 3709 | 00000000000000000000000000000000 3710 | 00000000000000000000000000000000 3711 | 00000000000000000000000000000000 3712 | 00000000000000000000000000000000 3713 | 00000000000000000000000000000000 3714 | 00000000000000000000000000000000 3715 | 00000000000000000000000000000000 3716 | 00000000000000000000000000000000 3717 | 00000000000000000000000000000000 3718 | 00000000000000000000000000000000 3719 | 00000000000000000000000000000000 3720 | 00000000000000000000000000000000 3721 | 00000000000000000000000000000000 3722 | 00000000000000000000000000000000 3723 | 00000000000000000000000000000000 3724 | 00000000000000000000000000000000 3725 | 00000000000000000000000000000000 3726 | 00000000000000000000000000000000 3727 | 00000000000000000000000000000000 3728 | 00000000000000000000000000000000 3729 | 00000000000000000000000000000000 3730 | 00000000000000000000000000000000 3731 | 00000000000000000000000000000000 3732 | 00000000000000000000000000000000 3733 | 00000000000000000000000000000000 3734 | 00000000000000000000000000000000 3735 | 00000000000000000000000000000000 3736 | 00000000000000000000000000000000 3737 | 00000000000000000000000000000000 3738 | 00000000000000000000000000000000 3739 | 00000000000000000000000000000000 3740 | 00000000000000000000000000000000 3741 | 00000000000000000000000000000000 3742 | 00000000000000000000000000000000 3743 | 00000000000000000000000000000000 3744 | 00000000000000000000000000000000 3745 | 00000000000000000000000000000000 3746 | 00000000000000000000000000000000 3747 | 00000000000000000000000000000000 3748 | 00000000000000000000000000000000 3749 | 00000000000000000000000000000000 3750 | 00000000000000000000000000000000 3751 | 00000000000000000000000000000000 3752 | 00000000000000000000000000000000 3753 | 00000000000000000000000000000000 3754 | 00000000000000000000000000000000 3755 | 00000000000000000000000000000000 3756 | 00000000000000000000000000000000 3757 | 00000000000000000000000000000000 3758 | 00000000000000000000000000000000 3759 | 00000000000000000000000000000000 3760 | 00000000000000000000000000000000 3761 | 00000000000000000000000000000000 3762 | 00000000000000000000000000000000 3763 | 00000000000000000000000000000000 3764 | 00000000000000000000000000000000 3765 | 00000000000000000000000000000000 3766 | 00000000000000000000000000000000 3767 | 00000000000000000000000000000000 3768 | 00000000000000000000000000000000 3769 | 00000000000000000000000000000000 3770 | 00000000000000000000000000000000 3771 | 00000000000000000000000000000000 3772 | 00000000000000000000000000000000 3773 | 00000000000000000000000000000000 3774 | 00000000000000000000000000000000 3775 | 00000000000000000000000000000000 3776 | 00000000000000000000000000000000 3777 | 00000000000000000000000000000000 3778 | 00000000000000000000000000000000 3779 | 00000000000000000000000000000000 3780 | 00000000000000000000000000000000 3781 | 00000000000000000000000000000000 3782 | 00000000000000000000000000000000 3783 | 00000000000000000000000000000000 3784 | 00000000000000000000000000000000 3785 | 00000000000000000000000000000000 3786 | 00000000000000000000000000000000 3787 | 00000000000000000000000000000000 3788 | 00000000000000000000000000000000 3789 | 00000000000000000000000000000000 3790 | 00000000000000000000000000000000 3791 | 00000000000000000000000000000000 3792 | 00000000000000000000000000000000 3793 | 00000000000000000000000000000000 3794 | 00000000000000000000000000000000 3795 | 00000000000000000000000000000000 3796 | 00000000000000000000000000000000 3797 | 00000000000000000000000000000000 3798 | 00000000000000000000000000000000 3799 | 00000000000000000000000000000000 3800 | 00000000000000000000000000000000 3801 | 00000000000000000000000000000000 3802 | 00000000000000000000000000000000 3803 | 00000000000000000000000000000000 3804 | 00000000000000000000000000000000 3805 | 00000000000000000000000000000000 3806 | 00000000000000000000000000000000 3807 | 00000000000000000000000000000000 3808 | 00000000000000000000000000000000 3809 | 00000000000000000000000000000000 3810 | 00000000000000000000000000000000 3811 | 00000000000000000000000000000000 3812 | 00000000000000000000000000000000 3813 | 00000000000000000000000000000000 3814 | 00000000000000000000000000000000 3815 | 00000000000000000000000000000000 3816 | 00000000000000000000000000000000 3817 | 00000000000000000000000000000000 3818 | 00000000000000000000000000000000 3819 | 00000000000000000000000000000000 3820 | 00000000000000000000000000000000 3821 | 00000000000000000000000000000000 3822 | 00000000000000000000000000000000 3823 | 00000000000000000000000000000000 3824 | 00000000000000000000000000000000 3825 | 00000000000000000000000000000000 3826 | 00000000000000000000000000000000 3827 | 00000000000000000000000000000000 3828 | 00000000000000000000000000000000 3829 | 00000000000000000000000000000000 3830 | 00000000000000000000000000000000 3831 | 00000000000000000000000000000000 3832 | 00000000000000000000000000000000 3833 | 00000000000000000000000000000000 3834 | 00000000000000000000000000000000 3835 | 00000000000000000000000000000000 3836 | 00000000000000000000000000000000 3837 | 00000000000000000000000000000000 3838 | 00000000000000000000000000000000 3839 | 00000000000000000000000000000000 3840 | 00000000000000000000000000000000 3841 | 00000000000000000000000000000000 3842 | 00000000000000000000000000000000 3843 | 00000000000000000000000000000000 3844 | 00000000000000000000000000000000 3845 | 00000000000000000000000000000000 3846 | 00000000000000000000000000000000 3847 | 00000000000000000000000000000000 3848 | 00000000000000000000000000000000 3849 | 00000000000000000000000000000000 3850 | 00000000000000000000000000000000 3851 | 00000000000000000000000000000000 3852 | 00000000000000000000000000000000 3853 | 00000000000000000000000000000000 3854 | 00000000000000000000000000000000 3855 | 00000000000000000000000000000000 3856 | 00000000000000000000000000000000 3857 | 00000000000000000000000000000000 3858 | 00000000000000000000000000000000 3859 | 00000000000000000000000000000000 3860 | 00000000000000000000000000000000 3861 | 00000000000000000000000000000000 3862 | 00000000000000000000000000000000 3863 | 00000000000000000000000000000000 3864 | 00000000000000000000000000000000 3865 | 00000000000000000000000000000000 3866 | 00000000000000000000000000000000 3867 | 00000000000000000000000000000000 3868 | 00000000000000000000000000000000 3869 | 00000000000000000000000000000000 3870 | 00000000000000000000000000000000 3871 | 00000000000000000000000000000000 3872 | 00000000000000000000000000000000 3873 | 00000000000000000000000000000000 3874 | 00000000000000000000000000000000 3875 | 00000000000000000000000000000000 3876 | 00000000000000000000000000000000 3877 | 00000000000000000000000000000000 3878 | 00000000000000000000000000000000 3879 | 00000000000000000000000000000000 3880 | 00000000000000000000000000000000 3881 | 00000000000000000000000000000000 3882 | 00000000000000000000000000000000 3883 | 00000000000000000000000000000000 3884 | 00000000000000000000000000000000 3885 | 00000000000000000000000000000000 3886 | 00000000000000000000000000000000 3887 | 00000000000000000000000000000000 3888 | 00000000000000000000000000000000 3889 | 00000000000000000000000000000000 3890 | 00000000000000000000000000000000 3891 | 00000000000000000000000000000000 3892 | 00000000000000000000000000000000 3893 | 00000000000000000000000000000000 3894 | 00000000000000000000000000000000 3895 | 00000000000000000000000000000000 3896 | 00000000000000000000000000000000 3897 | 00000000000000000000000000000000 3898 | 00000000000000000000000000000000 3899 | 00000000000000000000000000000000 3900 | 00000000000000000000000000000000 3901 | 00000000000000000000000000000000 3902 | 00000000000000000000000000000000 3903 | 00000000000000000000000000000000 3904 | 00000000000000000000000000000000 3905 | 00000000000000000000000000000000 3906 | 00000000000000000000000000000000 3907 | 00000000000000000000000000000000 3908 | 00000000000000000000000000000000 3909 | 00000000000000000000000000000000 3910 | 00000000000000000000000000000000 3911 | 00000000000000000000000000000000 3912 | 00000000000000000000000000000000 3913 | 00000000000000000000000000000000 3914 | 00000000000000000000000000000000 3915 | 00000000000000000000000000000000 3916 | 00000000000000000000000000000000 3917 | 00000000000000000000000000000000 3918 | 00000000000000000000000000000000 3919 | 00000000000000000000000000000000 3920 | 00000000000000000000000000000000 3921 | 00000000000000000000000000000000 3922 | 00000000000000000000000000000000 3923 | 00000000000000000000000000000000 3924 | 00000000000000000000000000000000 3925 | 00000000000000000000000000000000 3926 | 00000000000000000000000000000000 3927 | 00000000000000000000000000000000 3928 | 00000000000000000000000000000000 3929 | 00000000000000000000000000000000 3930 | 00000000000000000000000000000000 3931 | 00000000000000000000000000000000 3932 | 00000000000000000000000000000000 3933 | 00000000000000000000000000000000 3934 | 00000000000000000000000000000000 3935 | 00000000000000000000000000000000 3936 | 00000000000000000000000000000000 3937 | 00000000000000000000000000000000 3938 | 00000000000000000000000000000000 3939 | 00000000000000000000000000000000 3940 | 00000000000000000000000000000000 3941 | 00000000000000000000000000000000 3942 | 00000000000000000000000000000000 3943 | 00000000000000000000000000000000 3944 | 00000000000000000000000000000000 3945 | 00000000000000000000000000000000 3946 | 00000000000000000000000000000000 3947 | 00000000000000000000000000000000 3948 | 00000000000000000000000000000000 3949 | 00000000000000000000000000000000 3950 | 00000000000000000000000000000000 3951 | 00000000000000000000000000000000 3952 | 00000000000000000000000000000000 3953 | 00000000000000000000000000000000 3954 | 00000000000000000000000000000000 3955 | 00000000000000000000000000000000 3956 | 00000000000000000000000000000000 3957 | 00000000000000000000000000000000 3958 | 00000000000000000000000000000000 3959 | 00000000000000000000000000000000 3960 | 00000000000000000000000000000000 3961 | 00000000000000000000000000000000 3962 | 00000000000000000000000000000000 3963 | 00000000000000000000000000000000 3964 | 00000000000000000000000000000000 3965 | 00000000000000000000000000000000 3966 | 00000000000000000000000000000000 3967 | 00000000000000000000000000000000 3968 | 00000000000000000000000000000000 3969 | 00000000000000000000000000000000 3970 | 00000000000000000000000000000000 3971 | 00000000000000000000000000000000 3972 | 00000000000000000000000000000000 3973 | 00000000000000000000000000000000 3974 | 00000000000000000000000000000000 3975 | 00000000000000000000000000000000 3976 | 00000000000000000000000000000000 3977 | 00000000000000000000000000000000 3978 | 00000000000000000000000000000000 3979 | 00000000000000000000000000000000 3980 | 00000000000000000000000000000000 3981 | 00000000000000000000000000000000 3982 | 00000000000000000000000000000000 3983 | 00000000000000000000000000000000 3984 | 00000000000000000000000000000000 3985 | 00000000000000000000000000000000 3986 | 00000000000000000000000000000000 3987 | 00000000000000000000000000000000 3988 | 00000000000000000000000000000000 3989 | 00000000000000000000000000000000 3990 | 00000000000000000000000000000000 3991 | 00000000000000000000000000000000 3992 | 00000000000000000000000000000000 3993 | 00000000000000000000000000000000 3994 | 00000000000000000000000000000000 3995 | 00000000000000000000000000000000 3996 | 00000000000000000000000000000000 3997 | 00000000000000000000000000000000 3998 | 00000000000000000000000000000000 3999 | 00000000000000000000000000000000 4000 | 00000000000000000000000000000000 4001 | 00000000000000000000000000000000 4002 | 00000000000000000000000000000000 4003 | 00000000000000000000000000000000 4004 | 00000000000000000000000000000000 4005 | 00000000000000000000000000000000 4006 | 00000000000000000000000000000000 4007 | 00000000000000000000000000000000 4008 | 00000000000000000000000000000000 4009 | 00000000000000000000000000000000 4010 | 00000000000000000000000000000000 4011 | 00000000000000000000000000000000 4012 | 00000000000000000000000000000000 4013 | 00000000000000000000000000000000 4014 | 00000000000000000000000000000000 4015 | 00000000000000000000000000000000 4016 | 00000000000000000000000000000000 4017 | 00000000000000000000000000000000 4018 | 00000000000000000000000000000000 4019 | 00000000000000000000000000000000 4020 | 00000000000000000000000000000000 4021 | 00000000000000000000000000000000 4022 | 00000000000000000000000000000000 4023 | 00000000000000000000000000000000 4024 | 00000000000000000000000000000000 4025 | 00000000000000000000000000000000 4026 | 00000000000000000000000000000000 4027 | 00000000000000000000000000000000 4028 | 00000000000000000000000000000000 4029 | 00000000000000000000000000000000 4030 | 00000000000000000000000000000000 4031 | 00000000000000000000000000000000 4032 | 00000000000000000000000000000000 4033 | 00000000000000000000000000000000 4034 | 00000000000000000000000000000000 4035 | 00000000000000000000000000000000 4036 | 00000000000000000000000000000000 4037 | 00000000000000000000000000000000 4038 | 00000000000000000000000000000000 4039 | 00000000000000000000000000000000 4040 | 00000000000000000000000000000000 4041 | 00000000000000000000000000000000 4042 | 00000000000000000000000000000000 4043 | 00000000000000000000000000000000 4044 | 00000000000000000000000000000000 4045 | 00000000000000000000000000000000 4046 | 00000000000000000000000000000000 4047 | 00000000000000000000000000000000 4048 | 00000000000000000000000000000000 4049 | 00000000000000000000000000000000 4050 | 00000000000000000000000000000000 4051 | 00000000000000000000000000000000 4052 | 00000000000000000000000000000000 4053 | 00000000000000000000000000000000 4054 | 00000000000000000000000000000000 4055 | 00000000000000000000000000000000 4056 | 00000000000000000000000000000000 4057 | 00000000000000000000000000000000 4058 | 00000000000000000000000000000000 4059 | 00000000000000000000000000000000 4060 | 00000000000000000000000000000000 4061 | 00000000000000000000000000000000 4062 | 00000000000000000000000000000000 4063 | 00000000000000000000000000000000 4064 | 00000000000000000000000000000000 4065 | 00000000000000000000000000000000 4066 | 00000000000000000000000000000000 4067 | 00000000000000000000000000000000 4068 | 00000000000000000000000000000000 4069 | 00000000000000000000000000000000 4070 | 00000000000000000000000000000000 4071 | 00000000000000000000000000000000 4072 | 00000000000000000000000000000000 4073 | 00000000000000000000000000000000 4074 | 00000000000000000000000000000000 4075 | 00000000000000000000000000000000 4076 | 00000000000000000000000000000000 4077 | 00000000000000000000000000000000 4078 | 00000000000000000000000000000000 4079 | 00000000000000000000000000000000 4080 | 00000000000000000000000000000000 4081 | 00000000000000000000000000000000 4082 | 00000000000000000000000000000000 4083 | 00000000000000000000000000000000 4084 | 00000000000000000000000000000000 4085 | 00000000000000000000000000000000 4086 | 00000000000000000000000000000000 4087 | 00000000000000000000000000000000 4088 | 00000000000000000000000000000000 4089 | 00000000000000000000000000000000 4090 | 00000000000000000000000000000000 4091 | 00000000000000000000000000000000 4092 | 00000000000000000000000000000000 4093 | 00000000000000000000000000000000 4094 | 00000000000000000000000000000000 4095 | 00000000000000000000000000000000 4096 | 00000000000000000000000000000000 4097 | --------------------------------------------------------------------------------