├── .clang-format ├── .gitattributes ├── .github └── workflows │ ├── ci.yml │ └── site.yml ├── .gitignore ├── .reuse └── dep5 ├── LICENSE ├── LICENSES ├── Apache-2.0.txt ├── LicenseRef-Xilinx.txt ├── MIT.txt └── SHL-0.51.txt ├── README.md ├── SUMMARY.md ├── book.toml ├── cheriot_debug_module.core ├── data ├── ibex.svd ├── impl_timing.xdc ├── license_header.md ├── lowrisc.art ├── pc_and_gpo.gtkw ├── pins_sonata.xdc ├── pins_sonata_xl.xdc ├── rv_plic_cfg.hjson ├── synth_timing.xdc ├── synth_timing_common.xdc ├── synth_timing_xl.xdc ├── top_config.toml ├── xbar_ifetch.hjson ├── xbar_main.hjson └── xbar_main.hjson.tpl ├── doc ├── architecture │ ├── board.md │ ├── img │ │ ├── board-features.jpg │ │ └── board-headers.jpg │ └── sonata-hardware.md ├── dev │ ├── building-doc.md │ ├── developing.md │ ├── fpga-development.md │ ├── fpga-programming.md │ ├── img │ │ ├── sw1_jtagon.jpeg │ │ ├── test_runner-ext-conn.svg │ │ └── vivado_program.png │ ├── ref-manual.md │ ├── release-procedure.md │ ├── simulation.md │ ├── sw-programming.md │ └── toolchain-setup.md ├── guide │ ├── README.md │ ├── building-examples.md │ ├── fpga-update.md │ ├── img │ │ ├── sonata-fpgaconfig.jpeg │ │ └── sonata-selectbs.jpeg │ ├── rp2040-update.md │ ├── sdcard-setup.md │ └── updating-system.md ├── img │ ├── sonata-development-system.svg │ └── sonata-full.jpeg └── ip │ ├── adc.md │ ├── dm.md │ ├── gpio.md │ ├── i2c.md │ ├── ibex.md │ ├── pinmux │ ├── README.md │ ├── pin-mappings.md │ ├── pin_mappings.dot │ └── pin_mappings.svg │ ├── plic.md │ ├── pwm.md │ ├── ram.md │ ├── revoker.md │ ├── spi.md │ ├── system_info.md │ ├── timer.md │ ├── uart.md │ └── usb.md ├── dv ├── dpi │ ├── i2cdpi │ │ ├── i2c_as621x.cc │ │ ├── i2c_as621x.hh │ │ ├── i2c_hat_id.cc │ │ ├── i2c_hat_id.hh │ │ ├── i2c_lsm9ds1.cc │ │ ├── i2c_lsm9ds1.hh │ │ ├── i2cdevice.cc │ │ ├── i2cdevice.hh │ │ ├── i2cdpi.cc │ │ ├── i2cdpi.hh │ │ └── i2cdpi.sv │ └── spidpi │ │ ├── spi_flash.cc │ │ ├── spi_flash.hh │ │ ├── spi_lcd.cc │ │ ├── spi_lcd.hh │ │ ├── spi_microsd.cc │ │ ├── spi_microsd.hh │ │ ├── spidpi.cc │ │ ├── spidpi.hh │ │ └── spidpi.sv ├── models │ ├── fpga │ │ └── rtl │ │ │ ├── DNA_PORT.v │ │ │ ├── IOBUF.v │ │ │ ├── ISERDESE2.v │ │ │ ├── OBUF.v │ │ │ └── ODDR.v │ └── hyperram │ │ └── rtl │ │ └── hyperram_W956.sv └── verilator │ ├── sonata_system.cc │ ├── sonata_system.hh │ ├── sonata_system_main.cc │ ├── sonata_verilator_lint.vlt │ └── top_verilator.sv ├── flake.lock ├── flake.nix ├── flow ├── vivado_hook_opt_design_pre.tcl ├── vivado_hook_route_design_pre.tcl └── vivado_setup.tcl ├── lychee.toml ├── nix ├── bitstream.nix ├── codegen.nix ├── lint.nix ├── software.nix └── tests.nix ├── open_hbmc.core ├── pyproject.toml ├── python-requirements.txt ├── rtl ├── bus │ ├── sonata_xbar_main.sv │ ├── tl_ifetch_pkg.sv │ ├── tl_main_pkg.sv │ ├── xbar_ifetch.core │ ├── xbar_ifetch.sv │ ├── xbar_main.core │ └── xbar_main.sv ├── fpga │ ├── clkgen_sonata.sv │ ├── padring.sv │ ├── rst_ctrl.sv │ └── top_sonata.sv ├── ip │ ├── gpio │ │ ├── gpio.core │ │ └── rtl │ │ │ ├── debounce_step.sv │ │ │ ├── gpio.sv │ │ │ ├── gpio_core.sv │ │ │ └── pcint.sv │ ├── hyperram │ │ ├── hyperram.core │ │ ├── lint │ │ │ └── hyperram.vlt │ │ └── rtl │ │ │ ├── hbmc_dfifo.sv │ │ │ ├── hbmc_tl_port.sv │ │ │ ├── hbmc_tl_top.sv │ │ │ ├── hbmc_ufifo.sv │ │ │ ├── hyperram.sv │ │ │ ├── hyperram_rdbuf.sv │ │ │ └── hyperram_wrbuf.sv │ ├── pwm │ │ ├── pwm.core │ │ └── rtl │ │ │ ├── pwm.sv │ │ │ └── pwm_wrapper.sv │ ├── rev_ctl │ │ ├── data │ │ │ └── rev_ctl.hjson │ │ ├── rev_ctl.core │ │ └── rtl │ │ │ ├── rev_ctl.sv │ │ │ ├── rev_ctl_reg_pkg.sv │ │ │ └── rev_ctl_reg_top.sv │ ├── rgbled_ctrl │ │ ├── data │ │ │ └── rgbled_ctrl.hjson │ │ ├── rgbled_ctrl.core │ │ └── rtl │ │ │ ├── rgbled_ctrl.sv │ │ │ ├── rgbled_ctrl_reg_pkg.sv │ │ │ ├── rgbled_ctrl_reg_top.sv │ │ │ └── ws281x_drv.sv │ ├── spi │ │ ├── data │ │ │ └── spi.hjson │ │ ├── dv │ │ │ └── verilator │ │ │ │ ├── README.md │ │ │ │ ├── spi_core_tb.sv │ │ │ │ ├── spi_recv.sv │ │ │ │ └── spi_trans.sv │ │ ├── rtl │ │ │ ├── spi.sv │ │ │ ├── spi_core.sv │ │ │ ├── spi_reg_pkg.sv │ │ │ └── spi_reg_top.sv │ │ └── spi.core │ ├── system_info │ │ ├── data │ │ │ └── system_info.hjson │ │ ├── rtl │ │ │ ├── system_info_reg_pkg.sv │ │ │ └── system_info_reg_top.sv │ │ ├── system_info.core │ │ ├── templates │ │ │ ├── system_info.core.tpl │ │ │ └── system_info.sv.tpl │ │ └── util │ │ │ └── system_info_gen.py │ └── xadc │ │ ├── rtl │ │ ├── xadc.sv │ │ ├── xadc_adapter.sv │ │ └── xadc_wiz_0.v │ │ └── xadc.core ├── system │ ├── autogen │ │ └── rv_plic │ │ │ ├── data │ │ │ ├── rv_plic.hjson │ │ │ ├── rv_plic_fpv_testplan.hjson │ │ │ ├── rv_plic_sec_cm_testplan.hjson │ │ │ └── sonata_rv_plic.ipconfig.hjson │ │ │ ├── lint │ │ │ ├── rv_plic.vlt │ │ │ └── rv_plic.waiver │ │ │ ├── rtl │ │ │ ├── rv_plic.sv │ │ │ ├── rv_plic_gateway.sv │ │ │ ├── rv_plic_reg_pkg.sv │ │ │ ├── rv_plic_reg_top.sv │ │ │ └── rv_plic_target.sv │ │ │ ├── rv_plic.core │ │ │ └── rv_plic_component.core │ ├── debounce.sv │ ├── dm_top.sv │ ├── jtag_id_pkg.sv │ ├── pinmux.sv │ ├── pwm_fade.sv │ ├── rs485_ctrl.sv │ ├── rst_sync.sv │ ├── rv_timer.sv │ ├── sonata_pkg.sv │ ├── sonata_system.sv │ └── sram.sv └── templates │ ├── pinmux.sv.tpl │ ├── sonata_pkg.sv.tpl │ └── sonata_xbar_main.sv.tpl ├── rv_timer.core ├── sonata.core ├── sonata_system.core ├── sw ├── cheri │ ├── CMakeLists.txt │ ├── README.md │ ├── boot │ │ ├── CMakeLists.txt │ │ ├── boot.S │ │ ├── boot_loader.cc │ │ └── elf.h │ ├── cap_modification_instructions_testing │ │ ├── CMakeLists.txt │ │ ├── capability_modification_reference_guide.md │ │ └── capability_modification_test_harness.cc │ ├── checks │ │ ├── CMakeLists.txt │ │ ├── cheri_sanity.cc │ │ ├── gpio_check.cc │ │ ├── hyperram_memset.S │ │ ├── hyperram_memset.h │ │ ├── hyperram_test.cc │ │ ├── lcd_check.cc │ │ ├── lowrisc_logo_native.h │ │ ├── memory_test.cc │ │ ├── pcint_check.cc │ │ ├── pinmux_all_blocks_check.cc │ │ ├── pinmux_check.cc │ │ ├── pinmux_checker.cc │ │ ├── pinmux_checker.hh │ │ ├── revocation_test.cc │ │ ├── rgbled_test.cc │ │ ├── rs485_check.cc │ │ ├── rs485_spam_check.cc │ │ ├── sdraw_check.cc │ │ ├── spi_test.cc │ │ ├── system_info_check.cc │ │ ├── tag_test.S │ │ ├── test_runner.cc │ │ ├── uart_check.cc │ │ ├── uart_simexit_check.cc │ │ └── usbdev_check.cc │ ├── cheriot_toolchain.cmake │ ├── common │ │ ├── CMakeLists.txt │ │ ├── asm.hh │ │ ├── block_tests.cc │ │ ├── block_tests.hh │ │ ├── boot.S │ │ ├── console.hh │ │ ├── default-handlers.cc │ │ ├── filesys-utils.hh │ │ ├── flash-utils.hh │ │ ├── hyperram_exec_test.S │ │ ├── hyperram_perf_test.S │ │ ├── hyperram_perf_test.h │ │ ├── platform-pinmux.hh │ │ ├── platform-pinmux.hh.tpl │ │ ├── rpi-hat-eeprom.hh │ │ ├── sdcard-utils.hh │ │ ├── sonata-devices.hh │ │ ├── sonata_plic.hh │ │ ├── timer-utils.hh │ │ ├── uart-utils.hh │ │ └── usbdev-utils.hh │ ├── error_leds │ │ ├── CMakeLists.txt │ │ └── error.S │ ├── link.ld │ ├── sim_boot_stub │ │ ├── Makefile │ │ ├── README.md │ │ ├── boot.S │ │ ├── boot_sram.S │ │ └── link.ld │ └── tests │ │ ├── CMakeLists.txt │ │ ├── README.md │ │ ├── boot.S │ │ ├── hyperram_tests.hh │ │ ├── i2c_tests.hh │ │ ├── lorem_text.hh │ │ ├── pinmux_tests.hh │ │ ├── plic_tests.hh │ │ ├── pwm_tests.hh │ │ ├── sdcard_tests.hh │ │ ├── spi_tests.hh │ │ ├── test_runner.cc │ │ ├── test_runner.hh │ │ ├── uart_tests.hh │ │ └── usbdev_tests.hh ├── common │ └── defs.h └── legacy │ ├── CMakeLists.txt │ ├── blank │ ├── CMakeLists.txt │ └── blank.S │ ├── boot │ ├── CMakeLists.txt │ └── boot.c │ ├── common │ ├── CMakeLists.txt │ ├── cpp_runtime.cc │ ├── crt0.S │ ├── dev_access.h │ ├── gpio.c │ ├── gpio.h │ ├── i2c.c │ ├── i2c.h │ ├── log.hh │ ├── pwm.c │ ├── pwm.h │ ├── rv_plic.c │ ├── rv_plic.h │ ├── sonata_system.c │ ├── sonata_system.h │ ├── sonata_system_regs.h │ ├── spi.c │ ├── spi.h │ ├── timer.c │ ├── timer.h │ ├── uart.c │ ├── uart.h │ ├── usbdev.c │ └── usbdev.h │ ├── demo │ ├── CMakeLists.txt │ ├── ethernet │ │ ├── CMakeLists.txt │ │ ├── ksz8851.c │ │ ├── ksz8851.h │ │ ├── lwip │ │ │ ├── arch │ │ │ │ └── cc.h │ │ │ ├── lwipopts.h │ │ │ └── sys.c │ │ └── main.c │ ├── hello_usb │ │ ├── CMakeLists.txt │ │ └── main.c │ ├── hello_world │ │ ├── CMakeLists.txt │ │ └── main.c │ ├── i2c_hat_id │ │ ├── CMakeLists.txt │ │ └── i2c_hat_id.c │ └── lcd_st7735 │ │ ├── CMakeLists.txt │ │ ├── coremark │ │ ├── core_portme.c │ │ └── ee_printf.c │ │ ├── fbcon.c │ │ ├── fbcon.h │ │ ├── fractal.h │ │ ├── fractal_fixed.c │ │ ├── fractal_float.c │ │ ├── fractal_palette.c │ │ ├── lcd.c │ │ ├── lcd.h │ │ ├── lowrisc_logo.h │ │ └── main.cc │ ├── gcc_toolchain.cmake │ ├── hal │ ├── CMakeLists.txt │ ├── gpio.hh │ ├── mmio │ │ ├── gpio.hh │ │ ├── i2c.hh │ │ ├── mmio.hh │ │ ├── pwm.hh │ │ ├── sonata_system_platform.hh │ │ ├── spi.hh │ │ ├── timer.hh │ │ ├── uart.hh │ │ └── usbdev.hh │ ├── platform.hh │ ├── pwm.hh │ ├── spi.hh │ ├── timer.hh │ └── uart.hh │ ├── link.ld │ ├── test │ ├── CMakeLists.txt │ ├── heap_test.cc │ ├── memory_test.cc │ └── spi_test.c │ └── timer_test │ ├── CMakeLists.txt │ └── timer_test.cc ├── util ├── elf-to-uf2.sh ├── generate_plic.sh ├── generate_xbar.sh ├── interactive_helpers.tcl ├── mdbook │ ├── __init__.py │ ├── utils.py │ └── wavejson │ │ ├── default.js │ │ ├── wavedrom.min.js │ │ ├── wavejson.css │ │ └── wavejson.js ├── mdbook_wavejson.py ├── mem_helper.sh ├── reg_gen.sh ├── sonata-openocd-cfg.tcl ├── templates │ └── pinmux.md.tpl ├── test_runner.py ├── top_gen.py ├── top_gen │ ├── __init__.py │ ├── generator.py │ └── parser.py ├── vendor.py └── verilator-openocd-cfg.tcl ├── uv.lock └── vendor ├── cheriot_debug_module.lock.hjson ├── cheriot_debug_module.vendor.hjson ├── cheriot_debug_module ├── Bender.yml ├── CHANGELOG.md ├── LICENSE ├── LICENSE.SCISemi ├── LICENSE.SiFive ├── README.md ├── ci │ ├── download-pulp-gcc.sh │ ├── get-openocd.sh │ ├── install-verilator.sh │ ├── make-tmp.sh │ ├── openocd-to-junit.py │ ├── run-openocd-compliance.sh │ └── veri-run-openocd-compliance.sh ├── debug_rom │ ├── .gitignore │ ├── Makefile │ ├── debug_rom.h │ ├── debug_rom_ch.S │ ├── debug_rom_ch.dump │ ├── debug_rom_ch.sv │ ├── debug_rom_one_scratch.h │ ├── debug_rom_rv.S │ ├── debug_rom_rv.dump │ ├── debug_rom_rv.sv │ ├── encoding.h │ ├── gen_rom.py │ └── link.ld ├── doc │ ├── debug-system.md │ ├── debugsys_schematic.svg │ ├── dmi_protocol.json │ └── dmi_protocol.svg ├── scisemi_ip_riscv_dbg.core ├── src │ ├── dm_csrs.sv │ ├── dm_mem.sv │ ├── dm_obi_top.sv │ ├── dm_pkg.sv │ ├── dm_sba.sv │ ├── dmi_bscane_tap.sv │ ├── dmi_cdc.sv │ ├── dmi_intf.sv │ ├── dmi_jtag.sv │ ├── dmi_jtag_tap.sv │ └── dmi_test.sv ├── sva │ ├── dm_csrs_sva.sv │ ├── dm_sba_sva.sv │ └── dm_top_sva.sv └── tb │ ├── .gitignore │ ├── LICENSE.Berkeley │ ├── LICENSE.SiFive │ ├── Makefile │ ├── README.md │ ├── SimJTAG.sv │ ├── boot_rom.sv │ ├── dm_compliance_test.cfg │ ├── dm_debug.cfg │ ├── dm_tb_pkg.sv │ ├── dp_ram.sv │ ├── jtag_dmi │ ├── .gitignore │ ├── jtag_intf.sv │ ├── jtag_test.sv │ ├── run_vsim.sh │ ├── run_vsim_xilinx.sh │ └── tb_jtag_dmi.sv │ ├── mm_ram.sv │ ├── prog │ ├── crt0.S │ ├── link.ld │ ├── syscalls.c │ ├── test.c │ └── vectors.S │ ├── remote_bitbang │ ├── .gitignore │ ├── Makefile │ ├── rbs_test.c │ ├── remote_bitbang.c │ ├── remote_bitbang.h │ └── sim_jtag.c │ ├── tb_test_env.sv │ ├── tb_top.sv │ ├── tb_top_verilator.cpp │ ├── tb_top_verilator.sv │ ├── unused │ └── SimDTM.sv │ ├── vsim_batch.tcl │ ├── vsim_gui.tcl │ └── waves.tcl ├── display_drivers.lock.hjson ├── display_drivers.vendor.hjson ├── display_drivers ├── .clang-format ├── LICENSE ├── README.md ├── core │ ├── font.h │ ├── lcd_base.c │ ├── lcd_base.h │ ├── lucida_console_10pt.c │ ├── lucida_console_10pt.h │ ├── lucida_console_12pt.c │ ├── lucida_console_12pt.h │ ├── m3x6_16pt.c │ └── m3x6_16pt.h └── st7735 │ ├── lcd_st7735.c │ ├── lcd_st7735.h │ ├── lcd_st7735_cmds.h │ └── lcd_st7735_init.h ├── lowrisc_ibex.lock.hjson ├── lowrisc_ibex.vendor.hjson ├── lowrisc_ibex ├── .clang-format ├── .github │ ├── ISSUE_TEMPLATE │ │ ├── bug.md │ │ └── question.md │ └── workflows │ │ ├── pr_lint_review.yml │ │ └── pr_trigger.yml ├── .gitignore ├── .gitmodules ├── .svlint.toml ├── CODE_OF_CONDUCT.md ├── CONTRIBUTING.md ├── CREDITS.md ├── LICENSE ├── Makefile ├── README ├── README-CHERI.md ├── README.md ├── SECURITY.md ├── SUPPORT.md ├── azure-pipelines.yml ├── check_tool_requirements.core ├── ci │ ├── azp-private.yml │ ├── ibex-rtl-ci-steps.yml │ ├── install-build-deps.sh │ ├── vars.yml │ └── vars_to_logging_cmd.py ├── dma-ip │ ├── DMA │ │ ├── dma-v3.sv │ │ ├── dma-v4.sv │ │ └── dma.sv │ ├── dvp_ibex_wrapper.yml │ ├── hsp_encr_level.yml │ ├── ibex_memory.sv │ ├── ibex_subsystem.yml │ └── ibexc_obimux3w0.sv ├── doc │ ├── .gitignore │ ├── 01_overview │ │ ├── compliance.rst │ │ ├── index.rst │ │ ├── licensing.rst │ │ └── targets.rst │ ├── 02_user │ │ ├── examples.rst │ │ ├── getting_started.rst │ │ ├── index.rst │ │ ├── integration.rst │ │ └── system_requirements.rst │ ├── 03_reference │ │ ├── cosim.rst │ │ ├── coverage_plan.rst │ │ ├── cs_registers.rst │ │ ├── debug.rst │ │ ├── exception_interrupts.rst │ │ ├── history.rst │ │ ├── icache.rst │ │ ├── images │ │ │ ├── blockdiagram.svg │ │ │ ├── de_ex_stage.svg │ │ │ ├── dv-flow.png │ │ │ ├── icache_block.svg │ │ │ ├── icache_mux.svg │ │ │ ├── if_stage.svg │ │ │ ├── logo.svg │ │ │ ├── tb.svg │ │ │ └── tb2.svg │ │ ├── index.rst │ │ ├── instruction_decode_execute.rst │ │ ├── instruction_fetch.rst │ │ ├── load_store_unit.rst │ │ ├── performance_counters.rst │ │ ├── pipeline_details.rst │ │ ├── pmp.rst │ │ ├── register_file.rst │ │ ├── rvfi.rst │ │ ├── security.rst │ │ ├── testplan.rst │ │ ├── tracer.rst │ │ └── verification.rst │ ├── 04_developer │ │ ├── concierge.rst │ │ └── index.rst │ ├── Makefile │ ├── _static │ │ └── theme_overrides.css │ ├── conf.py │ ├── index.rst │ ├── make.bat │ └── requirements.txt ├── dv │ ├── cheriot │ │ ├── README.md │ │ ├── fcov │ │ │ ├── core_ibex_csr_categories.svh │ │ │ ├── core_ibex_fcov_bind.sv │ │ │ ├── core_ibex_fcov_if.sv │ │ │ └── module_dv_ext.sv │ │ ├── fv │ │ │ ├── ibexc.jp.f │ │ │ ├── ibexc.tcl │ │ │ └── run_jg │ │ ├── run │ │ │ ├── .gitignore │ │ │ ├── all.f │ │ │ ├── bin │ │ │ │ └── instr_stream_example.vhx │ │ │ ├── ibexc.vcs.f │ │ │ ├── runverdi │ │ │ ├── vcomp │ │ │ ├── vcscomp │ │ │ ├── vcscomp2 │ │ │ └── vgen │ │ ├── scripts │ │ │ ├── bin2hex.pl │ │ │ ├── build_coremark.sh │ │ │ ├── build_test.sh │ │ │ ├── common_setup.sh │ │ │ └── compare_trace.py │ │ ├── tb │ │ │ ├── cap_err_gen.sv │ │ │ ├── cheriot_dv_pkg.sv │ │ │ ├── cheriot_main.cc │ │ │ ├── data_mem_model.sv │ │ │ ├── dii_if.sv │ │ │ ├── instr_mem_model.sv │ │ │ ├── intr_gen.sv │ │ │ ├── mem_monitor.sv │ │ │ ├── mem_obi_if.sv │ │ │ ├── tb_cheriot_top.sv │ │ │ ├── tb_env.sv │ │ │ └── tbre_bg_gen.sv │ │ └── tests │ │ │ ├── coremark │ │ │ ├── Makefile │ │ │ ├── README │ │ │ ├── barebones │ │ │ │ ├── core_portme.c │ │ │ │ ├── core_portme.h │ │ │ │ ├── core_portme.mak │ │ │ │ ├── cvt.c │ │ │ │ └── ee_printf.c │ │ │ ├── cheri │ │ │ │ ├── cheri_atest.S │ │ │ │ ├── core_portme.c │ │ │ │ ├── core_portme.h │ │ │ │ ├── core_portme.mak │ │ │ │ ├── cvt.c │ │ │ │ └── ee_printf.c │ │ │ ├── core_list_join.c │ │ │ ├── core_main.c │ │ │ ├── core_matrix.c │ │ │ ├── core_state.c │ │ │ ├── core_util.c │ │ │ ├── coremark.h │ │ │ ├── coremark.md5 │ │ │ ├── riscv32 │ │ │ │ ├── core_portme.c │ │ │ │ ├── core_portme.h │ │ │ │ ├── core_portme.mak │ │ │ │ ├── cvt.c │ │ │ │ └── ee_printf.c │ │ │ └── simple │ │ │ │ ├── core_portme.c │ │ │ │ ├── core_portme.h │ │ │ │ └── core_portme.mak │ │ │ ├── csrc_cheri │ │ │ ├── cstart.c │ │ │ ├── startup.S │ │ │ ├── util.c │ │ │ └── util.h │ │ │ ├── hello_world │ │ │ ├── cheri_atest.S │ │ │ └── test_main.c │ │ │ ├── isa_test1 │ │ │ ├── cheri_atest.S │ │ │ └── test_main.c │ │ │ ├── isa_test1a │ │ │ ├── cheri_atest.S │ │ │ └── test_main.c │ │ │ ├── isa_test2 │ │ │ ├── cheri_atest.S │ │ │ └── test_main.c │ │ │ ├── link_coremark.ld │ │ │ └── link_test.ld │ ├── cosim │ │ ├── cosim.core │ │ ├── cosim.h │ │ ├── cosim_dpi.cc │ │ ├── cosim_dpi.core │ │ ├── cosim_dpi.h │ │ ├── cosim_dpi.svh │ │ ├── spike_cosim.cc │ │ └── spike_cosim.h │ ├── cs_registers │ │ ├── Makefile │ │ ├── README.md │ │ ├── env │ │ │ ├── env_dpi.cc │ │ │ ├── env_dpi.sv │ │ │ ├── register_environment.cc │ │ │ ├── register_environment.h │ │ │ ├── register_types.h │ │ │ ├── simctrl.cc │ │ │ └── simctrl.h │ │ ├── lint │ │ │ └── verilator_waiver.vlt │ │ ├── model │ │ │ ├── base_register.cc │ │ │ ├── base_register.h │ │ │ ├── register_model.cc │ │ │ └── register_model.h │ │ ├── reg_driver │ │ │ ├── csr_listing.def │ │ │ ├── reg_dpi.cc │ │ │ ├── reg_dpi.sv │ │ │ ├── register_driver.cc │ │ │ ├── register_driver.h │ │ │ ├── register_transaction.cc │ │ │ └── register_transaction.h │ │ ├── rst_driver │ │ │ ├── reset_driver.cc │ │ │ ├── reset_driver.h │ │ │ ├── rst_dpi.cc │ │ │ └── rst_dpi.sv │ │ ├── tb │ │ │ ├── tb_cs_registers.cc │ │ │ └── tb_cs_registers.sv │ │ └── tb_cs_registers.core │ ├── formal │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── README.md │ │ ├── Sources.mk │ │ ├── automated.tcl │ │ ├── check │ │ │ ├── encodings.sv │ │ │ ├── peek │ │ │ │ ├── abs.sv │ │ │ │ ├── alt_lsu.sv │ │ │ │ ├── capdti.sv │ │ │ │ ├── compare_helper.sv │ │ │ │ ├── follower.sv │ │ │ │ ├── mem.sv │ │ │ │ └── pcc.sv │ │ │ ├── protocol │ │ │ │ ├── irqs.sv │ │ │ │ └── mem.sv │ │ │ ├── spec_instance.sv │ │ │ └── top.sv │ │ ├── ibex_formal.core │ │ ├── patches │ │ │ └── ibex_top.diff │ │ ├── poetry.lock │ │ ├── pyproject.toml │ │ ├── spec │ │ │ ├── cheri.sv │ │ │ ├── cull_funcs.py │ │ │ ├── extract_funcs.py │ │ │ ├── fix_pmp_bug.py │ │ │ ├── main.sail │ │ │ ├── spec_api.sv │ │ │ ├── stub.sv │ │ │ └── unreachable_loc_info.py │ │ ├── thm │ │ │ ├── btype.proof │ │ │ ├── dti.proof │ │ │ ├── ibex.proof │ │ │ ├── mem.proof │ │ │ └── riscv.proof │ │ └── verify.tcl │ ├── riscv_compliance │ │ ├── README.md │ │ ├── ibex_riscv_compliance.cc │ │ ├── ibex_riscv_compliance.core │ │ ├── lint │ │ │ └── verilator_waiver.vlt │ │ └── rtl │ │ │ ├── ibex_riscv_compliance.sv │ │ │ └── riscv_testutil.sv │ ├── testrig │ │ ├── README.md │ │ ├── dii_toplevel_sim.cpp │ │ ├── dpi │ │ │ ├── testrig.cc │ │ │ ├── testrig.hh │ │ │ ├── testrig_dpi.cc │ │ │ ├── testrig_dpi.h │ │ │ └── testrig_dpi.svh │ │ ├── ibex_testrig.core │ │ └── ibex_top_sram.sv │ ├── uvm │ │ ├── bus_params_pkg │ │ │ ├── README.md │ │ │ ├── bus_params_pkg.core │ │ │ └── bus_params_pkg.sv │ │ ├── common_project_cfg.hjson │ │ ├── core_ibex │ │ │ ├── Makefile │ │ │ ├── README-testrig.md │ │ │ ├── collect_results.py │ │ │ ├── common │ │ │ │ ├── ibex_cosim_agent │ │ │ │ │ ├── core_ibex_ifetch_if.sv │ │ │ │ │ ├── core_ibex_ifetch_pmp_if.sv │ │ │ │ │ ├── ibex_cosim_agent.sv │ │ │ │ │ ├── ibex_cosim_agent_pkg.sv │ │ │ │ │ ├── ibex_cosim_cfg.sv │ │ │ │ │ ├── ibex_cosim_scoreboard.sv │ │ │ │ │ ├── ibex_ifetch_monitor.sv │ │ │ │ │ ├── ibex_ifetch_pmp_monitor.sv │ │ │ │ │ ├── ibex_ifetch_pmp_seq_item.sv │ │ │ │ │ ├── ibex_ifetch_seq_item.sv │ │ │ │ │ ├── ibex_rvfi_monitor.sv │ │ │ │ │ ├── ibex_rvfi_pkg.sv │ │ │ │ │ ├── ibex_rvfi_seq_item.sv │ │ │ │ │ ├── spike_cosim_dpi.cc │ │ │ │ │ └── spike_cosim_dpi.svh │ │ │ │ ├── ibex_mem_intf_agent │ │ │ │ │ ├── ibex_mem_intf.sv │ │ │ │ │ ├── ibex_mem_intf_agent.core │ │ │ │ │ ├── ibex_mem_intf_agent_pkg.sv │ │ │ │ │ ├── ibex_mem_intf_monitor.sv │ │ │ │ │ ├── ibex_mem_intf_request_agent.sv │ │ │ │ │ ├── ibex_mem_intf_request_driver.sv │ │ │ │ │ ├── ibex_mem_intf_response_agent.sv │ │ │ │ │ ├── ibex_mem_intf_response_agent_cfg.sv │ │ │ │ │ ├── ibex_mem_intf_response_driver.sv │ │ │ │ │ ├── ibex_mem_intf_response_seq_lib.sv │ │ │ │ │ ├── ibex_mem_intf_response_sequencer.sv │ │ │ │ │ └── ibex_mem_intf_seq_item.sv │ │ │ │ ├── ibex_testrig_agent │ │ │ │ │ ├── ibex_testrig_agent.sv │ │ │ │ │ ├── ibex_testrig_agent_pkg.sv │ │ │ │ │ └── ibex_testrig_dii_driver.sv │ │ │ │ ├── irq_agent │ │ │ │ │ ├── irq_agent_pkg.sv │ │ │ │ │ ├── irq_if.sv │ │ │ │ │ ├── irq_monitor.sv │ │ │ │ │ ├── irq_request_agent.sv │ │ │ │ │ ├── irq_request_driver.sv │ │ │ │ │ └── irq_seq_item.sv │ │ │ │ └── prim │ │ │ │ │ ├── prim_buf.sv │ │ │ │ │ ├── prim_clock_gating.sv │ │ │ │ │ ├── prim_clock_mux2.sv │ │ │ │ │ ├── prim_flop.sv │ │ │ │ │ ├── prim_pkg.sv │ │ │ │ │ └── prim_ram_1p.sv │ │ │ ├── compare.py │ │ │ ├── cover.cfg │ │ │ ├── env │ │ │ │ ├── core_ibex_csr_if.sv │ │ │ │ ├── core_ibex_dii_intf.sv │ │ │ │ ├── core_ibex_dut_probe_if.sv │ │ │ │ ├── core_ibex_env.sv │ │ │ │ ├── core_ibex_env_cfg.sv │ │ │ │ ├── core_ibex_env_pkg.sv │ │ │ │ ├── core_ibex_instr_monitor_if.sv │ │ │ │ ├── core_ibex_rvfi_if.sv │ │ │ │ ├── core_ibex_testrig_env.sv │ │ │ │ ├── core_ibex_testrig_env_pkg.sv │ │ │ │ └── core_ibex_vseqr.sv │ │ │ ├── fcov │ │ │ │ ├── core_ibex_fcov_bind.sv │ │ │ │ └── core_ibex_fcov_if.sv │ │ │ ├── ibex_dv.f │ │ │ ├── ibex_dv_cosim_dpi.f │ │ │ ├── ibex_testrig_dv.f │ │ │ ├── list_tests.py │ │ │ ├── riscv_dv_extension │ │ │ │ ├── cov_testlist.yaml │ │ │ │ ├── csr_description.yaml │ │ │ │ ├── ibex_asm_program_gen.sv │ │ │ │ ├── ibex_log_to_trace_csv.py │ │ │ │ ├── ml_testlist.yaml │ │ │ │ ├── riscvOVPsim.ic │ │ │ │ ├── riscv_core_setting.sv │ │ │ │ ├── testlist.yaml │ │ │ │ └── user_extension.svh │ │ │ ├── run_rtl.py │ │ │ ├── sim.py │ │ │ ├── sim_cmd.py │ │ │ ├── sim_makefrag_gen.py │ │ │ ├── tb │ │ │ │ ├── core_ibex_tb_top.sv │ │ │ │ ├── core_ibex_testrig_tb_top.sv │ │ │ │ ├── data_mem_model.sv │ │ │ │ └── mem_obi_if.sv │ │ │ ├── test_entry.py │ │ │ ├── test_run_result.py │ │ │ ├── testrig_vcs_build.sh │ │ │ ├── tests │ │ │ │ ├── core_ibex_base_test.sv │ │ │ │ ├── core_ibex_report_server.sv │ │ │ │ ├── core_ibex_seq_lib.sv │ │ │ │ ├── core_ibex_test_lib.sv │ │ │ │ ├── core_ibex_test_pkg.sv │ │ │ │ ├── core_ibex_testrig_test.sv │ │ │ │ └── core_ibex_vseq.sv │ │ │ ├── vcs.tcl │ │ │ ├── vcs_testrig.tcl │ │ │ └── yaml │ │ │ │ └── rtl_simulation.yaml │ │ └── icache │ │ │ ├── data │ │ │ └── ibex_icache_testplan.hjson │ │ │ ├── doc │ │ │ ├── ibex_icache_dv_plan.md │ │ │ └── tb.svg │ │ │ └── dv │ │ │ ├── Makefile │ │ │ ├── env │ │ │ ├── ibex_icache_env.core │ │ │ ├── ibex_icache_env.sv │ │ │ ├── ibex_icache_env_cfg.sv │ │ │ ├── ibex_icache_env_cov.sv │ │ │ ├── ibex_icache_env_pkg.sv │ │ │ ├── ibex_icache_scoreboard.sv │ │ │ ├── ibex_icache_virtual_sequencer.sv │ │ │ └── seq_lib │ │ │ │ ├── ibex_icache_back_line_vseq.sv │ │ │ │ ├── ibex_icache_base_vseq.sv │ │ │ │ ├── ibex_icache_caching_vseq.sv │ │ │ │ ├── ibex_icache_combo_vseq.sv │ │ │ │ ├── ibex_icache_ecc_vseq.sv │ │ │ │ ├── ibex_icache_invalidation_vseq.sv │ │ │ │ ├── ibex_icache_many_errors_vseq.sv │ │ │ │ ├── ibex_icache_oldval_vseq.sv │ │ │ │ ├── ibex_icache_passthru_vseq.sv │ │ │ │ ├── ibex_icache_reset_vseq.sv │ │ │ │ └── ibex_icache_vseq_list.sv │ │ │ ├── ibex_icache_core_agent │ │ │ ├── README.md │ │ │ ├── ibex_icache_core_agent.core │ │ │ ├── ibex_icache_core_agent.sv │ │ │ ├── ibex_icache_core_agent_cfg.sv │ │ │ ├── ibex_icache_core_agent_cov.sv │ │ │ ├── ibex_icache_core_agent_pkg.sv │ │ │ ├── ibex_icache_core_bus_item.sv │ │ │ ├── ibex_icache_core_driver.sv │ │ │ ├── ibex_icache_core_if.sv │ │ │ ├── ibex_icache_core_monitor.sv │ │ │ ├── ibex_icache_core_protocol_checker.sv │ │ │ ├── ibex_icache_core_req_item.sv │ │ │ ├── ibex_icache_core_rsp_item.sv │ │ │ ├── ibex_icache_core_sequencer.sv │ │ │ └── seq_lib │ │ │ │ ├── ibex_icache_core_back_line_seq.sv │ │ │ │ ├── ibex_icache_core_base_seq.sv │ │ │ │ └── ibex_icache_core_seq_list.sv │ │ │ ├── ibex_icache_ecc_agent │ │ │ ├── README.md │ │ │ ├── ibex_icache_ecc_agent.core │ │ │ ├── ibex_icache_ecc_agent.sv │ │ │ ├── ibex_icache_ecc_agent_cfg.sv │ │ │ ├── ibex_icache_ecc_agent_pkg.sv │ │ │ ├── ibex_icache_ecc_bus_item.sv │ │ │ ├── ibex_icache_ecc_driver.sv │ │ │ ├── ibex_icache_ecc_if.sv │ │ │ ├── ibex_icache_ecc_item.sv │ │ │ ├── ibex_icache_ecc_monitor.sv │ │ │ ├── ibex_icache_ecc_protocol_checker.sv │ │ │ └── seq_lib │ │ │ │ ├── ibex_icache_ecc_base_seq.sv │ │ │ │ └── ibex_icache_ecc_seq_list.sv │ │ │ ├── ibex_icache_mem_agent │ │ │ ├── README.md │ │ │ ├── ibex_icache_mem_agent.core │ │ │ ├── ibex_icache_mem_agent.sv │ │ │ ├── ibex_icache_mem_agent_cfg.sv │ │ │ ├── ibex_icache_mem_agent_cov.sv │ │ │ ├── ibex_icache_mem_agent_pkg.sv │ │ │ ├── ibex_icache_mem_bus_item.sv │ │ │ ├── ibex_icache_mem_driver.sv │ │ │ ├── ibex_icache_mem_if.sv │ │ │ ├── ibex_icache_mem_model.sv │ │ │ ├── ibex_icache_mem_monitor.sv │ │ │ ├── ibex_icache_mem_protocol_checker.sv │ │ │ ├── ibex_icache_mem_req_item.sv │ │ │ ├── ibex_icache_mem_resp_item.sv │ │ │ ├── ibex_icache_mem_sequencer.sv │ │ │ └── seq_lib │ │ │ │ ├── ibex_icache_mem_base_seq.sv │ │ │ │ ├── ibex_icache_mem_resp_seq.sv │ │ │ │ └── ibex_icache_mem_seq_list.sv │ │ │ ├── ibex_icache_sim.core │ │ │ ├── ibex_icache_sim_cfg.hjson │ │ │ ├── prim_badbit │ │ │ ├── README.md │ │ │ ├── prim_badbit_ram_1p.core │ │ │ └── prim_badbit_ram_1p.sv │ │ │ ├── tb │ │ │ ├── ic_top.sv │ │ │ └── tb.sv │ │ │ └── tests │ │ │ ├── ibex_icache_base_test.sv │ │ │ ├── ibex_icache_oldval_test.sv │ │ │ ├── ibex_icache_test.core │ │ │ └── ibex_icache_test_pkg.sv │ └── verilator │ │ ├── pcount │ │ ├── cpp │ │ │ ├── ibex_pcounts.cc │ │ │ └── ibex_pcounts.h │ │ └── ibex_pcounts.core │ │ └── simple_system_cosim │ │ ├── README.md │ │ ├── ibex_cosim_setup_check.core │ │ ├── ibex_simple_system_cosim.core │ │ ├── ibex_simple_system_cosim_checker.sv │ │ ├── ibex_simple_system_cosim_checker_bind.sv │ │ ├── simple_system_cosim.cc │ │ └── util │ │ └── ibex_cosim_setup_check.sh ├── examples │ ├── fpga │ │ └── artya7 │ │ │ ├── README.md │ │ │ ├── data │ │ │ └── pins_artya7.xdc │ │ │ ├── rtl │ │ │ └── top_artya7.sv │ │ │ ├── top_artya7.core │ │ │ └── util │ │ │ ├── vivado_hook_write_bitstream_pre.tcl │ │ │ └── vivado_setup_hooks.tcl │ ├── simple_system │ │ ├── README.md │ │ ├── ibex_simple_system.cc │ │ ├── ibex_simple_system.core │ │ ├── ibex_simple_system.h │ │ ├── ibex_simple_system_core.core │ │ ├── ibex_simple_system_main.cc │ │ ├── lint │ │ │ ├── verible_waiver.vbw │ │ │ └── verilator_waiver.vlt │ │ ├── rtl │ │ │ └── ibex_simple_system.sv │ │ └── spike-simple-system.sh │ └── sw │ │ ├── .gitignore │ │ ├── benchmarks │ │ ├── README.md │ │ └── coremark │ │ │ ├── Makefile │ │ │ └── ibex │ │ │ ├── core_portme.c │ │ │ ├── core_portme.h │ │ │ ├── core_portme.mak │ │ │ └── ee_printf.c │ │ ├── led │ │ ├── Makefile │ │ ├── crt0.S │ │ ├── led.c │ │ └── link.ld │ │ └── simple_system │ │ ├── common │ │ ├── common.mk │ │ ├── crt0.S │ │ ├── link.ld │ │ ├── simple_system_common.c │ │ ├── simple_system_common.h │ │ └── simple_system_regs.h │ │ └── hello_test │ │ ├── Makefile │ │ └── hello_test.c ├── flake.lock ├── flake.nix ├── formal │ ├── .gitignore │ ├── data_ind_timing │ │ ├── Makefile │ │ ├── check_fast_div.svh │ │ ├── check_fast_mulh.svh │ │ ├── check_fast_mull.svh │ │ ├── check_fast_rem.svh │ │ ├── check_single_div.svh │ │ ├── check_single_mulh.svh │ │ ├── check_single_mull.svh │ │ ├── check_single_rem.svh │ │ ├── check_slow_div.svh │ │ ├── check_slow_mulh.svh │ │ ├── check_slow_mull.svh │ │ ├── check_slow_rem.svh │ │ ├── formal_tb.sv │ │ ├── formal_tb_frag.svh │ │ ├── ibex_data_ind_timing.core │ │ ├── operation_div.svh │ │ ├── operation_mulh.svh │ │ ├── operation_mull.svh │ │ ├── operation_rem.svh │ │ └── run.sby.j2 │ ├── icache │ │ ├── Makefile │ │ ├── formal_tb.sv │ │ ├── formal_tb_frag.svh │ │ ├── ibex_icache_fpv.core │ │ └── run.sby.j2 │ └── riscv-formal │ │ ├── Makefile │ │ └── README.md ├── ibex_configs.yaml ├── ibex_core.core ├── ibex_icache.core ├── ibex_multdiv.core ├── ibex_pkg.core ├── ibex_top.core ├── ibex_top_tracing.core ├── ibex_tracer.core ├── lint │ ├── verible_waiver.vbw │ └── verilator_waiver.vlt ├── nix │ ├── lowrisc_cheriot_sail.nix │ └── lowrisc_sail.nix ├── python-requirements.txt ├── rtl │ ├── cheri_decoder.sv │ ├── cheri_ex.sv │ ├── cheri_pkg.sv │ ├── cheri_regfile.sv │ ├── cheri_stkz.sv │ ├── cheri_tbre.sv │ ├── cheri_tbre_wrapper.sv │ ├── cheri_trvk_stage.sv │ ├── ibex_alu.sv │ ├── ibex_branch_predict.sv │ ├── ibex_compressed_decoder.sv │ ├── ibex_controller.sv │ ├── ibex_core.f │ ├── ibex_core.sv │ ├── ibex_counter.sv │ ├── ibex_cs_registers.sv │ ├── ibex_csr.sv │ ├── ibex_decoder.sv │ ├── ibex_dummy_instr.sv │ ├── ibex_ex_block.sv │ ├── ibex_fetch_fifo.sv │ ├── ibex_icache.sv │ ├── ibex_id_stage.sv │ ├── ibex_if_stage.sv │ ├── ibex_load_store_unit.sv │ ├── ibex_lockstep.sv │ ├── ibex_multdiv_fast.sv │ ├── ibex_multdiv_slow.sv │ ├── ibex_pkg.sv │ ├── ibex_pmp.sv │ ├── ibex_pmp_reset_default.svh │ ├── ibex_prefetch_buffer.sv │ ├── ibex_register_file_ff.sv │ ├── ibex_register_file_fpga.sv │ ├── ibex_register_file_latch.sv │ ├── ibex_top.sv │ ├── ibex_top_tracing.sv │ ├── ibex_tracer.sv │ ├── ibex_tracer_pkg.sv │ ├── ibex_wb_stage.sv │ ├── ibexc_top.sv │ └── ibexc_top_tracing.sv ├── shared │ ├── fpga_xilinx.core │ ├── rtl │ │ ├── bus.sv │ │ ├── fpga │ │ │ └── xilinx │ │ │ │ └── clkgen_xil7series.sv │ │ ├── ram_1p.sv │ │ ├── ram_2p.sv │ │ ├── sim │ │ │ └── simulator_ctrl.sv │ │ └── timer.sv │ └── sim_shared.core ├── src_files.yml ├── syn │ ├── README.md │ ├── ibex_top.nangate.sdc │ ├── ibex_top_abc.nangate.sdc │ ├── ibex_top_lr_synth_conf.tcl │ ├── lec_sv2v.do │ ├── lec_sv2v.sh │ ├── python │ │ ├── build_translated_names.py │ │ ├── flow_utils.py │ │ ├── get_kge.py │ │ └── translate_timing_csv.py │ ├── rtl │ │ ├── latch_map.v │ │ └── prim_clock_gating.v │ ├── syn_setup.example.sh │ ├── syn_yosys.sh │ ├── tcl │ │ ├── flow_utils.tcl │ │ ├── lr_synth_flow_var_setup.tcl │ │ ├── sta_common.tcl │ │ ├── sta_open_design.tcl │ │ ├── sta_run_reports.tcl │ │ ├── sta_utils.tcl │ │ ├── yosys_common.tcl │ │ ├── yosys_post_synth.tcl │ │ ├── yosys_pre_map.tcl │ │ └── yosys_run_synth.tcl │ └── translate_timing_rpts.sh ├── tool_requirements.py ├── util │ ├── Makefile │ ├── check_tool_requirements.py │ ├── ibex_config.py │ ├── ibex_util_sv2v.core │ └── sv2v_in_place.py └── vendor │ ├── SocketPacketUtils.lock.hjson │ ├── SocketPacketUtils.vendor.hjson │ ├── SocketPacketUtils │ ├── .gitignore │ ├── LICENCE │ ├── socket_packet_utils.c │ └── socket_packet_utils.core │ ├── eembc_coremark.lock.hjson │ ├── eembc_coremark │ ├── LICENSE.md │ ├── Makefile │ ├── README.md │ ├── barebones │ │ ├── core_portme.c │ │ ├── core_portme.h │ │ ├── core_portme.mak │ │ ├── cvt.c │ │ └── ee_printf.c │ ├── core_list_join.c │ ├── core_main.c │ ├── core_matrix.c │ ├── core_state.c │ ├── core_util.c │ ├── coremark.h │ ├── coremark.md5 │ ├── cygwin │ │ ├── core_portme.c │ │ ├── core_portme.h │ │ └── core_portme.mak │ ├── docs │ │ ├── READM.md │ │ ├── balance_O0_joined.png │ │ ├── coremark_profile_o0_joined.png │ │ └── html │ │ │ ├── files │ │ │ ├── PIC32 │ │ │ │ └── core_portme-mak.html │ │ │ ├── core_list_join-c.html │ │ │ ├── core_main-c.html │ │ │ ├── core_matrix-c.html │ │ │ ├── core_state-c.html │ │ │ ├── core_util-c.html │ │ │ ├── coremark-h.html │ │ │ ├── docs │ │ │ │ └── core_state.png │ │ │ ├── linux │ │ │ │ ├── core_portme-c.html │ │ │ │ ├── core_portme-h.html │ │ │ │ └── core_portme-mak.html │ │ │ ├── readme-txt.html │ │ │ └── release_notes-txt.html │ │ │ ├── index.html │ │ │ ├── index │ │ │ ├── BuildTargets.html │ │ │ ├── Configuration.html │ │ │ ├── Configurations.html │ │ │ ├── Files.html │ │ │ ├── Functions.html │ │ │ ├── General.html │ │ │ ├── General2.html │ │ │ ├── Types.html │ │ │ └── Variables.html │ │ │ ├── javascript │ │ │ ├── main.js │ │ │ └── searchdata.js │ │ │ ├── search │ │ │ ├── BuildTargetsP.html │ │ │ ├── ConfigurationC.html │ │ │ ├── ConfigurationH.html │ │ │ ├── ConfigurationM.html │ │ │ ├── ConfigurationS.html │ │ │ ├── ConfigurationT.html │ │ │ ├── ConfigurationU.html │ │ │ ├── ConfigurationsH.html │ │ │ ├── ConfigurationsM.html │ │ │ ├── ConfigurationsS.html │ │ │ ├── ConfigurationsT.html │ │ │ ├── FilesC.html │ │ │ ├── FilesR.html │ │ │ ├── FunctionsC.html │ │ │ ├── FunctionsG.html │ │ │ ├── FunctionsI.html │ │ │ ├── FunctionsM.html │ │ │ ├── FunctionsP.html │ │ │ ├── FunctionsS.html │ │ │ ├── FunctionsT.html │ │ │ ├── GeneralB.html │ │ │ ├── GeneralC.html │ │ │ ├── GeneralD.html │ │ │ ├── GeneralF.html │ │ │ ├── GeneralG.html │ │ │ ├── GeneralH.html │ │ │ ├── GeneralI.html │ │ │ ├── GeneralL.html │ │ │ ├── GeneralM.html │ │ │ ├── GeneralO.html │ │ │ ├── GeneralP.html │ │ │ ├── GeneralR.html │ │ │ ├── GeneralS.html │ │ │ ├── GeneralT.html │ │ │ ├── GeneralU.html │ │ │ ├── GeneralV.html │ │ │ ├── GeneralW.html │ │ │ ├── NoResults.html │ │ │ ├── TypesS.html │ │ │ ├── VariablesC.html │ │ │ ├── VariablesD.html │ │ │ ├── VariablesL.html │ │ │ ├── VariablesO.html │ │ │ ├── VariablesP.html │ │ │ ├── VariablesR.html │ │ │ └── VariablesS.html │ │ │ └── styles │ │ │ ├── 1.css │ │ │ ├── 2.css │ │ │ └── main.css │ ├── freebsd │ │ ├── core_portme.c │ │ ├── core_portme.h │ │ └── core_portme.mak │ ├── linux │ │ ├── core_portme.c │ │ ├── core_portme.h │ │ └── core_portme.mak │ ├── linux64 │ │ ├── core_portme.c │ │ ├── core_portme.h │ │ └── core_portme.mak │ └── simple │ │ ├── core_portme.c │ │ ├── core_portme.h │ │ └── core_portme.mak │ ├── google_riscv-dv.lock.hjson │ ├── google_riscv-dv.vendor.hjson │ ├── google_riscv-dv │ ├── .flake8 │ ├── .github │ │ └── workflows │ │ │ └── metrics-regress.yml │ ├── .gitignore │ ├── .metrics.json │ ├── .travis.yml │ ├── CONTRIBUTING.md │ ├── LICENSE.txt │ ├── MANIFEST.in │ ├── README.md │ ├── cov.py │ ├── docs │ │ ├── Makefile │ │ ├── make.bat │ │ └── source │ │ │ ├── appendix.rst │ │ │ ├── class_reference.rst │ │ │ ├── cmd_line_reference.rst │ │ │ ├── conf.py │ │ │ ├── configuration.rst │ │ │ ├── coverage_model.rst │ │ │ ├── customize_extend_generator.rst │ │ │ ├── end_to_end_simulation.rst │ │ │ ├── extension_support.rst │ │ │ ├── generator_flow.rst │ │ │ ├── getting_started.rst │ │ │ ├── handshake.rst │ │ │ ├── index.rst │ │ │ ├── overview.rst │ │ │ └── trace_csv.png │ ├── files.f │ ├── pygen │ │ ├── experimental │ │ │ ├── README.md │ │ │ ├── riscv_asm_program_gen.py │ │ │ ├── riscv_callstack_gen.py │ │ │ ├── riscv_data_page_gen.py │ │ │ ├── riscv_directed_instr_lib.py │ │ │ ├── riscv_instr_base.py │ │ │ ├── riscv_instr_sequence.py │ │ │ ├── riscv_instr_stream.py │ │ │ ├── riscv_load_store_instr_lib.py │ │ │ ├── riscv_rand_instr.py │ │ │ └── utils.py │ │ └── pygen_src │ │ │ ├── README.md │ │ │ ├── isa │ │ │ ├── riscv_amo_instr.py │ │ │ ├── riscv_b_instr.py │ │ │ ├── riscv_compressed_instr.py │ │ │ ├── riscv_cov_instr.py │ │ │ ├── riscv_floating_point_instr.py │ │ │ ├── riscv_instr.py │ │ │ ├── rv32a_instr.py │ │ │ ├── rv32b_instr.py │ │ │ ├── rv32c_instr.py │ │ │ ├── rv32d_instr.py │ │ │ ├── rv32dc_instr.py │ │ │ ├── rv32f_instr.py │ │ │ ├── rv32fc_instr.py │ │ │ ├── rv32i_instr.py │ │ │ └── rv32m_instr.py │ │ │ ├── riscv_amo_instr_lib.py │ │ │ ├── riscv_asm_program_gen.py │ │ │ ├── riscv_data_page_gen.py │ │ │ ├── riscv_defines.py │ │ │ ├── riscv_directed_instr_lib.py │ │ │ ├── riscv_illegal_instr.py │ │ │ ├── riscv_instr_cover_group.py │ │ │ ├── riscv_instr_gen_config.py │ │ │ ├── riscv_instr_pkg.py │ │ │ ├── riscv_instr_sequence.py │ │ │ ├── riscv_instr_stream.py │ │ │ ├── riscv_load_store_instr_lib.py │ │ │ ├── riscv_privil_reg.py │ │ │ ├── riscv_privileged_common_seq.py │ │ │ ├── riscv_pseudo_instr.py │ │ │ ├── riscv_reg.py │ │ │ ├── riscv_signature_pkg.py │ │ │ ├── riscv_utils.py │ │ │ └── test │ │ │ ├── riscv_instr_base_test.py │ │ │ ├── riscv_instr_cov_test.py │ │ │ └── riscv_rand_instr_test.py │ ├── qrun_option.f │ ├── questa_sim.tcl │ ├── requirements.txt │ ├── riviera_sim.tcl │ ├── run.py │ ├── scripts │ │ ├── check-status │ │ ├── deprecated │ │ │ ├── instr_trace_compare.py │ │ │ ├── ovpsim_log_to_trace_csv.py │ │ │ ├── riscv_trace_csv.py │ │ │ └── spike_log_to_trace_csv.py │ │ ├── genMetricsList.py │ │ ├── gen_csr_test.py │ │ ├── instr_trace_compare.py │ │ ├── lib.py │ │ ├── link.ld │ │ ├── metrics-regress.py │ │ ├── ovpsim_log_to_trace_csv.py │ │ ├── riscv_trace_csv.py │ │ ├── sail_log_to_trace_csv.py │ │ ├── spike_log_to_trace_csv.py │ │ └── whisper_log_trace_csv.py │ ├── setup.cfg │ ├── setup.py │ ├── src │ │ ├── dv_defines.svh │ │ ├── isa │ │ │ ├── custom │ │ │ │ ├── riscv_custom_instr.sv │ │ │ │ ├── riscv_custom_instr_enum.sv │ │ │ │ ├── rv32x_instr.sv │ │ │ │ └── rv64x_instr.sv │ │ │ ├── riscv_amo_instr.sv │ │ │ ├── riscv_b_instr.sv │ │ │ ├── riscv_compressed_instr.sv │ │ │ ├── riscv_floating_point_instr.sv │ │ │ ├── riscv_instr.sv │ │ │ ├── riscv_instr_cov.svh │ │ │ ├── riscv_vector_instr.sv │ │ │ ├── riscv_zba_instr.sv │ │ │ ├── riscv_zbb_instr.sv │ │ │ ├── riscv_zbc_instr.sv │ │ │ ├── riscv_zbs_instr.sv │ │ │ ├── rv128c_instr.sv │ │ │ ├── rv32a_instr.sv │ │ │ ├── rv32b_instr.sv │ │ │ ├── rv32c_instr.sv │ │ │ ├── rv32d_instr.sv │ │ │ ├── rv32dc_instr.sv │ │ │ ├── rv32f_instr.sv │ │ │ ├── rv32fc_instr.sv │ │ │ ├── rv32i_instr.sv │ │ │ ├── rv32m_instr.sv │ │ │ ├── rv32v_instr.sv │ │ │ ├── rv32zba_instr.sv │ │ │ ├── rv32zbb_instr.sv │ │ │ ├── rv32zbc_instr.sv │ │ │ ├── rv32zbs_instr.sv │ │ │ ├── rv64a_instr.sv │ │ │ ├── rv64b_instr.sv │ │ │ ├── rv64c_instr.sv │ │ │ ├── rv64d_instr.sv │ │ │ ├── rv64f_instr.sv │ │ │ ├── rv64i_instr.sv │ │ │ ├── rv64m_instr.sv │ │ │ ├── rv64zba_instr.sv │ │ │ └── rv64zbb_instr.sv │ │ ├── riscv_amo_instr_lib.sv │ │ ├── riscv_asm_program_gen.sv │ │ ├── riscv_callstack_gen.sv │ │ ├── riscv_data_page_gen.sv │ │ ├── riscv_debug_rom_gen.sv │ │ ├── riscv_defines.svh │ │ ├── riscv_directed_instr_lib.sv │ │ ├── riscv_illegal_instr.sv │ │ ├── riscv_instr_cover_group.sv │ │ ├── riscv_instr_gen_config.sv │ │ ├── riscv_instr_pkg.sv │ │ ├── riscv_instr_sequence.sv │ │ ├── riscv_instr_stream.sv │ │ ├── riscv_load_store_instr_lib.sv │ │ ├── riscv_loop_instr.sv │ │ ├── riscv_page_table.sv │ │ ├── riscv_page_table_entry.sv │ │ ├── riscv_page_table_exception_cfg.sv │ │ ├── riscv_page_table_list.sv │ │ ├── riscv_pmp_cfg.sv │ │ ├── riscv_privil_reg.sv │ │ ├── riscv_privileged_common_seq.sv │ │ ├── riscv_pseudo_instr.sv │ │ ├── riscv_reg.sv │ │ ├── riscv_signature_pkg.sv │ │ └── riscv_vector_cfg.sv │ ├── test │ │ ├── riscv_instr_base_test.sv │ │ ├── riscv_instr_cov_test.sv │ │ ├── riscv_instr_gen_tb_top.sv │ │ ├── riscv_instr_test.sv │ │ ├── riscv_instr_test_lib.sv │ │ └── riscv_instr_test_pkg.sv │ ├── user_extension │ │ ├── user_define.h │ │ ├── user_extension.svh │ │ └── user_init.s │ ├── vcs.compile.option.f │ ├── verilog_style │ │ ├── build-verible.sh │ │ ├── exclude_filelist.f │ │ └── run.sh │ └── yaml │ │ ├── base_testlist.yaml │ │ ├── cov_testlist.yaml │ │ ├── csr_template.yaml │ │ ├── iss.yaml │ │ └── simulator.yaml │ ├── lowrisc_ip.lock.hjson │ ├── lowrisc_ip.vendor.hjson │ ├── lowrisc_ip │ ├── dv │ │ ├── sv │ │ │ ├── common_ifs │ │ │ │ ├── README.md │ │ │ │ ├── clk_if.sv │ │ │ │ ├── clk_rst_if.sv │ │ │ │ ├── common_ifs.core │ │ │ │ ├── common_ifs_pkg.sv │ │ │ │ ├── entropy_subsys_fifo_exception_if.core │ │ │ │ ├── entropy_subsys_fifo_exception_if.sv │ │ │ │ ├── entropy_subsys_fifo_exception_pkg.sv │ │ │ │ ├── pins_if.sv │ │ │ │ ├── pins_if.svg │ │ │ │ ├── pins_ifs.core │ │ │ │ ├── rst_shadowed_if.core │ │ │ │ └── rst_shadowed_if.sv │ │ │ ├── csr_utils │ │ │ │ ├── README.md │ │ │ │ ├── csr_seq_lib.sv │ │ │ │ ├── csr_utils.core │ │ │ │ └── csr_utils_pkg.sv │ │ │ ├── dv_base_reg │ │ │ │ ├── csr_excl_item.sv │ │ │ │ ├── dv_base_lockable_field_cov.sv │ │ │ │ ├── dv_base_mem.sv │ │ │ │ ├── dv_base_mubi_cov.sv │ │ │ │ ├── dv_base_reg.core │ │ │ │ ├── dv_base_reg.sv │ │ │ │ ├── dv_base_reg_block.sv │ │ │ │ ├── dv_base_reg_field.sv │ │ │ │ ├── dv_base_reg_map.sv │ │ │ │ ├── dv_base_reg_pkg.sv │ │ │ │ └── dv_base_shadowed_field_cov.sv │ │ │ ├── dv_lib │ │ │ │ ├── README.md │ │ │ │ ├── dv_base_agent.sv │ │ │ │ ├── dv_base_agent_cfg.sv │ │ │ │ ├── dv_base_agent_cov.sv │ │ │ │ ├── dv_base_driver.sv │ │ │ │ ├── dv_base_env.sv │ │ │ │ ├── dv_base_env_cfg.sv │ │ │ │ ├── dv_base_env_cov.sv │ │ │ │ ├── dv_base_monitor.sv │ │ │ │ ├── dv_base_scoreboard.sv │ │ │ │ ├── dv_base_seq.sv │ │ │ │ ├── dv_base_sequencer.sv │ │ │ │ ├── dv_base_test.sv │ │ │ │ ├── dv_base_virtual_sequencer.sv │ │ │ │ ├── dv_base_vseq.sv │ │ │ │ ├── dv_lib.core │ │ │ │ └── dv_lib_pkg.sv │ │ │ ├── dv_utils │ │ │ │ ├── README.md │ │ │ │ ├── dv_fcov_macros.core │ │ │ │ ├── dv_fcov_macros.svh │ │ │ │ ├── dv_macros.core │ │ │ │ ├── dv_macros.svh │ │ │ │ ├── dv_report_catcher.sv │ │ │ │ ├── dv_report_server.sv │ │ │ │ ├── dv_test_status.core │ │ │ │ ├── dv_test_status_pkg.sv │ │ │ │ ├── dv_utils.core │ │ │ │ ├── dv_utils_pkg.sv │ │ │ │ └── dv_vif_wrap.sv │ │ │ ├── mem_bkdr_util │ │ │ │ ├── README.md │ │ │ │ ├── mem_bkdr_util.core │ │ │ │ ├── mem_bkdr_util.sv │ │ │ │ ├── mem_bkdr_util__flash.sv │ │ │ │ ├── mem_bkdr_util__otp.sv │ │ │ │ ├── mem_bkdr_util__rom.sv │ │ │ │ ├── mem_bkdr_util__sram.sv │ │ │ │ ├── mem_bkdr_util_pkg.sv │ │ │ │ ├── otp_scrambler_pkg.sv │ │ │ │ └── sram_scrambler_pkg.sv │ │ │ ├── mem_model │ │ │ │ ├── README.md │ │ │ │ ├── mem_model.core │ │ │ │ ├── mem_model.sv │ │ │ │ └── mem_model_pkg.sv │ │ │ └── str_utils │ │ │ │ ├── README.md │ │ │ │ ├── str_utils.core │ │ │ │ └── str_utils_pkg.sv │ │ ├── tools │ │ │ ├── README.md │ │ │ ├── common.tcl │ │ │ ├── dvsim │ │ │ │ ├── bazel.hjson │ │ │ │ ├── common_modes.hjson │ │ │ │ ├── common_sim_cfg.hjson │ │ │ │ ├── dsim.hjson │ │ │ │ ├── fusesoc.hjson │ │ │ │ ├── questa.hjson │ │ │ │ ├── riviera.hjson │ │ │ │ ├── sim.mk │ │ │ │ ├── testplans │ │ │ │ │ ├── alert_test_testplan.hjson │ │ │ │ │ ├── csr_testplan.hjson │ │ │ │ │ ├── fpv_csr_testplan.hjson │ │ │ │ │ ├── intr_test_testplan.hjson │ │ │ │ │ ├── mem_testplan.hjson │ │ │ │ │ ├── passthru_mem_intg_testplan.hjson │ │ │ │ │ ├── sec_cm_count_testplan.hjson │ │ │ │ │ ├── sec_cm_double_lfsr_testplan.hjson │ │ │ │ │ ├── sec_cm_fsm_testplan.hjson │ │ │ │ │ ├── sec_cm_one_hot_testplan.hjson │ │ │ │ │ ├── shadow_reg_errors_testplan.hjson │ │ │ │ │ ├── stress_all_with_reset_testplan.hjson │ │ │ │ │ ├── tl_device_access_types_testplan.hjson │ │ │ │ │ └── tl_device_access_types_wo_intg_testplan.hjson │ │ │ │ ├── tests │ │ │ │ │ ├── alert_test.hjson │ │ │ │ │ ├── csr_tests.hjson │ │ │ │ │ ├── intr_test.hjson │ │ │ │ │ ├── mem_tests.hjson │ │ │ │ │ ├── passthru_mem_intg_tests.hjson │ │ │ │ │ ├── sec_cm_tests.hjson │ │ │ │ │ ├── shadow_reg_errors_tests.hjson │ │ │ │ │ ├── stress_all_test.hjson │ │ │ │ │ ├── stress_tests.hjson │ │ │ │ │ └── tl_access_tests.hjson │ │ │ │ ├── vcs.hjson │ │ │ │ ├── verilator.hjson │ │ │ │ └── xcelium.hjson │ │ │ ├── questa │ │ │ │ └── sim.tcl │ │ │ ├── ralgen │ │ │ │ ├── README.md │ │ │ │ ├── ralgen.core │ │ │ │ └── ralgen.py │ │ │ ├── riviera │ │ │ │ └── riviera_run.do │ │ │ ├── sim.tcl │ │ │ ├── vcs │ │ │ │ ├── common_cov_excl.cfg │ │ │ │ ├── cover.cfg │ │ │ │ ├── cover_reg_top.cfg │ │ │ │ ├── fsm_reset_cov.cfg │ │ │ │ ├── unr.cfg │ │ │ │ └── xprop.cfg │ │ │ ├── waves.tcl │ │ │ └── xcelium │ │ │ │ ├── common.ccf │ │ │ │ ├── common_cov_excl.tcl │ │ │ │ ├── cov_merge.tcl │ │ │ │ ├── cov_report.tcl │ │ │ │ ├── cover.ccf │ │ │ │ ├── cover_reg_top.ccf │ │ │ │ ├── cover_reg_top_toggle_excl │ │ │ │ └── unr.cfg │ │ └── verilator │ │ │ ├── README.md │ │ │ ├── cpp │ │ │ ├── dpi_memutil.cc │ │ │ ├── dpi_memutil.h │ │ │ ├── ecc32_mem_area.cc │ │ │ ├── ecc32_mem_area.h │ │ │ ├── mem_area.cc │ │ │ ├── mem_area.h │ │ │ ├── ranged_map.h │ │ │ ├── scrambled_ecc32_mem_area.cc │ │ │ ├── scrambled_ecc32_mem_area.h │ │ │ ├── sv_scoped.cc │ │ │ ├── sv_scoped.h │ │ │ ├── verilator_memutil.cc │ │ │ └── verilator_memutil.h │ │ │ ├── memutil_dpi.core │ │ │ ├── memutil_dpi_scrambled.core │ │ │ ├── memutil_dpi_scrambled_opts.hjson │ │ │ ├── memutil_verilator.core │ │ │ └── simutil_verilator │ │ │ ├── cpp │ │ │ ├── sim_ctrl_extension.h │ │ │ ├── verilated_toplevel.cc │ │ │ ├── verilated_toplevel.h │ │ │ ├── verilator_sim_clock.h │ │ │ ├── verilator_sim_ctrl.cc │ │ │ └── verilator_sim_ctrl.h │ │ │ └── simutil_verilator.core │ ├── ip │ │ ├── prim │ │ │ ├── BUILD │ │ │ ├── README.md │ │ │ ├── doc │ │ │ │ ├── prim_clock_gp_mux2.md │ │ │ │ ├── prim_flash.md │ │ │ │ ├── prim_keccak.md │ │ │ │ ├── prim_lfsr.md │ │ │ │ ├── prim_packer.md │ │ │ │ ├── prim_packer_fifo.md │ │ │ │ ├── prim_present.md │ │ │ │ ├── prim_prince.md │ │ │ │ ├── prim_ram_1p_scr.md │ │ │ │ └── prim_xoshiro256pp.md │ │ │ ├── dv │ │ │ │ ├── prim_alert │ │ │ │ │ ├── data │ │ │ │ │ │ ├── prim_alert_cover.cfg │ │ │ │ │ │ └── prim_alert_testplan.hjson │ │ │ │ │ ├── prim_alert_sim.core │ │ │ │ │ ├── prim_alert_sim_cfg.hjson │ │ │ │ │ └── tb │ │ │ │ │ │ └── prim_alert_tb.sv │ │ │ │ ├── prim_esc │ │ │ │ │ ├── data │ │ │ │ │ │ ├── prim_esc_cover.cfg │ │ │ │ │ │ └── prim_esc_testplan.hjson │ │ │ │ │ ├── prim_esc_sim.core │ │ │ │ │ ├── prim_esc_sim_cfg.hjson │ │ │ │ │ └── tb │ │ │ │ │ │ └── prim_esc_tb.sv │ │ │ │ ├── prim_lfsr │ │ │ │ │ ├── data │ │ │ │ │ │ ├── prim_lfsr_cov_excl.el │ │ │ │ │ │ └── prim_lfsr_cover.cfg │ │ │ │ │ ├── prim_lfsr_sim.core │ │ │ │ │ ├── prim_lfsr_sim_cfg.hjson │ │ │ │ │ └── prim_lfsr_tb.sv │ │ │ │ ├── prim_present │ │ │ │ │ ├── crypto_dpi_present │ │ │ │ │ │ ├── crypto_dpi_present.cc │ │ │ │ │ │ ├── crypto_dpi_present.core │ │ │ │ │ │ └── crypto_dpi_present_pkg.sv │ │ │ │ │ ├── data │ │ │ │ │ │ └── prim_present_cover.cfg │ │ │ │ │ ├── prim_present_sim.core │ │ │ │ │ ├── prim_present_sim_cfg.hjson │ │ │ │ │ └── tb │ │ │ │ │ │ └── prim_present_tb.sv │ │ │ │ ├── prim_prince │ │ │ │ │ ├── crypto_dpi_prince │ │ │ │ │ │ ├── crypto_dpi_prince.c │ │ │ │ │ │ ├── crypto_dpi_prince.core │ │ │ │ │ │ ├── crypto_dpi_prince_pkg.sv │ │ │ │ │ │ ├── crypto_dpi_prince_sim_opts.hjson │ │ │ │ │ │ ├── crypto_prince_ref.core │ │ │ │ │ │ └── prince_ref.h │ │ │ │ │ ├── data │ │ │ │ │ │ └── prim_prince_cover.cfg │ │ │ │ │ ├── prim_prince_sim.core │ │ │ │ │ ├── prim_prince_sim_cfg.hjson │ │ │ │ │ └── tb │ │ │ │ │ │ └── prim_prince_tb.sv │ │ │ │ ├── prim_ram_scr │ │ │ │ │ └── cpp │ │ │ │ │ │ ├── scramble_model.cc │ │ │ │ │ │ ├── scramble_model.core │ │ │ │ │ │ └── scramble_model.h │ │ │ │ └── prim_secded │ │ │ │ │ ├── secded_enc.c │ │ │ │ │ ├── secded_enc.core │ │ │ │ │ └── secded_enc.h │ │ │ ├── fpv │ │ │ │ ├── prim_alert_rxtx_async_fatal_fpv.core │ │ │ │ ├── prim_alert_rxtx_async_fpv.core │ │ │ │ ├── prim_alert_rxtx_fatal_fpv.core │ │ │ │ ├── prim_alert_rxtx_fpv.core │ │ │ │ ├── prim_arbiter_fixed_fpv.core │ │ │ │ ├── prim_arbiter_ppc_fpv.core │ │ │ │ ├── prim_arbiter_tree_fpv.core │ │ │ │ ├── prim_count_expected_failure.hjson │ │ │ │ ├── prim_count_fpv.core │ │ │ │ ├── prim_esc_rxtx_fpv.core │ │ │ │ ├── prim_fifo_async_sram_adapter_fpv.core │ │ │ │ ├── prim_fifo_sync_fpv.core │ │ │ │ ├── prim_keccak_fpv.core │ │ │ │ ├── prim_lfsr_fpv.core │ │ │ │ ├── prim_packer_fpv.core │ │ │ │ ├── prim_secded_22_16_fpv.core │ │ │ │ ├── prim_secded_28_22_fpv.core │ │ │ │ ├── prim_secded_39_32_fpv.core │ │ │ │ ├── prim_secded_64_57_fpv.core │ │ │ │ ├── prim_secded_72_64_fpv.core │ │ │ │ ├── prim_secded_hamming_22_16_fpv.core │ │ │ │ ├── prim_secded_hamming_39_32_fpv.core │ │ │ │ ├── prim_secded_hamming_72_64_fpv.core │ │ │ │ ├── prim_secded_hamming_76_68_fpv.core │ │ │ │ ├── prim_secded_inv_22_16_fpv.core │ │ │ │ ├── prim_secded_inv_28_22_fpv.core │ │ │ │ ├── prim_secded_inv_39_32_fpv.core │ │ │ │ ├── prim_secded_inv_64_57_fpv.core │ │ │ │ ├── prim_secded_inv_72_64_fpv.core │ │ │ │ ├── prim_secded_inv_hamming_22_16_fpv.core │ │ │ │ ├── prim_secded_inv_hamming_39_32_fpv.core │ │ │ │ ├── prim_secded_inv_hamming_72_64_fpv.core │ │ │ │ ├── prim_secded_inv_hamming_76_68_fpv.core │ │ │ │ ├── tb │ │ │ │ │ ├── prim_alert_rxtx_async_bind_fpv.sv │ │ │ │ │ ├── prim_alert_rxtx_async_fatal_bind_fpv.sv │ │ │ │ │ ├── prim_alert_rxtx_async_fatal_tb.sv │ │ │ │ │ ├── prim_alert_rxtx_async_tb.sv │ │ │ │ │ ├── prim_alert_rxtx_bind_fpv.sv │ │ │ │ │ ├── prim_alert_rxtx_fatal_bind_fpv.sv │ │ │ │ │ ├── prim_alert_rxtx_fatal_tb.sv │ │ │ │ │ ├── prim_alert_rxtx_tb.sv │ │ │ │ │ ├── prim_arbiter_fixed_tb.sv │ │ │ │ │ ├── prim_arbiter_ppc_tb.sv │ │ │ │ │ ├── prim_arbiter_tree_tb.sv │ │ │ │ │ ├── prim_count_tb.sv │ │ │ │ │ ├── prim_esc_rxtx_bind_fpv.sv │ │ │ │ │ ├── prim_esc_rxtx_tb.sv │ │ │ │ │ ├── prim_fifo_async_sram_adapter_tb.sv │ │ │ │ │ ├── prim_fifo_sync_bind_fpv.sv │ │ │ │ │ ├── prim_fifo_sync_tb.sv │ │ │ │ │ ├── prim_keccak_tb.sv │ │ │ │ │ ├── prim_lfsr_tb.sv │ │ │ │ │ ├── prim_packer_tb.sv │ │ │ │ │ ├── prim_secded_22_16_bind_fpv.sv │ │ │ │ │ ├── prim_secded_22_16_tb.sv │ │ │ │ │ ├── prim_secded_28_22_bind_fpv.sv │ │ │ │ │ ├── prim_secded_28_22_tb.sv │ │ │ │ │ ├── prim_secded_39_32_bind_fpv.sv │ │ │ │ │ ├── prim_secded_39_32_tb.sv │ │ │ │ │ ├── prim_secded_64_57_bind_fpv.sv │ │ │ │ │ ├── prim_secded_64_57_tb.sv │ │ │ │ │ ├── prim_secded_72_64_bind_fpv.sv │ │ │ │ │ ├── prim_secded_72_64_tb.sv │ │ │ │ │ ├── prim_secded_hamming_22_16_bind_fpv.sv │ │ │ │ │ ├── prim_secded_hamming_22_16_tb.sv │ │ │ │ │ ├── prim_secded_hamming_39_32_bind_fpv.sv │ │ │ │ │ ├── prim_secded_hamming_39_32_tb.sv │ │ │ │ │ ├── prim_secded_hamming_72_64_bind_fpv.sv │ │ │ │ │ ├── prim_secded_hamming_72_64_tb.sv │ │ │ │ │ ├── prim_secded_hamming_76_68_bind_fpv.sv │ │ │ │ │ ├── prim_secded_hamming_76_68_tb.sv │ │ │ │ │ ├── prim_secded_inv_22_16_bind_fpv.sv │ │ │ │ │ ├── prim_secded_inv_22_16_tb.sv │ │ │ │ │ ├── prim_secded_inv_28_22_bind_fpv.sv │ │ │ │ │ ├── prim_secded_inv_28_22_tb.sv │ │ │ │ │ ├── prim_secded_inv_39_32_bind_fpv.sv │ │ │ │ │ ├── prim_secded_inv_39_32_tb.sv │ │ │ │ │ ├── prim_secded_inv_64_57_bind_fpv.sv │ │ │ │ │ ├── prim_secded_inv_64_57_tb.sv │ │ │ │ │ ├── prim_secded_inv_72_64_bind_fpv.sv │ │ │ │ │ ├── prim_secded_inv_72_64_tb.sv │ │ │ │ │ ├── prim_secded_inv_hamming_22_16_bind_fpv.sv │ │ │ │ │ ├── prim_secded_inv_hamming_22_16_tb.sv │ │ │ │ │ ├── prim_secded_inv_hamming_39_32_bind_fpv.sv │ │ │ │ │ ├── prim_secded_inv_hamming_39_32_tb.sv │ │ │ │ │ ├── prim_secded_inv_hamming_72_64_bind_fpv.sv │ │ │ │ │ ├── prim_secded_inv_hamming_72_64_tb.sv │ │ │ │ │ ├── prim_secded_inv_hamming_76_68_bind_fpv.sv │ │ │ │ │ └── prim_secded_inv_hamming_76_68_tb.sv │ │ │ │ └── vip │ │ │ │ │ ├── prim_alert_rxtx_assert_fpv.sv │ │ │ │ │ ├── prim_alert_rxtx_async_assert_fpv.sv │ │ │ │ │ ├── prim_esc_rxtx_assert_fpv.sv │ │ │ │ │ ├── prim_fifo_sync_assert_fpv.sv │ │ │ │ │ ├── prim_secded_22_16_assert_fpv.sv │ │ │ │ │ ├── prim_secded_28_22_assert_fpv.sv │ │ │ │ │ ├── prim_secded_39_32_assert_fpv.sv │ │ │ │ │ ├── prim_secded_64_57_assert_fpv.sv │ │ │ │ │ ├── prim_secded_72_64_assert_fpv.sv │ │ │ │ │ ├── prim_secded_hamming_22_16_assert_fpv.sv │ │ │ │ │ ├── prim_secded_hamming_39_32_assert_fpv.sv │ │ │ │ │ ├── prim_secded_hamming_72_64_assert_fpv.sv │ │ │ │ │ ├── prim_secded_hamming_76_68_assert_fpv.sv │ │ │ │ │ ├── prim_secded_inv_22_16_assert_fpv.sv │ │ │ │ │ ├── prim_secded_inv_28_22_assert_fpv.sv │ │ │ │ │ ├── prim_secded_inv_39_32_assert_fpv.sv │ │ │ │ │ ├── prim_secded_inv_64_57_assert_fpv.sv │ │ │ │ │ ├── prim_secded_inv_72_64_assert_fpv.sv │ │ │ │ │ ├── prim_secded_inv_hamming_22_16_assert_fpv.sv │ │ │ │ │ ├── prim_secded_inv_hamming_39_32_assert_fpv.sv │ │ │ │ │ ├── prim_secded_inv_hamming_72_64_assert_fpv.sv │ │ │ │ │ └── prim_secded_inv_hamming_76_68_assert_fpv.sv │ │ │ ├── lint │ │ │ │ ├── prim.vlt │ │ │ │ ├── prim.waiver │ │ │ │ ├── prim_and2.waiver │ │ │ │ ├── prim_arbiter.vlt │ │ │ │ ├── prim_arbiter.waiver │ │ │ │ ├── prim_assert.vlt │ │ │ │ ├── prim_assert.waiver │ │ │ │ ├── prim_buf.waiver │ │ │ │ ├── prim_cdc_rand_delay.vlt │ │ │ │ ├── prim_cdc_rand_delay.waiver │ │ │ │ ├── prim_cipher.vlt │ │ │ │ ├── prim_cipher_pkg.waiver │ │ │ │ ├── prim_clock_buf.waiver │ │ │ │ ├── prim_clock_div.waiver │ │ │ │ ├── prim_clock_gating.waiver │ │ │ │ ├── prim_clock_inv.waiver │ │ │ │ ├── prim_clock_mux2.waiver │ │ │ │ ├── prim_count.vlt │ │ │ │ ├── prim_count.waiver │ │ │ │ ├── prim_crc32.vlt │ │ │ │ ├── prim_double_lfsr.vlt │ │ │ │ ├── prim_double_lfsr.waiver │ │ │ │ ├── prim_fifo.vlt │ │ │ │ ├── prim_fifo.waiver │ │ │ │ ├── prim_flash.waiver │ │ │ │ ├── prim_flop.waiver │ │ │ │ ├── prim_flop_2sync.waiver │ │ │ │ ├── prim_flop_en.waiver │ │ │ │ ├── prim_lc_sender.waiver │ │ │ │ ├── prim_lfsr.waiver │ │ │ │ ├── prim_max_tree.vlt │ │ │ │ ├── prim_max_tree.waiver │ │ │ │ ├── prim_mubi.waiver │ │ │ │ ├── prim_onehot_check.vlt │ │ │ │ ├── prim_onehot_check.waiver │ │ │ │ ├── prim_onehot_mux.waiver │ │ │ │ ├── prim_otp.waiver │ │ │ │ ├── prim_pad_attr.waiver │ │ │ │ ├── prim_pad_wrapper.waiver │ │ │ │ ├── prim_ram_1p.waiver │ │ │ │ ├── prim_ram_1p_adv.waiver │ │ │ │ ├── prim_ram_1p_scr.vlt │ │ │ │ ├── prim_ram_1r1w.waiver │ │ │ │ ├── prim_ram_2p.waiver │ │ │ │ ├── prim_reg_we_check.waiver │ │ │ │ ├── prim_rom.waiver │ │ │ │ ├── prim_rst_sync.waiver │ │ │ │ ├── prim_secded.waiver │ │ │ │ ├── prim_sha2.vbl │ │ │ │ ├── prim_sha2.vlt │ │ │ │ ├── prim_sha2.waiver │ │ │ │ ├── prim_sparse_fsm_flop.vlt │ │ │ │ ├── prim_sparse_fsm_flop.waiver │ │ │ │ ├── prim_subreg.vlt │ │ │ │ ├── prim_subreg.waiver │ │ │ │ ├── prim_sum_tree.vlt │ │ │ │ ├── prim_sum_tree.waiver │ │ │ │ ├── prim_trivium.vlt │ │ │ │ ├── prim_trivium.waiver │ │ │ │ ├── prim_usb_diff_rx.waiver │ │ │ │ ├── prim_xnor2.waiver │ │ │ │ ├── prim_xor2.waiver │ │ │ │ └── prim_xoshiro256pp.vlt │ │ │ ├── pre_dv │ │ │ │ ├── prim_crc32 │ │ │ │ │ ├── README.md │ │ │ │ │ ├── expected_out.py │ │ │ │ │ ├── predv_expected.txt │ │ │ │ │ ├── prim_crc32_sim.cc │ │ │ │ │ ├── prim_crc32_sim.core │ │ │ │ │ ├── prim_crc32_sim.sv │ │ │ │ │ └── run_predv.sh │ │ │ │ ├── prim_flop_2sync │ │ │ │ │ ├── prim_flop_2sync_sim.core │ │ │ │ │ ├── prim_flop_2sync_sim_cfg.hjson │ │ │ │ │ └── tb.sv │ │ │ │ ├── prim_sync_reqack │ │ │ │ │ ├── README.md │ │ │ │ │ ├── cpp │ │ │ │ │ │ └── prim_sync_reqack_tb.cc │ │ │ │ │ ├── prim_sync_reqack_tb.core │ │ │ │ │ └── rtl │ │ │ │ │ │ └── prim_sync_reqack_tb.sv │ │ │ │ └── prim_trivium │ │ │ │ │ ├── README.md │ │ │ │ │ ├── cpp │ │ │ │ │ └── prim_trivium_tb.cc │ │ │ │ │ ├── prim_trivium_tb.core │ │ │ │ │ └── rtl │ │ │ │ │ └── prim_trivium_tb.sv │ │ │ ├── prim.core │ │ │ ├── prim_alert.core │ │ │ ├── prim_and2.core │ │ │ ├── prim_arbiter.core │ │ │ ├── prim_assert.core │ │ │ ├── prim_blanker.core │ │ │ ├── prim_buf.core │ │ │ ├── prim_cdc_rand_delay.core │ │ │ ├── prim_cipher.core │ │ │ ├── prim_cipher_pkg.core │ │ │ ├── prim_clock_buf.core │ │ │ ├── prim_clock_div.core │ │ │ ├── prim_clock_gating.core │ │ │ ├── prim_clock_gp_mux2.core │ │ │ ├── prim_clock_inv.core │ │ │ ├── prim_clock_meas.core │ │ │ ├── prim_clock_mux2.core │ │ │ ├── prim_count.core │ │ │ ├── prim_crc32.core │ │ │ ├── prim_diff_decode.core │ │ │ ├── prim_dom_and_2share.core │ │ │ ├── prim_double_lfsr.core │ │ │ ├── prim_edge_detector.core │ │ │ ├── prim_edn_req.core │ │ │ ├── prim_esc.core │ │ │ ├── prim_fifo.core │ │ │ ├── prim_flash.core │ │ │ ├── prim_flop.core │ │ │ ├── prim_flop_2sync.core │ │ │ ├── prim_flop_en.core │ │ │ ├── prim_gf_mult.core │ │ │ ├── prim_lc_and_hardened.core │ │ │ ├── prim_lc_combine.core │ │ │ ├── prim_lc_dec.core │ │ │ ├── prim_lc_or_hardened.core │ │ │ ├── prim_lc_sender.core │ │ │ ├── prim_lc_sync.core │ │ │ ├── prim_lfsr.core │ │ │ ├── prim_macros.core │ │ │ ├── prim_max_tree.core │ │ │ ├── prim_msb_extend.core │ │ │ ├── prim_mubi.core │ │ │ ├── prim_multibit_sync.core │ │ │ ├── prim_onehot.core │ │ │ ├── prim_onehot_check.core │ │ │ ├── prim_otp.core │ │ │ ├── prim_otp_pkg.core │ │ │ ├── prim_pad_attr.core │ │ │ ├── prim_pad_wrapper.core │ │ │ ├── prim_pad_wrapper_pkg.core │ │ │ ├── prim_pkg.core │ │ │ ├── prim_ram_1p.core │ │ │ ├── prim_ram_1p_adv.core │ │ │ ├── prim_ram_1p_pkg.core │ │ │ ├── prim_ram_1p_scr.core │ │ │ ├── prim_ram_1r1w.core │ │ │ ├── prim_ram_1r1w_adv.core │ │ │ ├── prim_ram_1r1w_async_adv.core │ │ │ ├── prim_ram_2p.core │ │ │ ├── prim_ram_2p_adv.core │ │ │ ├── prim_ram_2p_async_adv.core │ │ │ ├── prim_ram_2p_pkg.core │ │ │ ├── prim_reg_we_check.core │ │ │ ├── prim_rom.core │ │ │ ├── prim_rom_adv.core │ │ │ ├── prim_rom_pkg.core │ │ │ ├── prim_rst_sync.core │ │ │ ├── prim_sec_anchor.core │ │ │ ├── prim_secded.core │ │ │ ├── prim_sha2.core │ │ │ ├── prim_sha2_pkg.core │ │ │ ├── prim_sparse_fsm.core │ │ │ ├── prim_subreg.core │ │ │ ├── prim_sum_tree.core │ │ │ ├── prim_trivium.core │ │ │ ├── prim_usb_diff_rx.core │ │ │ ├── prim_util.core │ │ │ ├── prim_util_get_scramble_params.core │ │ │ ├── prim_util_memload.core │ │ │ ├── prim_xnor2.core │ │ │ ├── prim_xor2.core │ │ │ ├── prim_xoshiro256pp.core │ │ │ ├── primgen.core │ │ │ ├── rtl │ │ │ │ ├── prim_alert_pkg.sv │ │ │ │ ├── prim_alert_receiver.sv │ │ │ │ ├── prim_alert_sender.sv │ │ │ │ ├── prim_arbiter_fixed.sv │ │ │ │ ├── prim_arbiter_ppc.sv │ │ │ │ ├── prim_arbiter_tree.sv │ │ │ │ ├── prim_arbiter_tree_dup.sv │ │ │ │ ├── prim_assert.sv │ │ │ │ ├── prim_assert_dummy_macros.svh │ │ │ │ ├── prim_assert_sec_cm.svh │ │ │ │ ├── prim_assert_standard_macros.svh │ │ │ │ ├── prim_assert_yosys_macros.svh │ │ │ │ ├── prim_blanker.sv │ │ │ │ ├── prim_cdc_rand_delay.sv │ │ │ │ ├── prim_cipher_pkg.sv │ │ │ │ ├── prim_clock_gating_sync.sv │ │ │ │ ├── prim_clock_gp_mux2.sv │ │ │ │ ├── prim_clock_meas.sv │ │ │ │ ├── prim_clock_timeout.sv │ │ │ │ ├── prim_count.sv │ │ │ │ ├── prim_crc32.sv │ │ │ │ ├── prim_diff_decode.sv │ │ │ │ ├── prim_dom_and_2share.sv │ │ │ │ ├── prim_double_lfsr.sv │ │ │ │ ├── prim_edge_detector.sv │ │ │ │ ├── prim_edn_req.sv │ │ │ │ ├── prim_esc_pkg.sv │ │ │ │ ├── prim_esc_receiver.sv │ │ │ │ ├── prim_esc_sender.sv │ │ │ │ ├── prim_fifo_async.sv │ │ │ │ ├── prim_fifo_async_simple.sv │ │ │ │ ├── prim_fifo_async_sram_adapter.sv │ │ │ │ ├── prim_fifo_sync.sv │ │ │ │ ├── prim_fifo_sync_cnt.sv │ │ │ │ ├── prim_filter.sv │ │ │ │ ├── prim_filter_ctr.sv │ │ │ │ ├── prim_flop_2sync.sv │ │ │ │ ├── prim_flop_macros.sv │ │ │ │ ├── prim_gate_gen.sv │ │ │ │ ├── prim_gf_mult.sv │ │ │ │ ├── prim_intr_hw.sv │ │ │ │ ├── prim_keccak.sv │ │ │ │ ├── prim_lc_and_hardened.sv │ │ │ │ ├── prim_lc_combine.sv │ │ │ │ ├── prim_lc_dec.sv │ │ │ │ ├── prim_lc_or_hardened.sv │ │ │ │ ├── prim_lc_sender.sv │ │ │ │ ├── prim_lc_sync.sv │ │ │ │ ├── prim_lfsr.sv │ │ │ │ ├── prim_macros.svh │ │ │ │ ├── prim_max_tree.sv │ │ │ │ ├── prim_msb_extend.sv │ │ │ │ ├── prim_mubi12_dec.sv │ │ │ │ ├── prim_mubi12_sender.sv │ │ │ │ ├── prim_mubi12_sync.sv │ │ │ │ ├── prim_mubi16_dec.sv │ │ │ │ ├── prim_mubi16_sender.sv │ │ │ │ ├── prim_mubi16_sync.sv │ │ │ │ ├── prim_mubi20_dec.sv │ │ │ │ ├── prim_mubi20_sender.sv │ │ │ │ ├── prim_mubi20_sync.sv │ │ │ │ ├── prim_mubi24_dec.sv │ │ │ │ ├── prim_mubi24_sender.sv │ │ │ │ ├── prim_mubi24_sync.sv │ │ │ │ ├── prim_mubi28_dec.sv │ │ │ │ ├── prim_mubi28_sender.sv │ │ │ │ ├── prim_mubi28_sync.sv │ │ │ │ ├── prim_mubi32_dec.sv │ │ │ │ ├── prim_mubi32_sender.sv │ │ │ │ ├── prim_mubi32_sync.sv │ │ │ │ ├── prim_mubi4_dec.sv │ │ │ │ ├── prim_mubi4_sender.sv │ │ │ │ ├── prim_mubi4_sync.sv │ │ │ │ ├── prim_mubi8_dec.sv │ │ │ │ ├── prim_mubi8_sender.sv │ │ │ │ ├── prim_mubi8_sync.sv │ │ │ │ ├── prim_mubi_pkg.sv │ │ │ │ ├── prim_multibit_sync.sv │ │ │ │ ├── prim_onehot_check.sv │ │ │ │ ├── prim_onehot_enc.sv │ │ │ │ ├── prim_onehot_mux.sv │ │ │ │ ├── prim_otp_pkg.sv │ │ │ │ ├── prim_packer.sv │ │ │ │ ├── prim_packer_fifo.sv │ │ │ │ ├── prim_pad_wrapper_pkg.sv │ │ │ │ ├── prim_present.sv │ │ │ │ ├── prim_prince.sv │ │ │ │ ├── prim_pulse_sync.sv │ │ │ │ ├── prim_ram_1p_adv.sv │ │ │ │ ├── prim_ram_1p_pkg.sv │ │ │ │ ├── prim_ram_1p_scr.sv │ │ │ │ ├── prim_ram_1r1w_adv.sv │ │ │ │ ├── prim_ram_1r1w_async_adv.sv │ │ │ │ ├── prim_ram_2p_adv.sv │ │ │ │ ├── prim_ram_2p_async_adv.sv │ │ │ │ ├── prim_ram_2p_pkg.sv │ │ │ │ ├── prim_reg_cdc.sv │ │ │ │ ├── prim_reg_cdc_arb.sv │ │ │ │ ├── prim_reg_we_check.sv │ │ │ │ ├── prim_rom_adv.sv │ │ │ │ ├── prim_rom_pkg.sv │ │ │ │ ├── prim_rst_sync.sv │ │ │ │ ├── prim_sec_anchor_buf.sv │ │ │ │ ├── prim_sec_anchor_flop.sv │ │ │ │ ├── prim_secded_22_16_dec.sv │ │ │ │ ├── prim_secded_22_16_enc.sv │ │ │ │ ├── prim_secded_28_22_dec.sv │ │ │ │ ├── prim_secded_28_22_enc.sv │ │ │ │ ├── prim_secded_39_32_dec.sv │ │ │ │ ├── prim_secded_39_32_enc.sv │ │ │ │ ├── prim_secded_64_57_dec.sv │ │ │ │ ├── prim_secded_64_57_enc.sv │ │ │ │ ├── prim_secded_72_64_dec.sv │ │ │ │ ├── prim_secded_72_64_enc.sv │ │ │ │ ├── prim_secded_hamming_22_16_dec.sv │ │ │ │ ├── prim_secded_hamming_22_16_enc.sv │ │ │ │ ├── prim_secded_hamming_39_32_dec.sv │ │ │ │ ├── prim_secded_hamming_39_32_enc.sv │ │ │ │ ├── prim_secded_hamming_72_64_dec.sv │ │ │ │ ├── prim_secded_hamming_72_64_enc.sv │ │ │ │ ├── prim_secded_hamming_76_68_dec.sv │ │ │ │ ├── prim_secded_hamming_76_68_enc.sv │ │ │ │ ├── prim_secded_inv_22_16_dec.sv │ │ │ │ ├── prim_secded_inv_22_16_enc.sv │ │ │ │ ├── prim_secded_inv_28_22_dec.sv │ │ │ │ ├── prim_secded_inv_28_22_enc.sv │ │ │ │ ├── prim_secded_inv_39_32_dec.sv │ │ │ │ ├── prim_secded_inv_39_32_enc.sv │ │ │ │ ├── prim_secded_inv_64_57_dec.sv │ │ │ │ ├── prim_secded_inv_64_57_enc.sv │ │ │ │ ├── prim_secded_inv_72_64_dec.sv │ │ │ │ ├── prim_secded_inv_72_64_enc.sv │ │ │ │ ├── prim_secded_inv_hamming_22_16_dec.sv │ │ │ │ ├── prim_secded_inv_hamming_22_16_enc.sv │ │ │ │ ├── prim_secded_inv_hamming_39_32_dec.sv │ │ │ │ ├── prim_secded_inv_hamming_39_32_enc.sv │ │ │ │ ├── prim_secded_inv_hamming_72_64_dec.sv │ │ │ │ ├── prim_secded_inv_hamming_72_64_enc.sv │ │ │ │ ├── prim_secded_inv_hamming_76_68_dec.sv │ │ │ │ ├── prim_secded_inv_hamming_76_68_enc.sv │ │ │ │ ├── prim_secded_pkg.sv │ │ │ │ ├── prim_sha2.sv │ │ │ │ ├── prim_sha2_32.sv │ │ │ │ ├── prim_sha2_pad.sv │ │ │ │ ├── prim_sha2_pkg.sv │ │ │ │ ├── prim_slicer.sv │ │ │ │ ├── prim_sparse_fsm_flop.sv │ │ │ │ ├── prim_sram_arbiter.sv │ │ │ │ ├── prim_subreg.sv │ │ │ │ ├── prim_subreg_arb.sv │ │ │ │ ├── prim_subreg_ext.sv │ │ │ │ ├── prim_subreg_pkg.sv │ │ │ │ ├── prim_subreg_shadow.sv │ │ │ │ ├── prim_subst_perm.sv │ │ │ │ ├── prim_sum_tree.sv │ │ │ │ ├── prim_sync_reqack.sv │ │ │ │ ├── prim_sync_reqack_data.sv │ │ │ │ ├── prim_sync_slow_fast.sv │ │ │ │ ├── prim_trivium.sv │ │ │ │ ├── prim_trivium_pkg.sv │ │ │ │ ├── prim_util_get_scramble_params.svh │ │ │ │ ├── prim_util_memload.svh │ │ │ │ ├── prim_util_pkg.sv │ │ │ │ └── prim_xoshiro256pp.sv │ │ │ └── util │ │ │ │ ├── prim_crc32_table_gen.py │ │ │ │ ├── primgen.py │ │ │ │ ├── primgen │ │ │ │ ├── abstract_prim.sv.tpl │ │ │ │ ├── prim_pkg.core.tpl │ │ │ │ └── prim_pkg.sv.tpl │ │ │ │ └── vendor │ │ │ │ ├── google_verible_verilog_syntax_py.lock.hjson │ │ │ │ ├── google_verible_verilog_syntax_py.vendor.hjson │ │ │ │ └── google_verible_verilog_syntax_py │ │ │ │ ├── BUILD │ │ │ │ ├── print_modules.py │ │ │ │ ├── print_tree.py │ │ │ │ ├── verible_verilog_syntax.py │ │ │ │ └── verible_verilog_syntax_test.py │ │ ├── prim_generic │ │ │ ├── BUILD │ │ │ ├── lint │ │ │ │ ├── prim_generic_clock_buf.vlt │ │ │ │ ├── prim_generic_clock_buf.waiver │ │ │ │ ├── prim_generic_clock_div.waiver │ │ │ │ ├── prim_generic_clock_gating.vlt │ │ │ │ ├── prim_generic_clock_gating.waiver │ │ │ │ ├── prim_generic_clock_mux2.vlt │ │ │ │ ├── prim_generic_clock_mux2.waiver │ │ │ │ ├── prim_generic_flash.vlt │ │ │ │ ├── prim_generic_flash.waiver │ │ │ │ ├── prim_generic_otp.vlt │ │ │ │ ├── prim_generic_otp.waiver │ │ │ │ ├── prim_generic_pad_wrapper.vlt │ │ │ │ ├── prim_generic_pad_wrapper.waiver │ │ │ │ ├── prim_generic_ram_1p.vlt │ │ │ │ ├── prim_generic_ram_1p.waiver │ │ │ │ ├── prim_generic_ram_1r1w.vlt │ │ │ │ ├── prim_generic_ram_1r1w.waiver │ │ │ │ ├── prim_generic_ram_2p.vlt │ │ │ │ ├── prim_generic_ram_2p.waiver │ │ │ │ ├── prim_generic_rom.vlt │ │ │ │ ├── prim_generic_rom.waiver │ │ │ │ └── prim_generic_usb_diff_rx.waiver │ │ │ ├── prim_generic_and2.core │ │ │ ├── prim_generic_buf.core │ │ │ ├── prim_generic_clock_buf.core │ │ │ ├── prim_generic_clock_div.core │ │ │ ├── prim_generic_clock_gating.core │ │ │ ├── prim_generic_clock_inv.core │ │ │ ├── prim_generic_clock_mux2.core │ │ │ ├── prim_generic_flash.core │ │ │ ├── prim_generic_flop.core │ │ │ ├── prim_generic_flop_en.core │ │ │ ├── prim_generic_otp.core │ │ │ ├── prim_generic_pad_attr.core │ │ │ ├── prim_generic_pad_wrapper.core │ │ │ ├── prim_generic_ram_1p.core │ │ │ ├── prim_generic_ram_1r1w.core │ │ │ ├── prim_generic_ram_2p.core │ │ │ ├── prim_generic_rom.core │ │ │ ├── prim_generic_usb_diff_rx.core │ │ │ ├── prim_generic_xnor2.core │ │ │ ├── prim_generic_xor2.core │ │ │ └── rtl │ │ │ │ ├── prim_generic_and2.sv │ │ │ │ ├── prim_generic_buf.sv │ │ │ │ ├── prim_generic_clock_buf.sv │ │ │ │ ├── prim_generic_clock_div.sv │ │ │ │ ├── prim_generic_clock_gating.sv │ │ │ │ ├── prim_generic_clock_inv.sv │ │ │ │ ├── prim_generic_clock_mux2.sv │ │ │ │ ├── prim_generic_flash.sv │ │ │ │ ├── prim_generic_flash_bank.sv │ │ │ │ ├── prim_generic_flop.sv │ │ │ │ ├── prim_generic_flop_en.sv │ │ │ │ ├── prim_generic_otp.sv │ │ │ │ ├── prim_generic_pad_attr.sv │ │ │ │ ├── prim_generic_pad_wrapper.sv │ │ │ │ ├── prim_generic_ram_1p.sv │ │ │ │ ├── prim_generic_ram_1r1w.sv │ │ │ │ ├── prim_generic_ram_2p.sv │ │ │ │ ├── prim_generic_rom.sv │ │ │ │ ├── prim_generic_usb_diff_rx.sv │ │ │ │ ├── prim_generic_xnor2.sv │ │ │ │ └── prim_generic_xor2.sv │ │ └── prim_xilinx │ │ │ ├── BUILD │ │ │ ├── lint │ │ │ ├── prim_xilinx_clock_buf.vlt │ │ │ ├── prim_xilinx_clock_buf.waiver │ │ │ ├── prim_xilinx_clock_gating.vlt │ │ │ ├── prim_xilinx_clock_gating.waiver │ │ │ ├── prim_xilinx_clock_mux2.vlt │ │ │ ├── prim_xilinx_clock_mux2.waiver │ │ │ ├── prim_xilinx_pad_wrapper.vlt │ │ │ └── prim_xilinx_pad_wrapper.waiver │ │ │ ├── prim_xilinx_and2.core │ │ │ ├── prim_xilinx_buf.core │ │ │ ├── prim_xilinx_clock_buf.core │ │ │ ├── prim_xilinx_clock_gating.core │ │ │ ├── prim_xilinx_clock_mux2.core │ │ │ ├── prim_xilinx_flop.core │ │ │ ├── prim_xilinx_flop_en.core │ │ │ ├── prim_xilinx_pad_attr.core │ │ │ ├── prim_xilinx_pad_wrapper.core │ │ │ ├── prim_xilinx_xor2.core │ │ │ └── rtl │ │ │ ├── prim_xilinx_and2.sv │ │ │ ├── prim_xilinx_buf.sv │ │ │ ├── prim_xilinx_clock_buf.sv │ │ │ ├── prim_xilinx_clock_gating.sv │ │ │ ├── prim_xilinx_clock_mux2.sv │ │ │ ├── prim_xilinx_flop.sv │ │ │ ├── prim_xilinx_flop_en.sv │ │ │ ├── prim_xilinx_pad_attr.sv │ │ │ ├── prim_xilinx_pad_wrapper.sv │ │ │ └── prim_xilinx_xor2.sv │ ├── lint │ │ ├── README.md │ │ ├── common.core │ │ ├── comportable.core │ │ └── tools │ │ │ ├── ascentlint │ │ │ ├── ascentlint-config.tcl │ │ │ ├── common.waiver │ │ │ └── comportable.waiver │ │ │ ├── dvsim │ │ │ ├── ascentlint.hjson │ │ │ ├── common_lint_cfg.hjson │ │ │ ├── lint.mk │ │ │ ├── veriblelint.hjson │ │ │ └── verilator.hjson │ │ │ ├── veriblelint │ │ │ └── lowrisc-styleguide.rules.verible_lint │ │ │ └── verilator │ │ │ ├── common.vlt │ │ │ └── comportable.vlt │ └── util │ │ ├── dvsim │ │ ├── BUILD │ │ ├── CdcCfg.py │ │ ├── CfgFactory.py │ │ ├── CfgJson.py │ │ ├── Deploy.py │ │ ├── FlowCfg.py │ │ ├── FormalCfg.py │ │ ├── JobTime.py │ │ ├── Launcher.py │ │ ├── LauncherFactory.py │ │ ├── LintCfg.py │ │ ├── LintParser.py │ │ ├── LocalLauncher.py │ │ ├── LsfLauncher.py │ │ ├── Makefile │ │ ├── Modes.py │ │ ├── MsgBucket.py │ │ ├── MsgBuckets.py │ │ ├── OneShotCfg.py │ │ ├── README.md │ │ ├── RdcCfg.py │ │ ├── SGE.py │ │ ├── Scheduler.py │ │ ├── SgeLauncher.py │ │ ├── SimCfg.py │ │ ├── SimResults.py │ │ ├── StatusPrinter.py │ │ ├── SynCfg.py │ │ ├── Testplan.py │ │ ├── Timer.py │ │ ├── __init__.py │ │ ├── ascentlint-report-parser.py │ │ ├── doc │ │ │ ├── architecture.png │ │ │ ├── design_doc.md │ │ │ ├── glossary.md │ │ │ └── testplanner.md │ │ ├── dvsim.py │ │ ├── examples │ │ │ └── testplanner │ │ │ │ ├── common_testplan.hjson │ │ │ │ ├── foo_dv_doc.md │ │ │ │ ├── foo_sim_results.hjson │ │ │ │ └── foo_testplan.hjson │ │ ├── meridianrdc-report-parser.py │ │ ├── qsubopts.py │ │ ├── results_server.py │ │ ├── sim_utils.py │ │ ├── style.css │ │ ├── testplanner.py │ │ ├── utils.py │ │ ├── utils_test.py │ │ ├── veriblelint-report-parser.py │ │ ├── verilator-report-parser.py │ │ └── verixcdc-report-parser.py │ │ └── uvmdvgen │ │ ├── README.md │ │ ├── README.md.tpl │ │ ├── __init__.py │ │ ├── agent.core.tpl │ │ ├── agent.sv.tpl │ │ ├── agent_cfg.sv.tpl │ │ ├── agent_cov.sv.tpl │ │ ├── agent_pkg.sv.tpl │ │ ├── base_seq.sv.tpl │ │ ├── base_test.sv.tpl │ │ ├── base_vseq.sv.tpl │ │ ├── bind.sv.tpl │ │ ├── checklist.md.tpl │ │ ├── common_vseq.sv.tpl │ │ ├── cov_excl.el.tpl │ │ ├── device_driver.sv.tpl │ │ ├── driver.sv.tpl │ │ ├── env.core.tpl │ │ ├── env.sv.tpl │ │ ├── env_cfg.sv.tpl │ │ ├── env_cov.sv.tpl │ │ ├── env_pkg.sv.tpl │ │ ├── gen_agent.py │ │ ├── gen_env.py │ │ ├── host_driver.sv.tpl │ │ ├── if.sv.tpl │ │ ├── index.md.tpl │ │ ├── item.sv.tpl │ │ ├── monitor.sv.tpl │ │ ├── scoreboard.sv.tpl │ │ ├── seq_list.sv.tpl │ │ ├── sim.core.tpl │ │ ├── sim_cfg.hjson.tpl │ │ ├── smoke_vseq.sv.tpl │ │ ├── sva.core.tpl │ │ ├── tb.sv.tpl │ │ ├── test.core.tpl │ │ ├── test_pkg.sv.tpl │ │ ├── testplan.hjson.tpl │ │ ├── uvmdvgen.py │ │ ├── virtual_sequencer.sv.tpl │ │ └── vseq_list.sv.tpl │ └── patches │ ├── eembc_coremark │ └── 0001-no-minimum-run-time.patch │ ├── google_riscv-dv │ └── 0001-csr-test-start-addr.patch │ └── lowrisc_ip │ ├── dv_lib │ └── 0001-use-ibex-bus-params.patch │ ├── dv_tools │ ├── 0001-Change-xcelium-cov_merge.tcl-to-pass-databases-in-ru.patch │ └── 0001-common-sim-cfg.patch │ ├── dv_utils │ └── 0001-use-ibex-bus-params.patch │ └── ip_prim │ ├── 0001_remove_alert_prim_from_all_group.patch │ └── 0002_add_arbiter_ppc_unoptflat_waiver.patch ├── lowrisc_ip.lock.hjson ├── lowrisc_ip.vendor.hjson ├── lowrisc_ip ├── dv │ └── dpi │ │ ├── common │ │ └── tcp_server │ │ │ ├── tcp_server.c │ │ │ ├── tcp_server.core │ │ │ └── tcp_server.h │ │ ├── jtagdpi │ │ ├── README.md │ │ ├── jtagdpi.c │ │ ├── jtagdpi.core │ │ ├── jtagdpi.h │ │ ├── jtagdpi.sv │ │ └── jtagdpi_sv.core │ │ ├── uartdpi │ │ ├── uartdpi.c │ │ ├── uartdpi.core │ │ ├── uartdpi.h │ │ ├── uartdpi.sv │ │ └── uartdpi_sv.core │ │ └── usbdpi │ │ ├── expected-gpio0-usb0.txt │ │ ├── test_crc.c │ │ ├── usb_crc.c │ │ ├── usb_monitor.c │ │ ├── usb_monitor.h │ │ ├── usb_transfer.c │ │ ├── usb_transfer.h │ │ ├── usb_utils.c │ │ ├── usb_utils.h │ │ ├── usbdpi.c │ │ ├── usbdpi.core │ │ ├── usbdpi.h │ │ ├── usbdpi.sv │ │ ├── usbdpi_stream.c │ │ ├── usbdpi_stream.h │ │ ├── usbdpi_sv.core │ │ ├── usbdpi_test.c │ │ └── usbdpi_test.h ├── ip │ ├── i2c │ │ ├── BUILD │ │ ├── README.md │ │ ├── data │ │ │ ├── BUILD │ │ │ ├── i2c.hjson │ │ │ ├── i2c_sec_cm_testplan.hjson │ │ │ └── i2c_testplan.hjson │ │ ├── doc │ │ │ ├── I2C_state_diagram_target.svg │ │ │ ├── checklist.md │ │ │ ├── i2c_acq_fifo_read.svg │ │ │ ├── i2c_acq_fifo_write.svg │ │ │ ├── i2c_block_diagram.svg │ │ │ ├── i2c_controller_states.svg │ │ │ ├── interfaces.md │ │ │ ├── programmers_guide.md │ │ │ ├── registers.md │ │ │ └── theory_of_operation.md │ │ ├── dv │ │ │ ├── README.md │ │ │ ├── cov │ │ │ │ ├── cov_excl.cfg │ │ │ │ └── i2c_cov_excl.el │ │ │ ├── doc │ │ │ │ └── tb.svg │ │ │ ├── env │ │ │ │ ├── i2c_dv_if.sv │ │ │ │ ├── i2c_env.core │ │ │ │ ├── i2c_env.sv │ │ │ │ ├── i2c_env_cfg.sv │ │ │ │ ├── i2c_env_cov.sv │ │ │ │ ├── i2c_env_pkg.sv │ │ │ │ ├── i2c_reference_model.sv │ │ │ │ ├── i2c_scoreboard.sv │ │ │ │ ├── i2c_seq_cfg.sv │ │ │ │ ├── i2c_virtual_sequencer.sv │ │ │ │ └── seq_lib │ │ │ │ │ ├── i2c_base_vseq.sv │ │ │ │ │ ├── i2c_common_vseq.sv │ │ │ │ │ ├── i2c_glitch_vseq.sv │ │ │ │ │ ├── i2c_host_error_intr_vseq.sv │ │ │ │ │ ├── i2c_host_fifo_fmt_empty_vseq.sv │ │ │ │ │ ├── i2c_host_fifo_full_vseq.sv │ │ │ │ │ ├── i2c_host_fifo_overflow_vseq.sv │ │ │ │ │ ├── i2c_host_fifo_reset_fmt_vseq.sv │ │ │ │ │ ├── i2c_host_fifo_reset_rx_vseq.sv │ │ │ │ │ ├── i2c_host_fifo_watermark_vseq.sv │ │ │ │ │ ├── i2c_host_may_nack_vseq.sv │ │ │ │ │ ├── i2c_host_mode_toggle_vseq.sv │ │ │ │ │ ├── i2c_host_override_vseq.sv │ │ │ │ │ ├── i2c_host_perf_precise_vseq.sv │ │ │ │ │ ├── i2c_host_perf_vseq.sv │ │ │ │ │ ├── i2c_host_rx_oversample_vseq.sv │ │ │ │ │ ├── i2c_host_smoke_vseq.sv │ │ │ │ │ ├── i2c_host_stress_all_vseq.sv │ │ │ │ │ ├── i2c_host_stretch_timeout_vseq.sv │ │ │ │ │ ├── i2c_host_timeout_vseq.sv │ │ │ │ │ ├── i2c_rx_tx_vseq.sv │ │ │ │ │ ├── i2c_target_ack_stop_vseq.sv │ │ │ │ │ ├── i2c_target_fifo_reset_acq_vseq.sv │ │ │ │ │ ├── i2c_target_fifo_reset_tx_vseq.sv │ │ │ │ │ ├── i2c_target_fifo_watermarks_acq_vseq.sv │ │ │ │ │ ├── i2c_target_fifo_watermarks_tx_vseq.sv │ │ │ │ │ ├── i2c_target_hrst_vseq.sv │ │ │ │ │ ├── i2c_target_nack_acqfull_addr_vseq.sv │ │ │ │ │ ├── i2c_target_nack_acqfull_vseq.sv │ │ │ │ │ ├── i2c_target_nack_txstretch_vseq.sv │ │ │ │ │ ├── i2c_target_perf_vseq.sv │ │ │ │ │ ├── i2c_target_runtime_base_vseq.sv │ │ │ │ │ ├── i2c_target_smbus_maxlen_vseq.sv │ │ │ │ │ ├── i2c_target_smoke_vseq.sv │ │ │ │ │ ├── i2c_target_stress_all_vseq.sv │ │ │ │ │ ├── i2c_target_stress_rd_vseq.sv │ │ │ │ │ ├── i2c_target_stress_wr_vseq.sv │ │ │ │ │ ├── i2c_target_stretch_vseq.sv │ │ │ │ │ ├── i2c_target_timeout_vseq.sv │ │ │ │ │ ├── i2c_target_tx_stretch_ctrl_vseq.sv │ │ │ │ │ └── i2c_vseq_list.sv │ │ │ ├── i2c_sim.core │ │ │ ├── i2c_sim_cfg.hjson │ │ │ ├── sva │ │ │ │ ├── i2c_bind.sv │ │ │ │ ├── i2c_protocol_cov.sv │ │ │ │ └── i2c_sva.core │ │ │ ├── tb │ │ │ │ ├── i2c_port_conv.sv │ │ │ │ └── tb.sv │ │ │ └── tests │ │ │ │ ├── i2c_base_test.sv │ │ │ │ ├── i2c_test.core │ │ │ │ └── i2c_test_pkg.sv │ │ ├── i2c.core │ │ ├── i2c_pkg.core │ │ ├── lint │ │ │ ├── i2c.vlt │ │ │ └── i2c.waiver │ │ └── rtl │ │ │ ├── i2c.sv │ │ │ ├── i2c_bus_monitor.sv │ │ │ ├── i2c_controller_fsm.sv │ │ │ ├── i2c_core.sv │ │ │ ├── i2c_fifo_sync_sram_adapter.sv │ │ │ ├── i2c_fifos.sv │ │ │ ├── i2c_pkg.sv │ │ │ ├── i2c_reg_pkg.sv │ │ │ ├── i2c_reg_top.sv │ │ │ └── i2c_target_fsm.sv │ ├── tlul │ │ ├── BUILD │ │ ├── README.md │ │ ├── adapter_host.core │ │ ├── adapter_reg.core │ │ ├── adapter_sram.core │ │ ├── common.core │ │ ├── data │ │ │ ├── BUILD │ │ │ ├── tlul.prj.hjson │ │ │ └── tlul_testplan.hjson │ │ ├── doc │ │ │ ├── TlulProtocolChecker.md │ │ │ ├── dv │ │ │ │ ├── README.md │ │ │ │ └── tb.svg │ │ │ ├── tlul_adapter_sram.svg │ │ │ ├── tlul_socket_1n.svg │ │ │ └── tlul_socket_m1.svg │ │ ├── generic_dv │ │ │ ├── README │ │ │ ├── env │ │ │ │ ├── seq_lib │ │ │ │ │ ├── xbar_access_same_device_vseq.sv │ │ │ │ │ ├── xbar_base_vseq.sv │ │ │ │ │ ├── xbar_random_vseq.sv │ │ │ │ │ ├── xbar_same_source_vseq.sv │ │ │ │ │ ├── xbar_seq_err_item.sv │ │ │ │ │ ├── xbar_smoke_vseq.sv │ │ │ │ │ ├── xbar_stress_all_vseq.sv │ │ │ │ │ ├── xbar_stress_all_with_rand_reset_vseq.sv │ │ │ │ │ ├── xbar_tl_host_seq.sv │ │ │ │ │ ├── xbar_unmapped_addr_vseq.sv │ │ │ │ │ └── xbar_vseq_list.sv │ │ │ │ ├── xbar_env.core │ │ │ │ ├── xbar_env.sv │ │ │ │ ├── xbar_env_cfg.sv │ │ │ │ ├── xbar_env_cov.sv │ │ │ │ ├── xbar_env_pkg.sv │ │ │ │ ├── xbar_scoreboard.sv │ │ │ │ └── xbar_virtual_sequencer.sv │ │ │ ├── tb │ │ │ │ ├── tb.sv │ │ │ │ ├── xbar_macros.core │ │ │ │ ├── xbar_macros.svh │ │ │ │ └── xbar_tb.core │ │ │ ├── tests │ │ │ │ ├── xbar_base_test.sv │ │ │ │ ├── xbar_error_test.sv │ │ │ │ ├── xbar_test.core │ │ │ │ └── xbar_test_pkg.sv │ │ │ ├── xbar_sim_cfg.hjson │ │ │ └── xbar_tests.hjson │ │ ├── headers.core │ │ ├── lint │ │ │ ├── tlul_adapter_host.vlt │ │ │ ├── tlul_adapter_host.waiver │ │ │ ├── tlul_adapter_reg.vlt │ │ │ ├── tlul_adapter_reg.waiver │ │ │ ├── tlul_adapter_sram.vlt │ │ │ ├── tlul_adapter_sram.waiver │ │ │ ├── tlul_common.vlt │ │ │ ├── tlul_common.waiver │ │ │ ├── tlul_lc_gate.vlt │ │ │ ├── tlul_socket_1n.vlt │ │ │ ├── tlul_socket_1n.waiver │ │ │ ├── tlul_socket_m1.vlt │ │ │ ├── tlul_socket_m1.waiver │ │ │ ├── tlul_sram2tlul.vlt │ │ │ └── tlul_sram2tlul.waiver │ │ ├── rtl │ │ │ ├── sram2tlul.sv │ │ │ ├── tlul_adapter_host.sv │ │ │ ├── tlul_adapter_reg.sv │ │ │ ├── tlul_adapter_sram.sv │ │ │ ├── tlul_assert.sv │ │ │ ├── tlul_assert_multiple.sv │ │ │ ├── tlul_cmd_intg_chk.sv │ │ │ ├── tlul_cmd_intg_gen.sv │ │ │ ├── tlul_data_integ_dec.sv │ │ │ ├── tlul_data_integ_enc.sv │ │ │ ├── tlul_err.sv │ │ │ ├── tlul_err_resp.sv │ │ │ ├── tlul_fifo_async.sv │ │ │ ├── tlul_fifo_sync.sv │ │ │ ├── tlul_lc_gate.sv │ │ │ ├── tlul_pkg.sv │ │ │ ├── tlul_rsp_intg_chk.sv │ │ │ ├── tlul_rsp_intg_gen.sv │ │ │ ├── tlul_socket_1n.sv │ │ │ ├── tlul_socket_m1.sv │ │ │ └── tlul_sram_byte.sv │ │ ├── socket_1n.core │ │ ├── socket_m1.core │ │ ├── sram2tlul.core │ │ ├── tlul.core │ │ ├── tlul_lc_gate.core │ │ └── trans_intg.core │ ├── top_pkg │ │ ├── rtl │ │ │ └── top_pkg.sv │ │ └── top_pkg.core │ ├── uart │ │ ├── BUILD │ │ ├── README.md │ │ ├── data │ │ │ ├── BUILD │ │ │ ├── uart.hjson │ │ │ ├── uart_sec_cm_testplan.hjson │ │ │ └── uart_testplan.hjson │ │ ├── doc │ │ │ ├── block_diagram.svg │ │ │ ├── checklist.md │ │ │ ├── interfaces.md │ │ │ ├── programmers_guide.md │ │ │ ├── registers.md │ │ │ └── theory_of_operation.md │ │ ├── dv │ │ │ ├── README.md │ │ │ ├── cov │ │ │ │ └── uart_cov_excl.el │ │ │ ├── doc │ │ │ │ └── tb.svg │ │ │ ├── env │ │ │ │ ├── seq_lib │ │ │ │ │ ├── uart_base_vseq.sv │ │ │ │ │ ├── uart_common_vseq.sv │ │ │ │ │ ├── uart_fifo_full_vseq.sv │ │ │ │ │ ├── uart_fifo_overflow_vseq.sv │ │ │ │ │ ├── uart_fifo_reset_vseq.sv │ │ │ │ │ ├── uart_intr_vseq.sv │ │ │ │ │ ├── uart_long_xfer_wo_dly_vseq.sv │ │ │ │ │ ├── uart_loopback_vseq.sv │ │ │ │ │ ├── uart_noise_filter_vseq.sv │ │ │ │ │ ├── uart_perf_vseq.sv │ │ │ │ │ ├── uart_rx_oversample_vseq.sv │ │ │ │ │ ├── uart_rx_parity_err_vseq.sv │ │ │ │ │ ├── uart_rx_start_bit_filter_vseq.sv │ │ │ │ │ ├── uart_smoke_vseq.sv │ │ │ │ │ ├── uart_stress_all_vseq.sv │ │ │ │ │ ├── uart_tx_ovrd_vseq.sv │ │ │ │ │ ├── uart_tx_rx_vseq.sv │ │ │ │ │ └── uart_vseq_list.sv │ │ │ │ ├── uart_env.core │ │ │ │ ├── uart_env.sv │ │ │ │ ├── uart_env_cfg.sv │ │ │ │ ├── uart_env_cov.sv │ │ │ │ ├── uart_env_pkg.sv │ │ │ │ ├── uart_nf_if.sv │ │ │ │ ├── uart_scoreboard.sv │ │ │ │ └── uart_virtual_sequencer.sv │ │ │ ├── sva │ │ │ │ ├── uart_bind.sv │ │ │ │ └── uart_sva.core │ │ │ ├── tb │ │ │ │ └── tb.sv │ │ │ ├── tests │ │ │ │ ├── uart_base_test.sv │ │ │ │ ├── uart_test.core │ │ │ │ └── uart_test_pkg.sv │ │ │ ├── uart_sim.core │ │ │ └── uart_sim_cfg.hjson │ │ ├── lint │ │ │ ├── uart.vlt │ │ │ └── uart.waiver │ │ ├── rtl │ │ │ ├── uart.sv │ │ │ ├── uart_core.sv │ │ │ ├── uart_reg_pkg.sv │ │ │ ├── uart_reg_top.sv │ │ │ ├── uart_rx.sv │ │ │ └── uart_tx.sv │ │ └── uart.core │ └── usbdev │ │ ├── BUILD │ │ ├── README.md │ │ ├── data │ │ ├── BUILD │ │ ├── usbdev.hjson │ │ ├── usbdev_sec_cm_testplan.hjson │ │ └── usbdev_testplan.hjson │ │ ├── doc │ │ ├── checklist.md │ │ ├── dualpmod-sch.svg │ │ ├── interfaces.md │ │ ├── programmers_guide.md │ │ ├── registers.md │ │ ├── theory_of_operation.md │ │ ├── usbdev_block.svg │ │ └── wake_resume.md │ │ ├── dv │ │ ├── README.md │ │ ├── cov │ │ │ └── usbdev_unr_excl.el │ │ ├── doc │ │ │ └── tb.svg │ │ ├── env │ │ │ ├── seq_lib │ │ │ │ ├── usbdev_aon_wake_vseq.sv │ │ │ │ ├── usbdev_av_buffer_vseq.sv │ │ │ │ ├── usbdev_av_empty_vseq.sv │ │ │ │ ├── usbdev_av_overflow_vseq.sv │ │ │ │ ├── usbdev_bad_traffic_vseq.sv │ │ │ │ ├── usbdev_base_vseq.sv │ │ │ │ ├── usbdev_bitstuff_err_vseq.sv │ │ │ │ ├── usbdev_bus_rand_vseq.sv │ │ │ │ ├── usbdev_common_vseq.sv │ │ │ │ ├── usbdev_csr_test_vseq.sv │ │ │ │ ├── usbdev_data_toggle_clear_vseq.sv │ │ │ │ ├── usbdev_data_toggle_restore_vseq.sv │ │ │ │ ├── usbdev_device_address_vseq.sv │ │ │ │ ├── usbdev_device_timeout_vseq.sv │ │ │ │ ├── usbdev_disable_endpoint_vseq.sv │ │ │ │ ├── usbdev_disconnected_vseq.sv │ │ │ │ ├── usbdev_dpi_config_host_vseq.sv │ │ │ │ ├── usbdev_enable_vseq.sv │ │ │ │ ├── usbdev_endpoint_access_vseq.sv │ │ │ │ ├── usbdev_endpoint_types_vseq.sv │ │ │ │ ├── usbdev_fifo_rst_vseq.sv │ │ │ │ ├── usbdev_freq_phase_delta_vseq.sv │ │ │ │ ├── usbdev_host_lost_vseq.sv │ │ │ │ ├── usbdev_in_iso_vseq.sv │ │ │ │ ├── usbdev_in_rand_trans_vseq.sv │ │ │ │ ├── usbdev_in_stall_vseq.sv │ │ │ │ ├── usbdev_in_trans_vseq.sv │ │ │ │ ├── usbdev_iso_retraction_vseq.sv │ │ │ │ ├── usbdev_link_in_err_vseq.sv │ │ │ │ ├── usbdev_link_out_err_vseq.sv │ │ │ │ ├── usbdev_link_reset_vseq.sv │ │ │ │ ├── usbdev_link_resume_vseq.sv │ │ │ │ ├── usbdev_link_suspend_vseq.sv │ │ │ │ ├── usbdev_low_speed_traffic_vseq.sv │ │ │ │ ├── usbdev_max_length_out_transaction_vseq.sv │ │ │ │ ├── usbdev_max_non_iso_usb_traffic_vseq.sv │ │ │ │ ├── usbdev_max_usb_traffic_vseq.sv │ │ │ │ ├── usbdev_min_length_out_transaction_vseq.sv │ │ │ │ ├── usbdev_nak_trans_vseq.sv │ │ │ │ ├── usbdev_out_iso_vseq.sv │ │ │ │ ├── usbdev_out_stall_vseq.sv │ │ │ │ ├── usbdev_out_trans_nak_vseq.sv │ │ │ │ ├── usbdev_pending_in_trans_vseq.sv │ │ │ │ ├── usbdev_phy_config_eop_single_bit_handling_vseq.sv │ │ │ │ ├── usbdev_phy_config_pinflip_vseq.sv │ │ │ │ ├── usbdev_phy_config_rand_bus_type_vseq.sv │ │ │ │ ├── usbdev_phy_config_tx_osc_test_mode_vseq.sv │ │ │ │ ├── usbdev_phy_config_usb_ref_disable_vseq.sv │ │ │ │ ├── usbdev_phy_pins_sense_vseq.sv │ │ │ │ ├── usbdev_pkt_buffer_vseq.sv │ │ │ │ ├── usbdev_pkt_received_vseq.sv │ │ │ │ ├── usbdev_pkt_sent_vseq.sv │ │ │ │ ├── usbdev_random_length_out_transaction_vseq.sv │ │ │ │ ├── usbdev_resume_link_active_vseq.sv │ │ │ │ ├── usbdev_rx_crc_err_vseq.sv │ │ │ │ ├── usbdev_rx_full_vseq.sv │ │ │ │ ├── usbdev_rx_pid_err_vseq.sv │ │ │ │ ├── usbdev_setup_priority_over_stall_response_vseq.sv │ │ │ │ ├── usbdev_setup_priority_vseq.sv │ │ │ │ ├── usbdev_setup_stage_vseq.sv │ │ │ │ ├── usbdev_setup_trans_ignored_vseq.sv │ │ │ │ ├── usbdev_smoke_vseq.sv │ │ │ │ ├── usbdev_spray_packets_vseq.sv │ │ │ │ ├── usbdev_stall_priority_over_nak_vseq.sv │ │ │ │ ├── usbdev_stall_trans_vseq.sv │ │ │ │ ├── usbdev_stream_len_max_vseq.sv │ │ │ │ ├── usbdev_streaming_vseq.sv │ │ │ │ ├── usbdev_tx_rx_disruption_vseq.sv │ │ │ │ └── usbdev_vseq_list.sv │ │ │ ├── timed_reg.sv │ │ │ ├── usbdev_bfm.sv │ │ │ ├── usbdev_env.core │ │ │ ├── usbdev_env.sv │ │ │ ├── usbdev_env_cfg.sv │ │ │ ├── usbdev_env_cov.sv │ │ │ ├── usbdev_env_pkg.sv │ │ │ ├── usbdev_osc_tuning_if.sv │ │ │ ├── usbdev_scoreboard.sv │ │ │ ├── usbdev_timed_regs.sv │ │ │ └── usbdev_virtual_sequencer.sv │ │ ├── sva │ │ │ ├── usbdev_bind.sv │ │ │ └── usbdev_sva.core │ │ ├── tb │ │ │ └── tb.sv │ │ ├── tests │ │ │ ├── usbdev_base_test.sv │ │ │ ├── usbdev_test.core │ │ │ └── usbdev_test_pkg.sv │ │ ├── usbdev_sim.core │ │ └── usbdev_sim_cfg.hjson │ │ ├── lint │ │ ├── usb_fs_nb_pe.vlt │ │ ├── usb_fs_nb_pe.waiver │ │ ├── usbdev.vlt │ │ └── usbdev.waiver │ │ ├── pmod │ │ └── dualpmod-kicad │ │ │ ├── README │ │ │ ├── dualpmod-cache.lib │ │ │ ├── dualpmod-rescue.dcm │ │ │ ├── dualpmod-rescue.lib │ │ │ ├── dualpmod.kicad_pcb │ │ │ ├── dualpmod.net │ │ │ ├── dualpmod.pro │ │ │ ├── dualpmod.sch │ │ │ ├── dualpmod.xml │ │ │ └── sym-lib-table │ │ ├── rtl │ │ ├── usb_consts_pkg.sv │ │ ├── usb_fs_nb_in_pe.sv │ │ ├── usb_fs_nb_out_pe.sv │ │ ├── usb_fs_nb_pe.sv │ │ ├── usb_fs_rx.sv │ │ ├── usb_fs_tx.sv │ │ ├── usb_fs_tx_mux.sv │ │ ├── usbdev.sv │ │ ├── usbdev_aon_wake.sv │ │ ├── usbdev_counter.sv │ │ ├── usbdev_iomux.sv │ │ ├── usbdev_linkstate.sv │ │ ├── usbdev_pkg.sv │ │ ├── usbdev_reg_pkg.sv │ │ ├── usbdev_reg_top.sv │ │ └── usbdev_usbif.sv │ │ ├── usb_fs_nb_pe.core │ │ ├── usbdev.core │ │ └── usbdev_pkg.core ├── ip_templates │ └── rv_plic │ │ ├── README.md │ │ ├── data │ │ ├── rv_plic.hjson.tpl │ │ ├── rv_plic.tpldesc.hjson │ │ ├── rv_plic_fpv_testplan.hjson.tpl │ │ └── rv_plic_sec_cm_testplan.hjson │ │ ├── doc │ │ ├── block_diagram.svg │ │ ├── checklist.md │ │ ├── dv │ │ │ ├── README.md │ │ │ └── fpv.svg │ │ ├── programmers_guide.md │ │ └── theory_of_operation.md │ │ ├── fpv │ │ ├── rv_plic_expected_failure.hjson │ │ ├── rv_plic_fpv.core.tpl │ │ ├── tb │ │ │ ├── rv_plic_bind_fpv.sv.tpl │ │ │ └── rv_plic_tb.sv.tpl │ │ └── vip │ │ │ └── rv_plic_assert_fpv.sv.tpl │ │ ├── lint │ │ ├── rv_plic.vlt.tpl │ │ └── rv_plic.waiver.tpl │ │ ├── rtl │ │ ├── rv_plic.sv.tpl │ │ ├── rv_plic_gateway.sv.tpl │ │ └── rv_plic_target.sv.tpl │ │ ├── rv_plic.core.tpl │ │ └── rv_plic_component.core.tpl └── util │ ├── design │ ├── BUILD │ ├── README.md │ ├── data │ │ ├── BUILD │ │ ├── multibits.h.tpl │ │ ├── multibits_asm.h.tpl │ │ ├── prim_mubi.core.tpl │ │ ├── prim_mubi_dec.sv.tpl │ │ ├── prim_mubi_pkg.sv.tpl │ │ ├── prim_mubi_sender.sv.tpl │ │ ├── prim_mubi_sync.sv.tpl │ │ └── secded_cfg.hjson │ ├── gen-flash-img.py │ ├── gen-lc-state-enc.py │ ├── gen-lfsr-seed.py │ ├── gen-mubi.py │ ├── gen-otp-img.py │ ├── gen-otp-immutable-rom-ext-json.py │ ├── gen-otp-mmap.py │ ├── gen-otp-rot-auth-json.py │ ├── gen-rng-health-thresholds.py │ ├── gen-top-docs.py │ ├── get-lfsr-coeffs.py │ ├── keccak_rc.py │ ├── lib │ │ ├── BUILD │ │ ├── LcStEnc.py │ │ ├── OtpMemImg.py │ │ ├── OtpMemMap.py │ │ ├── Present.py │ │ ├── common.py │ │ └── common_test.py │ ├── mubi │ │ ├── BUILD │ │ └── prim_mubi.py │ ├── prince.py │ ├── secded_gen.py │ ├── sparse-fsm-encode-test.py │ └── sparse-fsm-encode.py │ ├── ipgen.py │ ├── ipgen │ ├── BUILD │ ├── README.md │ ├── __init__.py │ ├── lib.py │ ├── renderer.py │ └── tests │ │ └── test_render.py │ ├── reggen │ ├── BUILD │ ├── Makefile │ ├── README.md │ ├── __init__.py │ ├── access.py │ ├── alert.py │ ├── bits.py │ ├── bus_interfaces.py │ ├── clocking.py │ ├── countermeasure.py │ ├── doc │ │ ├── reg_top.svg │ │ ├── setup_and_use.md │ │ ├── subreg_ext.svg │ │ ├── subreg_rw.svg │ │ ├── subreg_rw0c.svg │ │ ├── subreg_rw1c.svg │ │ ├── subreg_rw1s.svg │ │ └── subreg_shadow.svg │ ├── enum_entry.py │ ├── field.py │ ├── fpv_csr.sv.tpl │ ├── gen_cfg_html.py │ ├── gen_cfg_md.py │ ├── gen_cheader.py │ ├── gen_dv.py │ ├── gen_fpv.py │ ├── gen_html.py │ ├── gen_json.py │ ├── gen_md.py │ ├── gen_rtl.py │ ├── gen_rust.py │ ├── gen_sec_cm_testplan.py │ ├── gen_selfdoc.py │ ├── gen_tock.py │ ├── html_helpers.py │ ├── inter_signal.py │ ├── interrupt.py │ ├── ip_block.py │ ├── lib.py │ ├── md_helpers.py │ ├── multi_register.py │ ├── params.py │ ├── reg_base.py │ ├── reg_block.py │ ├── reg_html.css │ ├── reg_pkg.sv.tpl │ ├── reg_top.sv.tpl │ ├── register.py │ ├── sec_cm_testplan.hjson.tpl │ ├── signal.py │ ├── uvm_reg.sv.tpl │ ├── uvm_reg_base.sv.tpl │ ├── validate.py │ ├── version.py │ └── window.py │ ├── regtool.py │ ├── tlgen.py │ ├── tlgen │ ├── BUILD │ ├── README.md │ ├── __init__.py │ ├── doc.py │ ├── doc │ │ ├── crossbar_example_1.svg │ │ ├── crossbar_example_2.svg │ │ ├── crossbar_example_3.svg │ │ ├── crossbar_example_4.svg │ │ └── crossbar_example_5.svg │ ├── elaborate.py │ ├── generate.py │ ├── generate_tb.py │ ├── item.py │ ├── lib.py │ ├── tb__xbar_connect.sv.tpl │ ├── validate.py │ ├── xbar.bind.core.tpl │ ├── xbar.bind.sv.tpl │ ├── xbar.core.tpl │ ├── xbar.dv.sv.tpl │ ├── xbar.hjson.tpl │ ├── xbar.pkg.sv.tpl │ ├── xbar.py │ ├── xbar.rtl.sv.tpl │ ├── xbar.sim.core.tpl │ ├── xbar.sim_cfg.hjson.tpl │ ├── xbar_cov_excl.el.tpl │ ├── xbar_cover.cfg.tpl │ └── xbar_env_pkg__params.sv.tpl │ ├── topgen │ ├── BUILD │ ├── README.md │ ├── __init__.py │ ├── c.py │ ├── c_test.py │ ├── clocks.py │ ├── gen_dv.py │ ├── gen_top_docs.py │ ├── intermodule.py │ ├── lib.py │ ├── merge.py │ ├── resets.py │ ├── rust.py │ ├── secure_prng.py │ ├── secure_prng_test.py │ ├── templates │ │ ├── BUILD.tpl │ │ ├── README.md │ │ ├── alert_test.c.tpl │ │ ├── chip_env_pkg__params.sv.tpl │ │ ├── chiplevel.sv.tpl │ │ ├── clang-format │ │ ├── host_toplevel.rs.tpl │ │ ├── plic_all_irqs_test.c.tpl │ │ ├── rstmgr_tgl_excl.cfg.tpl │ │ ├── tb__alert_handler_connect.sv.tpl │ │ ├── tb__xbar_connect.sv.tpl │ │ ├── toplevel.c.tpl │ │ ├── toplevel.h.tpl │ │ ├── toplevel.rs.tpl │ │ ├── toplevel.sv.tpl │ │ ├── toplevel_memory.h.tpl │ │ ├── toplevel_memory.ld.tpl │ │ ├── toplevel_mod.rs.tpl │ │ ├── toplevel_pkg.sv.tpl │ │ ├── toplevel_rnd_cnst_pkg.sv.tpl │ │ ├── xbar_env_pkg__params.sv.tpl │ │ └── xbar_tgl_excl.cfg.tpl │ ├── top.py │ ├── top_uvm_reg.sv.tpl │ └── validate.py │ └── version_file.py ├── open_hbmc.lock.hjson ├── open_hbmc.vendor.hjson ├── open_hbmc ├── LICENSE ├── hbmc_arst_sync.v ├── hbmc_axi_top.v ├── hbmc_bit_sync.v ├── hbmc_bus_sync.v ├── hbmc_clk_obuf.v ├── hbmc_ctrl.v ├── hbmc_dfifo.v ├── hbmc_dru.v ├── hbmc_elastic_buf.v ├── hbmc_iobuf.v └── hbmc_ufifo.v └── patches ├── cheriot_debug_module └── 0001_Legacy_Debug_Mode.patch ├── lowrisc_ibex └── 0001-Add-Clock-Support.patch ├── lowrisc_ip ├── i2c │ ├── 0001-Remove-Alerts-And-Integrity.patch │ └── 0003-Reduce-FIFO-Depth.patch ├── reggen │ └── 0001-Remove-Integrity-From-Reg-Top.patch ├── rv_plic │ ├── 0001-Remove-Alerts.patch │ └── 0002-Integrity-Countermeasure-Removed.patch ├── tcp_server │ └── 0001_TCP_Put_Made_Non_Blocking.patch ├── tlgen │ └── 0001-Crossbar-Core-Portability.patch ├── tlul │ ├── 0001-Remove-LC-Control-As-TLUL-Dependency.patch │ ├── 0002-Tighten-TLUL-Host-Adapter-Prim-Dependency.patch │ └── 0003-Add-Capability-Remove-Integrity.patch ├── uart │ ├── 0001-Remove-Alerts-And-Integrity.patch │ └── 0003-Reduce-FIFO-Depth.patch ├── uartdpi │ ├── 0001-Ignore-Write-Errors.patch │ └── 0002-Simulation-Exit-Condition.patch └── usbdev │ ├── 0001-Remove-Alerts.patch │ ├── 0002-Remove-Integrity-Check.patch │ ├── 0003-Add-Capability-Ports.patch │ ├── 0004-Remove-Rsp-Integrity-Gen.patch │ └── 0005-Remove-SRAM-Alert.patch ├── open_hbmc └── 0001-Fix-Lint.patch └── pulp_riscv_dbg ├── 0001-Use-lowrisc-instead-of-PULP-primitives.patch └── 0002-let-commands-succeed-without-target-feedback.patch /.clang-format: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/.clang-format -------------------------------------------------------------------------------- /.gitattributes: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/.gitattributes -------------------------------------------------------------------------------- /.github/workflows/ci.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/.github/workflows/ci.yml -------------------------------------------------------------------------------- /.github/workflows/site.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/.github/workflows/site.yml -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/.gitignore -------------------------------------------------------------------------------- /.reuse/dep5: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/.reuse/dep5 -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/LICENSE -------------------------------------------------------------------------------- /LICENSES/Apache-2.0.txt: -------------------------------------------------------------------------------- 1 | ../LICENSE -------------------------------------------------------------------------------- /LICENSES/LicenseRef-Xilinx.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/LICENSES/LicenseRef-Xilinx.txt -------------------------------------------------------------------------------- /LICENSES/MIT.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/LICENSES/MIT.txt -------------------------------------------------------------------------------- /LICENSES/SHL-0.51.txt: -------------------------------------------------------------------------------- 1 | ../vendor/cheriot_debug_module/LICENSE -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/README.md -------------------------------------------------------------------------------- /SUMMARY.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/SUMMARY.md -------------------------------------------------------------------------------- /book.toml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/book.toml -------------------------------------------------------------------------------- /cheriot_debug_module.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/cheriot_debug_module.core -------------------------------------------------------------------------------- /data/ibex.svd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/ibex.svd -------------------------------------------------------------------------------- /data/impl_timing.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/impl_timing.xdc -------------------------------------------------------------------------------- /data/license_header.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/license_header.md -------------------------------------------------------------------------------- /data/lowrisc.art: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/lowrisc.art -------------------------------------------------------------------------------- /data/pc_and_gpo.gtkw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/pc_and_gpo.gtkw -------------------------------------------------------------------------------- /data/pins_sonata.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/pins_sonata.xdc -------------------------------------------------------------------------------- /data/pins_sonata_xl.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/pins_sonata_xl.xdc -------------------------------------------------------------------------------- /data/rv_plic_cfg.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/rv_plic_cfg.hjson -------------------------------------------------------------------------------- /data/synth_timing.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/synth_timing.xdc -------------------------------------------------------------------------------- /data/synth_timing_common.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/synth_timing_common.xdc -------------------------------------------------------------------------------- /data/synth_timing_xl.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/synth_timing_xl.xdc -------------------------------------------------------------------------------- /data/top_config.toml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/top_config.toml -------------------------------------------------------------------------------- /data/xbar_ifetch.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/xbar_ifetch.hjson -------------------------------------------------------------------------------- /data/xbar_main.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/xbar_main.hjson -------------------------------------------------------------------------------- /data/xbar_main.hjson.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/data/xbar_main.hjson.tpl -------------------------------------------------------------------------------- /doc/architecture/board.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/architecture/board.md -------------------------------------------------------------------------------- /doc/architecture/img/board-features.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/architecture/img/board-features.jpg -------------------------------------------------------------------------------- /doc/architecture/img/board-headers.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/architecture/img/board-headers.jpg -------------------------------------------------------------------------------- /doc/architecture/sonata-hardware.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/architecture/sonata-hardware.md -------------------------------------------------------------------------------- /doc/dev/building-doc.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/building-doc.md -------------------------------------------------------------------------------- /doc/dev/developing.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/developing.md -------------------------------------------------------------------------------- /doc/dev/fpga-development.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/fpga-development.md -------------------------------------------------------------------------------- /doc/dev/fpga-programming.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/fpga-programming.md -------------------------------------------------------------------------------- /doc/dev/img/sw1_jtagon.jpeg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/img/sw1_jtagon.jpeg -------------------------------------------------------------------------------- /doc/dev/img/test_runner-ext-conn.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/img/test_runner-ext-conn.svg -------------------------------------------------------------------------------- /doc/dev/img/vivado_program.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/img/vivado_program.png -------------------------------------------------------------------------------- /doc/dev/ref-manual.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/ref-manual.md -------------------------------------------------------------------------------- /doc/dev/release-procedure.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/release-procedure.md -------------------------------------------------------------------------------- /doc/dev/simulation.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/simulation.md -------------------------------------------------------------------------------- /doc/dev/sw-programming.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/sw-programming.md -------------------------------------------------------------------------------- /doc/dev/toolchain-setup.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/dev/toolchain-setup.md -------------------------------------------------------------------------------- /doc/guide/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/guide/README.md -------------------------------------------------------------------------------- /doc/guide/building-examples.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/guide/building-examples.md -------------------------------------------------------------------------------- /doc/guide/fpga-update.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/guide/fpga-update.md -------------------------------------------------------------------------------- /doc/guide/img/sonata-fpgaconfig.jpeg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/guide/img/sonata-fpgaconfig.jpeg -------------------------------------------------------------------------------- /doc/guide/img/sonata-selectbs.jpeg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/guide/img/sonata-selectbs.jpeg -------------------------------------------------------------------------------- /doc/guide/rp2040-update.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/guide/rp2040-update.md -------------------------------------------------------------------------------- /doc/guide/sdcard-setup.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/guide/sdcard-setup.md -------------------------------------------------------------------------------- /doc/guide/updating-system.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/guide/updating-system.md -------------------------------------------------------------------------------- /doc/img/sonata-development-system.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/img/sonata-development-system.svg -------------------------------------------------------------------------------- /doc/img/sonata-full.jpeg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/img/sonata-full.jpeg -------------------------------------------------------------------------------- /doc/ip/adc.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/adc.md -------------------------------------------------------------------------------- /doc/ip/dm.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/dm.md -------------------------------------------------------------------------------- /doc/ip/gpio.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/gpio.md -------------------------------------------------------------------------------- /doc/ip/i2c.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/i2c.md -------------------------------------------------------------------------------- /doc/ip/ibex.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/ibex.md -------------------------------------------------------------------------------- /doc/ip/pinmux/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/pinmux/README.md -------------------------------------------------------------------------------- /doc/ip/pinmux/pin-mappings.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/pinmux/pin-mappings.md -------------------------------------------------------------------------------- /doc/ip/pinmux/pin_mappings.dot: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/pinmux/pin_mappings.dot -------------------------------------------------------------------------------- /doc/ip/pinmux/pin_mappings.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/pinmux/pin_mappings.svg -------------------------------------------------------------------------------- /doc/ip/plic.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/plic.md -------------------------------------------------------------------------------- /doc/ip/pwm.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/pwm.md -------------------------------------------------------------------------------- /doc/ip/ram.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/ram.md -------------------------------------------------------------------------------- /doc/ip/revoker.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/revoker.md -------------------------------------------------------------------------------- /doc/ip/spi.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/spi.md -------------------------------------------------------------------------------- /doc/ip/system_info.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/system_info.md -------------------------------------------------------------------------------- /doc/ip/timer.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/timer.md -------------------------------------------------------------------------------- /doc/ip/uart.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/uart.md -------------------------------------------------------------------------------- /doc/ip/usb.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/doc/ip/usb.md -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2c_as621x.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2c_as621x.cc -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2c_as621x.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2c_as621x.hh -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2c_hat_id.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2c_hat_id.cc -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2c_hat_id.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2c_hat_id.hh -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2c_lsm9ds1.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2c_lsm9ds1.cc -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2c_lsm9ds1.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2c_lsm9ds1.hh -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2cdevice.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2cdevice.cc -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2cdevice.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2cdevice.hh -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2cdpi.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2cdpi.cc -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2cdpi.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2cdpi.hh -------------------------------------------------------------------------------- /dv/dpi/i2cdpi/i2cdpi.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/i2cdpi/i2cdpi.sv -------------------------------------------------------------------------------- /dv/dpi/spidpi/spi_flash.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/spidpi/spi_flash.cc -------------------------------------------------------------------------------- /dv/dpi/spidpi/spi_flash.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/spidpi/spi_flash.hh -------------------------------------------------------------------------------- /dv/dpi/spidpi/spi_lcd.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/spidpi/spi_lcd.cc -------------------------------------------------------------------------------- /dv/dpi/spidpi/spi_lcd.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/spidpi/spi_lcd.hh -------------------------------------------------------------------------------- /dv/dpi/spidpi/spi_microsd.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/spidpi/spi_microsd.cc -------------------------------------------------------------------------------- /dv/dpi/spidpi/spi_microsd.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/spidpi/spi_microsd.hh -------------------------------------------------------------------------------- /dv/dpi/spidpi/spidpi.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/spidpi/spidpi.cc -------------------------------------------------------------------------------- /dv/dpi/spidpi/spidpi.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/spidpi/spidpi.hh -------------------------------------------------------------------------------- /dv/dpi/spidpi/spidpi.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/dpi/spidpi/spidpi.sv -------------------------------------------------------------------------------- /dv/models/fpga/rtl/DNA_PORT.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/models/fpga/rtl/DNA_PORT.v -------------------------------------------------------------------------------- /dv/models/fpga/rtl/IOBUF.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/models/fpga/rtl/IOBUF.v -------------------------------------------------------------------------------- /dv/models/fpga/rtl/ISERDESE2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/models/fpga/rtl/ISERDESE2.v -------------------------------------------------------------------------------- /dv/models/fpga/rtl/OBUF.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/models/fpga/rtl/OBUF.v -------------------------------------------------------------------------------- /dv/models/fpga/rtl/ODDR.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/models/fpga/rtl/ODDR.v -------------------------------------------------------------------------------- /dv/models/hyperram/rtl/hyperram_W956.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/models/hyperram/rtl/hyperram_W956.sv -------------------------------------------------------------------------------- /dv/verilator/sonata_system.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/verilator/sonata_system.cc -------------------------------------------------------------------------------- /dv/verilator/sonata_system.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/verilator/sonata_system.hh -------------------------------------------------------------------------------- /dv/verilator/sonata_system_main.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/verilator/sonata_system_main.cc -------------------------------------------------------------------------------- /dv/verilator/sonata_verilator_lint.vlt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/verilator/sonata_verilator_lint.vlt -------------------------------------------------------------------------------- /dv/verilator/top_verilator.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/dv/verilator/top_verilator.sv -------------------------------------------------------------------------------- /flake.lock: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/flake.lock -------------------------------------------------------------------------------- /flake.nix: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/flake.nix -------------------------------------------------------------------------------- /flow/vivado_hook_opt_design_pre.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/flow/vivado_hook_opt_design_pre.tcl -------------------------------------------------------------------------------- /flow/vivado_hook_route_design_pre.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/flow/vivado_hook_route_design_pre.tcl -------------------------------------------------------------------------------- /flow/vivado_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/flow/vivado_setup.tcl -------------------------------------------------------------------------------- /lychee.toml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/lychee.toml -------------------------------------------------------------------------------- /nix/bitstream.nix: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/nix/bitstream.nix -------------------------------------------------------------------------------- /nix/codegen.nix: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/nix/codegen.nix -------------------------------------------------------------------------------- /nix/lint.nix: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/nix/lint.nix -------------------------------------------------------------------------------- /nix/software.nix: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/nix/software.nix -------------------------------------------------------------------------------- /nix/tests.nix: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/nix/tests.nix -------------------------------------------------------------------------------- /open_hbmc.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/open_hbmc.core -------------------------------------------------------------------------------- /pyproject.toml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/pyproject.toml -------------------------------------------------------------------------------- /python-requirements.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/python-requirements.txt -------------------------------------------------------------------------------- /rtl/bus/sonata_xbar_main.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/bus/sonata_xbar_main.sv -------------------------------------------------------------------------------- /rtl/bus/tl_ifetch_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/bus/tl_ifetch_pkg.sv -------------------------------------------------------------------------------- /rtl/bus/tl_main_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/bus/tl_main_pkg.sv -------------------------------------------------------------------------------- /rtl/bus/xbar_ifetch.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/bus/xbar_ifetch.core -------------------------------------------------------------------------------- /rtl/bus/xbar_ifetch.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/bus/xbar_ifetch.sv -------------------------------------------------------------------------------- /rtl/bus/xbar_main.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/bus/xbar_main.core -------------------------------------------------------------------------------- /rtl/bus/xbar_main.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/bus/xbar_main.sv -------------------------------------------------------------------------------- /rtl/fpga/clkgen_sonata.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/fpga/clkgen_sonata.sv -------------------------------------------------------------------------------- /rtl/fpga/padring.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/fpga/padring.sv -------------------------------------------------------------------------------- /rtl/fpga/rst_ctrl.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/fpga/rst_ctrl.sv -------------------------------------------------------------------------------- /rtl/fpga/top_sonata.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/fpga/top_sonata.sv -------------------------------------------------------------------------------- /rtl/ip/gpio/gpio.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/gpio/gpio.core -------------------------------------------------------------------------------- /rtl/ip/gpio/rtl/debounce_step.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/gpio/rtl/debounce_step.sv -------------------------------------------------------------------------------- /rtl/ip/gpio/rtl/gpio.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/gpio/rtl/gpio.sv -------------------------------------------------------------------------------- /rtl/ip/gpio/rtl/gpio_core.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/gpio/rtl/gpio_core.sv -------------------------------------------------------------------------------- /rtl/ip/gpio/rtl/pcint.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/gpio/rtl/pcint.sv -------------------------------------------------------------------------------- /rtl/ip/hyperram/hyperram.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/hyperram/hyperram.core -------------------------------------------------------------------------------- /rtl/ip/hyperram/lint/hyperram.vlt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/hyperram/lint/hyperram.vlt -------------------------------------------------------------------------------- /rtl/ip/hyperram/rtl/hbmc_dfifo.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/hyperram/rtl/hbmc_dfifo.sv -------------------------------------------------------------------------------- /rtl/ip/hyperram/rtl/hbmc_tl_port.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/hyperram/rtl/hbmc_tl_port.sv -------------------------------------------------------------------------------- /rtl/ip/hyperram/rtl/hbmc_tl_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/hyperram/rtl/hbmc_tl_top.sv -------------------------------------------------------------------------------- /rtl/ip/hyperram/rtl/hbmc_ufifo.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/hyperram/rtl/hbmc_ufifo.sv -------------------------------------------------------------------------------- /rtl/ip/hyperram/rtl/hyperram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/hyperram/rtl/hyperram.sv -------------------------------------------------------------------------------- /rtl/ip/hyperram/rtl/hyperram_rdbuf.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/hyperram/rtl/hyperram_rdbuf.sv -------------------------------------------------------------------------------- /rtl/ip/hyperram/rtl/hyperram_wrbuf.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/hyperram/rtl/hyperram_wrbuf.sv -------------------------------------------------------------------------------- /rtl/ip/pwm/pwm.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/pwm/pwm.core -------------------------------------------------------------------------------- /rtl/ip/pwm/rtl/pwm.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/pwm/rtl/pwm.sv -------------------------------------------------------------------------------- /rtl/ip/pwm/rtl/pwm_wrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/pwm/rtl/pwm_wrapper.sv -------------------------------------------------------------------------------- /rtl/ip/rev_ctl/data/rev_ctl.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rev_ctl/data/rev_ctl.hjson -------------------------------------------------------------------------------- /rtl/ip/rev_ctl/rev_ctl.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rev_ctl/rev_ctl.core -------------------------------------------------------------------------------- /rtl/ip/rev_ctl/rtl/rev_ctl.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rev_ctl/rtl/rev_ctl.sv -------------------------------------------------------------------------------- /rtl/ip/rev_ctl/rtl/rev_ctl_reg_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rev_ctl/rtl/rev_ctl_reg_pkg.sv -------------------------------------------------------------------------------- /rtl/ip/rev_ctl/rtl/rev_ctl_reg_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rev_ctl/rtl/rev_ctl_reg_top.sv -------------------------------------------------------------------------------- /rtl/ip/rgbled_ctrl/data/rgbled_ctrl.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rgbled_ctrl/data/rgbled_ctrl.hjson -------------------------------------------------------------------------------- /rtl/ip/rgbled_ctrl/rgbled_ctrl.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rgbled_ctrl/rgbled_ctrl.core -------------------------------------------------------------------------------- /rtl/ip/rgbled_ctrl/rtl/rgbled_ctrl.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rgbled_ctrl/rtl/rgbled_ctrl.sv -------------------------------------------------------------------------------- /rtl/ip/rgbled_ctrl/rtl/rgbled_ctrl_reg_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rgbled_ctrl/rtl/rgbled_ctrl_reg_pkg.sv -------------------------------------------------------------------------------- /rtl/ip/rgbled_ctrl/rtl/rgbled_ctrl_reg_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rgbled_ctrl/rtl/rgbled_ctrl_reg_top.sv -------------------------------------------------------------------------------- /rtl/ip/rgbled_ctrl/rtl/ws281x_drv.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/rgbled_ctrl/rtl/ws281x_drv.sv -------------------------------------------------------------------------------- /rtl/ip/spi/data/spi.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/spi/data/spi.hjson -------------------------------------------------------------------------------- /rtl/ip/spi/dv/verilator/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/spi/dv/verilator/README.md -------------------------------------------------------------------------------- /rtl/ip/spi/dv/verilator/spi_core_tb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/spi/dv/verilator/spi_core_tb.sv -------------------------------------------------------------------------------- /rtl/ip/spi/dv/verilator/spi_recv.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/spi/dv/verilator/spi_recv.sv -------------------------------------------------------------------------------- /rtl/ip/spi/dv/verilator/spi_trans.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/spi/dv/verilator/spi_trans.sv -------------------------------------------------------------------------------- /rtl/ip/spi/rtl/spi.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/spi/rtl/spi.sv -------------------------------------------------------------------------------- /rtl/ip/spi/rtl/spi_core.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/spi/rtl/spi_core.sv -------------------------------------------------------------------------------- /rtl/ip/spi/rtl/spi_reg_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/spi/rtl/spi_reg_pkg.sv -------------------------------------------------------------------------------- /rtl/ip/spi/rtl/spi_reg_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/spi/rtl/spi_reg_top.sv -------------------------------------------------------------------------------- /rtl/ip/spi/spi.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/spi/spi.core -------------------------------------------------------------------------------- /rtl/ip/system_info/data/system_info.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/system_info/data/system_info.hjson -------------------------------------------------------------------------------- /rtl/ip/system_info/rtl/system_info_reg_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/system_info/rtl/system_info_reg_pkg.sv -------------------------------------------------------------------------------- /rtl/ip/system_info/rtl/system_info_reg_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/system_info/rtl/system_info_reg_top.sv -------------------------------------------------------------------------------- /rtl/ip/system_info/system_info.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/system_info/system_info.core -------------------------------------------------------------------------------- /rtl/ip/system_info/util/system_info_gen.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/system_info/util/system_info_gen.py -------------------------------------------------------------------------------- /rtl/ip/xadc/rtl/xadc.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/xadc/rtl/xadc.sv -------------------------------------------------------------------------------- /rtl/ip/xadc/rtl/xadc_adapter.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/xadc/rtl/xadc_adapter.sv -------------------------------------------------------------------------------- /rtl/ip/xadc/rtl/xadc_wiz_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/xadc/rtl/xadc_wiz_0.v -------------------------------------------------------------------------------- /rtl/ip/xadc/xadc.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/ip/xadc/xadc.core -------------------------------------------------------------------------------- /rtl/system/autogen/rv_plic/data/rv_plic.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/autogen/rv_plic/data/rv_plic.hjson -------------------------------------------------------------------------------- /rtl/system/autogen/rv_plic/lint/rv_plic.vlt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/autogen/rv_plic/lint/rv_plic.vlt -------------------------------------------------------------------------------- /rtl/system/autogen/rv_plic/rtl/rv_plic.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/autogen/rv_plic/rtl/rv_plic.sv -------------------------------------------------------------------------------- /rtl/system/autogen/rv_plic/rv_plic.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/autogen/rv_plic/rv_plic.core -------------------------------------------------------------------------------- /rtl/system/debounce.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/debounce.sv -------------------------------------------------------------------------------- /rtl/system/dm_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/dm_top.sv -------------------------------------------------------------------------------- /rtl/system/jtag_id_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/jtag_id_pkg.sv -------------------------------------------------------------------------------- /rtl/system/pinmux.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/pinmux.sv -------------------------------------------------------------------------------- /rtl/system/pwm_fade.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/pwm_fade.sv -------------------------------------------------------------------------------- /rtl/system/rs485_ctrl.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/rs485_ctrl.sv -------------------------------------------------------------------------------- /rtl/system/rst_sync.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/rst_sync.sv -------------------------------------------------------------------------------- /rtl/system/rv_timer.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/rv_timer.sv -------------------------------------------------------------------------------- /rtl/system/sonata_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/sonata_pkg.sv -------------------------------------------------------------------------------- /rtl/system/sonata_system.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/sonata_system.sv -------------------------------------------------------------------------------- /rtl/system/sram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/system/sram.sv -------------------------------------------------------------------------------- /rtl/templates/pinmux.sv.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/templates/pinmux.sv.tpl -------------------------------------------------------------------------------- /rtl/templates/sonata_pkg.sv.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/templates/sonata_pkg.sv.tpl -------------------------------------------------------------------------------- /rtl/templates/sonata_xbar_main.sv.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rtl/templates/sonata_xbar_main.sv.tpl -------------------------------------------------------------------------------- /rv_timer.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/rv_timer.core -------------------------------------------------------------------------------- /sonata.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sonata.core -------------------------------------------------------------------------------- /sonata_system.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sonata_system.core -------------------------------------------------------------------------------- /sw/cheri/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/CMakeLists.txt -------------------------------------------------------------------------------- /sw/cheri/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/README.md -------------------------------------------------------------------------------- /sw/cheri/boot/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/boot/CMakeLists.txt -------------------------------------------------------------------------------- /sw/cheri/boot/boot.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/boot/boot.S -------------------------------------------------------------------------------- /sw/cheri/boot/boot_loader.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/boot/boot_loader.cc -------------------------------------------------------------------------------- /sw/cheri/boot/elf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/boot/elf.h -------------------------------------------------------------------------------- /sw/cheri/checks/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/CMakeLists.txt -------------------------------------------------------------------------------- /sw/cheri/checks/cheri_sanity.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/cheri_sanity.cc -------------------------------------------------------------------------------- /sw/cheri/checks/gpio_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/gpio_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/hyperram_memset.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/hyperram_memset.S -------------------------------------------------------------------------------- /sw/cheri/checks/hyperram_memset.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/hyperram_memset.h -------------------------------------------------------------------------------- /sw/cheri/checks/hyperram_test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/hyperram_test.cc -------------------------------------------------------------------------------- /sw/cheri/checks/lcd_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/lcd_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/lowrisc_logo_native.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/lowrisc_logo_native.h -------------------------------------------------------------------------------- /sw/cheri/checks/memory_test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/memory_test.cc -------------------------------------------------------------------------------- /sw/cheri/checks/pcint_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/pcint_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/pinmux_all_blocks_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/pinmux_all_blocks_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/pinmux_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/pinmux_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/pinmux_checker.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/pinmux_checker.cc -------------------------------------------------------------------------------- /sw/cheri/checks/pinmux_checker.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/pinmux_checker.hh -------------------------------------------------------------------------------- /sw/cheri/checks/revocation_test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/revocation_test.cc -------------------------------------------------------------------------------- /sw/cheri/checks/rgbled_test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/rgbled_test.cc -------------------------------------------------------------------------------- /sw/cheri/checks/rs485_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/rs485_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/rs485_spam_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/rs485_spam_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/sdraw_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/sdraw_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/spi_test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/spi_test.cc -------------------------------------------------------------------------------- /sw/cheri/checks/system_info_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/system_info_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/tag_test.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/tag_test.S -------------------------------------------------------------------------------- /sw/cheri/checks/test_runner.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/test_runner.cc -------------------------------------------------------------------------------- /sw/cheri/checks/uart_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/uart_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/uart_simexit_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/uart_simexit_check.cc -------------------------------------------------------------------------------- /sw/cheri/checks/usbdev_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/checks/usbdev_check.cc -------------------------------------------------------------------------------- /sw/cheri/cheriot_toolchain.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/cheriot_toolchain.cmake -------------------------------------------------------------------------------- /sw/cheri/common/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/CMakeLists.txt -------------------------------------------------------------------------------- /sw/cheri/common/asm.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/asm.hh -------------------------------------------------------------------------------- /sw/cheri/common/block_tests.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/block_tests.cc -------------------------------------------------------------------------------- /sw/cheri/common/block_tests.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/block_tests.hh -------------------------------------------------------------------------------- /sw/cheri/common/boot.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/boot.S -------------------------------------------------------------------------------- /sw/cheri/common/console.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/console.hh -------------------------------------------------------------------------------- /sw/cheri/common/default-handlers.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/default-handlers.cc -------------------------------------------------------------------------------- /sw/cheri/common/filesys-utils.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/filesys-utils.hh -------------------------------------------------------------------------------- /sw/cheri/common/flash-utils.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/flash-utils.hh -------------------------------------------------------------------------------- /sw/cheri/common/hyperram_exec_test.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/hyperram_exec_test.S -------------------------------------------------------------------------------- /sw/cheri/common/hyperram_perf_test.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/hyperram_perf_test.S -------------------------------------------------------------------------------- /sw/cheri/common/hyperram_perf_test.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/hyperram_perf_test.h -------------------------------------------------------------------------------- /sw/cheri/common/platform-pinmux.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/platform-pinmux.hh -------------------------------------------------------------------------------- /sw/cheri/common/platform-pinmux.hh.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/platform-pinmux.hh.tpl -------------------------------------------------------------------------------- /sw/cheri/common/rpi-hat-eeprom.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/rpi-hat-eeprom.hh -------------------------------------------------------------------------------- /sw/cheri/common/sdcard-utils.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/sdcard-utils.hh -------------------------------------------------------------------------------- /sw/cheri/common/sonata-devices.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/sonata-devices.hh -------------------------------------------------------------------------------- /sw/cheri/common/sonata_plic.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/sonata_plic.hh -------------------------------------------------------------------------------- /sw/cheri/common/timer-utils.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/timer-utils.hh -------------------------------------------------------------------------------- /sw/cheri/common/uart-utils.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/uart-utils.hh -------------------------------------------------------------------------------- /sw/cheri/common/usbdev-utils.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/common/usbdev-utils.hh -------------------------------------------------------------------------------- /sw/cheri/error_leds/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/error_leds/CMakeLists.txt -------------------------------------------------------------------------------- /sw/cheri/error_leds/error.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/error_leds/error.S -------------------------------------------------------------------------------- /sw/cheri/link.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/link.ld -------------------------------------------------------------------------------- /sw/cheri/sim_boot_stub/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/sim_boot_stub/Makefile -------------------------------------------------------------------------------- /sw/cheri/sim_boot_stub/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/sim_boot_stub/README.md -------------------------------------------------------------------------------- /sw/cheri/sim_boot_stub/boot.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/sim_boot_stub/boot.S -------------------------------------------------------------------------------- /sw/cheri/sim_boot_stub/boot_sram.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/sim_boot_stub/boot_sram.S -------------------------------------------------------------------------------- /sw/cheri/sim_boot_stub/link.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/sim_boot_stub/link.ld -------------------------------------------------------------------------------- /sw/cheri/tests/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/CMakeLists.txt -------------------------------------------------------------------------------- /sw/cheri/tests/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/README.md -------------------------------------------------------------------------------- /sw/cheri/tests/boot.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/boot.S -------------------------------------------------------------------------------- /sw/cheri/tests/hyperram_tests.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/hyperram_tests.hh -------------------------------------------------------------------------------- /sw/cheri/tests/i2c_tests.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/i2c_tests.hh -------------------------------------------------------------------------------- /sw/cheri/tests/lorem_text.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/lorem_text.hh -------------------------------------------------------------------------------- /sw/cheri/tests/pinmux_tests.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/pinmux_tests.hh -------------------------------------------------------------------------------- /sw/cheri/tests/plic_tests.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/plic_tests.hh -------------------------------------------------------------------------------- /sw/cheri/tests/pwm_tests.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/pwm_tests.hh -------------------------------------------------------------------------------- /sw/cheri/tests/sdcard_tests.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/sdcard_tests.hh -------------------------------------------------------------------------------- /sw/cheri/tests/spi_tests.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/spi_tests.hh -------------------------------------------------------------------------------- /sw/cheri/tests/test_runner.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/test_runner.cc -------------------------------------------------------------------------------- /sw/cheri/tests/test_runner.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/test_runner.hh -------------------------------------------------------------------------------- /sw/cheri/tests/uart_tests.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/uart_tests.hh -------------------------------------------------------------------------------- /sw/cheri/tests/usbdev_tests.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/cheri/tests/usbdev_tests.hh -------------------------------------------------------------------------------- /sw/common/defs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/common/defs.h -------------------------------------------------------------------------------- /sw/legacy/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/blank/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/blank/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/blank/blank.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/blank/blank.S -------------------------------------------------------------------------------- /sw/legacy/boot/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/boot/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/boot/boot.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/boot/boot.c -------------------------------------------------------------------------------- /sw/legacy/common/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/common/cpp_runtime.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/cpp_runtime.cc -------------------------------------------------------------------------------- /sw/legacy/common/crt0.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/crt0.S -------------------------------------------------------------------------------- /sw/legacy/common/dev_access.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/dev_access.h -------------------------------------------------------------------------------- /sw/legacy/common/gpio.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/gpio.c -------------------------------------------------------------------------------- /sw/legacy/common/gpio.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/gpio.h -------------------------------------------------------------------------------- /sw/legacy/common/i2c.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/i2c.c -------------------------------------------------------------------------------- /sw/legacy/common/i2c.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/i2c.h -------------------------------------------------------------------------------- /sw/legacy/common/log.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/log.hh -------------------------------------------------------------------------------- /sw/legacy/common/pwm.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/pwm.c -------------------------------------------------------------------------------- /sw/legacy/common/pwm.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/pwm.h -------------------------------------------------------------------------------- /sw/legacy/common/rv_plic.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/rv_plic.c -------------------------------------------------------------------------------- /sw/legacy/common/rv_plic.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/rv_plic.h -------------------------------------------------------------------------------- /sw/legacy/common/sonata_system.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/sonata_system.c -------------------------------------------------------------------------------- /sw/legacy/common/sonata_system.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/sonata_system.h -------------------------------------------------------------------------------- /sw/legacy/common/sonata_system_regs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/sonata_system_regs.h -------------------------------------------------------------------------------- /sw/legacy/common/spi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/spi.c -------------------------------------------------------------------------------- /sw/legacy/common/spi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/spi.h -------------------------------------------------------------------------------- /sw/legacy/common/timer.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/timer.c -------------------------------------------------------------------------------- /sw/legacy/common/timer.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/timer.h -------------------------------------------------------------------------------- /sw/legacy/common/uart.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/uart.c -------------------------------------------------------------------------------- /sw/legacy/common/uart.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/uart.h -------------------------------------------------------------------------------- /sw/legacy/common/usbdev.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/usbdev.c -------------------------------------------------------------------------------- /sw/legacy/common/usbdev.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/common/usbdev.h -------------------------------------------------------------------------------- /sw/legacy/demo/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/demo/ethernet/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/ethernet/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/demo/ethernet/ksz8851.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/ethernet/ksz8851.c -------------------------------------------------------------------------------- /sw/legacy/demo/ethernet/ksz8851.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/ethernet/ksz8851.h -------------------------------------------------------------------------------- /sw/legacy/demo/ethernet/lwip/arch/cc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/ethernet/lwip/arch/cc.h -------------------------------------------------------------------------------- /sw/legacy/demo/ethernet/lwip/lwipopts.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/ethernet/lwip/lwipopts.h -------------------------------------------------------------------------------- /sw/legacy/demo/ethernet/lwip/sys.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/ethernet/lwip/sys.c -------------------------------------------------------------------------------- /sw/legacy/demo/ethernet/main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/ethernet/main.c -------------------------------------------------------------------------------- /sw/legacy/demo/hello_usb/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/hello_usb/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/demo/hello_usb/main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/hello_usb/main.c -------------------------------------------------------------------------------- /sw/legacy/demo/hello_world/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/hello_world/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/demo/hello_world/main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/hello_world/main.c -------------------------------------------------------------------------------- /sw/legacy/demo/i2c_hat_id/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/i2c_hat_id/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/demo/i2c_hat_id/i2c_hat_id.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/i2c_hat_id/i2c_hat_id.c -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/fbcon.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/fbcon.c -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/fbcon.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/fbcon.h -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/fractal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/fractal.h -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/fractal_fixed.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/fractal_fixed.c -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/fractal_float.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/fractal_float.c -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/fractal_palette.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/fractal_palette.c -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/lcd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/lcd.c -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/lcd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/lcd.h -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/lowrisc_logo.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/lowrisc_logo.h -------------------------------------------------------------------------------- /sw/legacy/demo/lcd_st7735/main.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/demo/lcd_st7735/main.cc -------------------------------------------------------------------------------- /sw/legacy/gcc_toolchain.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/gcc_toolchain.cmake -------------------------------------------------------------------------------- /sw/legacy/hal/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/hal/gpio.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/gpio.hh -------------------------------------------------------------------------------- /sw/legacy/hal/mmio/gpio.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/mmio/gpio.hh -------------------------------------------------------------------------------- /sw/legacy/hal/mmio/i2c.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/mmio/i2c.hh -------------------------------------------------------------------------------- /sw/legacy/hal/mmio/mmio.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/mmio/mmio.hh -------------------------------------------------------------------------------- /sw/legacy/hal/mmio/pwm.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/mmio/pwm.hh -------------------------------------------------------------------------------- /sw/legacy/hal/mmio/sonata_system_platform.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/mmio/sonata_system_platform.hh -------------------------------------------------------------------------------- /sw/legacy/hal/mmio/spi.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/mmio/spi.hh -------------------------------------------------------------------------------- /sw/legacy/hal/mmio/timer.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/mmio/timer.hh -------------------------------------------------------------------------------- /sw/legacy/hal/mmio/uart.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/mmio/uart.hh -------------------------------------------------------------------------------- /sw/legacy/hal/mmio/usbdev.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/mmio/usbdev.hh -------------------------------------------------------------------------------- /sw/legacy/hal/platform.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/platform.hh -------------------------------------------------------------------------------- /sw/legacy/hal/pwm.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/pwm.hh -------------------------------------------------------------------------------- /sw/legacy/hal/spi.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/spi.hh -------------------------------------------------------------------------------- /sw/legacy/hal/timer.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/timer.hh -------------------------------------------------------------------------------- /sw/legacy/hal/uart.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/hal/uart.hh -------------------------------------------------------------------------------- /sw/legacy/link.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/link.ld -------------------------------------------------------------------------------- /sw/legacy/test/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/test/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/test/heap_test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/test/heap_test.cc -------------------------------------------------------------------------------- /sw/legacy/test/memory_test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/test/memory_test.cc -------------------------------------------------------------------------------- /sw/legacy/test/spi_test.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/test/spi_test.c -------------------------------------------------------------------------------- /sw/legacy/timer_test/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/timer_test/CMakeLists.txt -------------------------------------------------------------------------------- /sw/legacy/timer_test/timer_test.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/sw/legacy/timer_test/timer_test.cc -------------------------------------------------------------------------------- /util/elf-to-uf2.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/elf-to-uf2.sh -------------------------------------------------------------------------------- /util/generate_plic.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/generate_plic.sh -------------------------------------------------------------------------------- /util/generate_xbar.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/generate_xbar.sh -------------------------------------------------------------------------------- /util/interactive_helpers.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/interactive_helpers.tcl -------------------------------------------------------------------------------- /util/mdbook/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/mdbook/__init__.py -------------------------------------------------------------------------------- /util/mdbook/utils.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/mdbook/utils.py -------------------------------------------------------------------------------- /util/mdbook/wavejson/default.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/mdbook/wavejson/default.js -------------------------------------------------------------------------------- /util/mdbook/wavejson/wavedrom.min.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/mdbook/wavejson/wavedrom.min.js -------------------------------------------------------------------------------- /util/mdbook/wavejson/wavejson.css: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/mdbook/wavejson/wavejson.css -------------------------------------------------------------------------------- /util/mdbook/wavejson/wavejson.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/mdbook/wavejson/wavejson.js -------------------------------------------------------------------------------- /util/mdbook_wavejson.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/mdbook_wavejson.py -------------------------------------------------------------------------------- /util/mem_helper.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/mem_helper.sh -------------------------------------------------------------------------------- /util/reg_gen.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/reg_gen.sh -------------------------------------------------------------------------------- /util/sonata-openocd-cfg.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/sonata-openocd-cfg.tcl -------------------------------------------------------------------------------- /util/templates/pinmux.md.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/templates/pinmux.md.tpl -------------------------------------------------------------------------------- /util/test_runner.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/test_runner.py -------------------------------------------------------------------------------- /util/top_gen.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/top_gen.py -------------------------------------------------------------------------------- /util/top_gen/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/top_gen/__init__.py -------------------------------------------------------------------------------- /util/top_gen/generator.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/top_gen/generator.py -------------------------------------------------------------------------------- /util/top_gen/parser.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/top_gen/parser.py -------------------------------------------------------------------------------- /util/vendor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/vendor.py -------------------------------------------------------------------------------- /util/verilator-openocd-cfg.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/util/verilator-openocd-cfg.tcl -------------------------------------------------------------------------------- /uv.lock: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/uv.lock -------------------------------------------------------------------------------- /vendor/cheriot_debug_module.lock.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module.lock.hjson -------------------------------------------------------------------------------- /vendor/cheriot_debug_module.vendor.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module.vendor.hjson -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/Bender.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/Bender.yml -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/CHANGELOG.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/CHANGELOG.md -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/LICENSE -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/LICENSE.SCISemi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/LICENSE.SCISemi -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/LICENSE.SiFive: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/LICENSE.SiFive -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/README.md -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/ci/get-openocd.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/ci/get-openocd.sh -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/ci/make-tmp.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/ci/make-tmp.sh -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/debug_rom/.gitignore: -------------------------------------------------------------------------------- 1 | *.bin 2 | *.elf 3 | debug_rom.img 4 | -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/debug_rom/link.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/debug_rom/link.ld -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/src/dm_csrs.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/src/dm_csrs.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/src/dm_mem.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/src/dm_mem.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/src/dm_obi_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/src/dm_obi_top.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/src/dm_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/src/dm_pkg.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/src/dm_sba.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/src/dm_sba.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/src/dmi_cdc.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/src/dmi_cdc.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/src/dmi_intf.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/src/dmi_intf.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/src/dmi_jtag.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/src/dmi_jtag.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/src/dmi_test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/src/dmi_test.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/sva/dm_sba_sva.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/sva/dm_sba_sva.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/sva/dm_top_sva.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/sva/dm_top_sva.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/.gitignore -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/LICENSE.SiFive: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/LICENSE.SiFive -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/Makefile -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/README.md -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/SimJTAG.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/SimJTAG.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/boot_rom.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/boot_rom.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/dm_debug.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/dm_debug.cfg -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/dm_tb_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/dm_tb_pkg.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/dp_ram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/dp_ram.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/jtag_dmi/.gitignore: -------------------------------------------------------------------------------- 1 | compile.tcl 2 | wlf* 3 | work/ 4 | -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/mm_ram.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/mm_ram.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/prog/crt0.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/prog/crt0.S -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/prog/link.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/prog/link.ld -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/prog/test.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/prog/test.c -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/prog/vectors.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/prog/vectors.S -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/remote_bitbang/.gitignore: -------------------------------------------------------------------------------- 1 | *.o 2 | *.d 3 | *.so -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/tb_test_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/tb_test_env.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/tb_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/tb_top.sv -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/vsim_batch.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/vsim_batch.tcl -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/vsim_gui.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/vsim_gui.tcl -------------------------------------------------------------------------------- /vendor/cheriot_debug_module/tb/waves.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/cheriot_debug_module/tb/waves.tcl -------------------------------------------------------------------------------- /vendor/display_drivers.lock.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers.lock.hjson -------------------------------------------------------------------------------- /vendor/display_drivers.vendor.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers.vendor.hjson -------------------------------------------------------------------------------- /vendor/display_drivers/.clang-format: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers/.clang-format -------------------------------------------------------------------------------- /vendor/display_drivers/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers/LICENSE -------------------------------------------------------------------------------- /vendor/display_drivers/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers/README.md -------------------------------------------------------------------------------- /vendor/display_drivers/core/font.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers/core/font.h -------------------------------------------------------------------------------- /vendor/display_drivers/core/lcd_base.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers/core/lcd_base.c -------------------------------------------------------------------------------- /vendor/display_drivers/core/lcd_base.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers/core/lcd_base.h -------------------------------------------------------------------------------- /vendor/display_drivers/core/m3x6_16pt.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers/core/m3x6_16pt.c -------------------------------------------------------------------------------- /vendor/display_drivers/core/m3x6_16pt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers/core/m3x6_16pt.h -------------------------------------------------------------------------------- /vendor/display_drivers/st7735/lcd_st7735.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers/st7735/lcd_st7735.c -------------------------------------------------------------------------------- /vendor/display_drivers/st7735/lcd_st7735.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/display_drivers/st7735/lcd_st7735.h -------------------------------------------------------------------------------- /vendor/lowrisc_ibex.lock.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex.lock.hjson -------------------------------------------------------------------------------- /vendor/lowrisc_ibex.vendor.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex.vendor.hjson -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/.clang-format: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/.clang-format -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/.gitignore -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/.gitmodules: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/.svlint.toml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/.svlint.toml -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/CODE_OF_CONDUCT.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/CODE_OF_CONDUCT.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/CONTRIBUTING.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/CONTRIBUTING.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/CREDITS.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/CREDITS.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/LICENSE -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/Makefile -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/README -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/README-CHERI.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/README-CHERI.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/SECURITY.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/SECURITY.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/SUPPORT.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/SUPPORT.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/azure-pipelines.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/azure-pipelines.yml -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ci/azp-private.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ci/azp-private.yml -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ci/ibex-rtl-ci-steps.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ci/ibex-rtl-ci-steps.yml -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ci/install-build-deps.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ci/install-build-deps.sh -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ci/vars.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ci/vars.yml -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ci/vars_to_logging_cmd.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ci/vars_to_logging_cmd.py -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dma-ip/DMA/dma-v3.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dma-ip/DMA/dma-v3.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dma-ip/DMA/dma-v4.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dma-ip/DMA/dma-v4.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dma-ip/DMA/dma.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dma-ip/DMA/dma.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dma-ip/hsp_encr_level.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dma-ip/hsp_encr_level.yml -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dma-ip/ibex_memory.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dma-ip/ibex_memory.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dma-ip/ibex_subsystem.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dma-ip/ibex_subsystem.yml -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dma-ip/ibexc_obimux3w0.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dma-ip/ibexc_obimux3w0.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/.gitignore: -------------------------------------------------------------------------------- 1 | *~ 2 | /venv 3 | /_build 4 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/01_overview/index.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/doc/01_overview/index.rst -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/02_user/examples.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/doc/02_user/examples.rst -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/02_user/index.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/doc/02_user/index.rst -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/03_reference/pmp.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/doc/03_reference/pmp.rst -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/03_reference/rvfi.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/doc/03_reference/rvfi.rst -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/doc/Makefile -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/conf.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/doc/conf.py -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/index.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/doc/index.rst -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/make.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/doc/make.bat -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/doc/requirements.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/doc/requirements.txt -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/fv/ibexc.jp.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/fv/ibexc.jp.f -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/fv/ibexc.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/fv/ibexc.tcl -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/fv/run_jg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/fv/run_jg -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/run/.gitignore: -------------------------------------------------------------------------------- 1 | obj_dir/ 2 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/run/all.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/run/all.f -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/run/runverdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/run/runverdi -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/run/vcomp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/run/vcomp -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/run/vcscomp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/run/vcscomp -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/run/vcscomp2: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/run/vcscomp2 -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/run/vgen: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/run/vgen -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/tb/dii_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/tb/dii_if.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/tb/intr_gen.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/tb/intr_gen.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cheriot/tb/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cheriot/tb/tb_env.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cosim/cosim.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cosim/cosim.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cosim/cosim.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cosim/cosim.h -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cosim/cosim_dpi.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cosim/cosim_dpi.cc -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cosim/cosim_dpi.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cosim/cosim_dpi.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cosim/cosim_dpi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cosim/cosim_dpi.h -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cosim/cosim_dpi.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cosim/cosim_dpi.svh -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cosim/spike_cosim.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cosim/spike_cosim.cc -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cosim/spike_cosim.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cosim/spike_cosim.h -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cs_registers/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cs_registers/Makefile -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/cs_registers/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/cs_registers/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/.gitignore -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/Makefile -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/Sources.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/Sources.mk -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/automated.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/automated.tcl -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/check/top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/check/top.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/poetry.lock: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/poetry.lock -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/pyproject.toml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/pyproject.toml -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/spec/cheri.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/spec/cheri.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/spec/main.sail: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/spec/main.sail -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/spec/stub.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/spec/stub.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/thm/btype.proof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/thm/btype.proof -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/thm/dti.proof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/thm/dti.proof -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/thm/ibex.proof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/thm/ibex.proof -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/thm/mem.proof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/thm/mem.proof -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/thm/riscv.proof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/thm/riscv.proof -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/formal/verify.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/formal/verify.tcl -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/testrig/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/testrig/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/testrig/dpi/testrig.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/testrig/dpi/testrig.cc -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/testrig/dpi/testrig.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/testrig/dpi/testrig.hh -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/uvm/core_ibex/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/uvm/core_ibex/Makefile -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/uvm/core_ibex/riscv_dv_extension/user_extension.svh: -------------------------------------------------------------------------------- 1 | `include "ibex_asm_program_gen.sv" 2 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/uvm/core_ibex/sim.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/uvm/core_ibex/sim.py -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/uvm/core_ibex/vcs.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/uvm/core_ibex/vcs.tcl -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/uvm/icache/doc/tb.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/uvm/icache/doc/tb.svg -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/uvm/icache/dv/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/uvm/icache/dv/Makefile -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/dv/uvm/icache/dv/tb/tb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/dv/uvm/icache/dv/tb/tb.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/examples/sw/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/examples/sw/.gitignore -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/examples/sw/led/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/examples/sw/led/Makefile -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/examples/sw/led/crt0.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/examples/sw/led/crt0.S -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/examples/sw/led/led.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/examples/sw/led/led.c -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/examples/sw/led/link.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/examples/sw/led/link.ld -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/flake.lock: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/flake.lock -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/flake.nix: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/flake.nix -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/formal/.gitignore: -------------------------------------------------------------------------------- 1 | build/ 2 | ibex.v 3 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/formal/icache/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/formal/icache/Makefile -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/formal/icache/run.sby.j2: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/formal/icache/run.sby.j2 -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ibex_configs.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ibex_configs.yaml -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ibex_core.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ibex_core.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ibex_icache.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ibex_icache.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ibex_multdiv.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ibex_multdiv.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ibex_pkg.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ibex_pkg.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ibex_top.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ibex_top.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ibex_top_tracing.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ibex_top_tracing.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/ibex_tracer.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/ibex_tracer.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/lint/verible_waiver.vbw: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/lint/verilator_waiver.vlt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/lint/verilator_waiver.vlt -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/nix/lowrisc_sail.nix: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/nix/lowrisc_sail.nix -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/python-requirements.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/python-requirements.txt -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/cheri_decoder.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/cheri_decoder.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/cheri_ex.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/cheri_ex.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/cheri_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/cheri_pkg.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/cheri_regfile.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/cheri_regfile.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/cheri_stkz.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/cheri_stkz.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/cheri_tbre.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/cheri_tbre.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/cheri_tbre_wrapper.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/cheri_tbre_wrapper.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/cheri_trvk_stage.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/cheri_trvk_stage.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_alu.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_alu.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_controller.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_controller.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_core.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_core.f -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_core.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_core.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_counter.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_counter.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_cs_registers.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_cs_registers.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_csr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_csr.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_decoder.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_decoder.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_dummy_instr.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_dummy_instr.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_ex_block.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_ex_block.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_fetch_fifo.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_fetch_fifo.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_icache.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_icache.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_id_stage.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_id_stage.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_if_stage.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_if_stage.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_lockstep.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_lockstep.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_multdiv_fast.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_multdiv_fast.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_multdiv_slow.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_multdiv_slow.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_pkg.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_pmp.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_pmp.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_top.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_top_tracing.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_top_tracing.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_tracer.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_tracer.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_tracer_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_tracer_pkg.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibex_wb_stage.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibex_wb_stage.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibexc_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibexc_top.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/rtl/ibexc_top_tracing.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/rtl/ibexc_top_tracing.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/shared/fpga_xilinx.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/shared/fpga_xilinx.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/shared/rtl/bus.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/shared/rtl/bus.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/shared/rtl/ram_1p.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/shared/rtl/ram_1p.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/shared/rtl/ram_2p.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/shared/rtl/ram_2p.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/shared/rtl/timer.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/shared/rtl/timer.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/shared/sim_shared.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/shared/sim_shared.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/src_files.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/src_files.yml -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/ibex_top.nangate.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/ibex_top.nangate.sdc -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/lec_sv2v.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/lec_sv2v.do -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/lec_sv2v.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/lec_sv2v.sh -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/python/flow_utils.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/python/flow_utils.py -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/python/get_kge.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/python/get_kge.py -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/rtl/latch_map.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/rtl/latch_map.v -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/syn_setup.example.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/syn_setup.example.sh -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/syn_yosys.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/syn_yosys.sh -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/tcl/flow_utils.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/tcl/flow_utils.tcl -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/tcl/sta_common.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/tcl/sta_common.tcl -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/tcl/sta_utils.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/tcl/sta_utils.tcl -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/tcl/yosys_common.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/tcl/yosys_common.tcl -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/syn/tcl/yosys_pre_map.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/syn/tcl/yosys_pre_map.tcl -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/tool_requirements.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/tool_requirements.py -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/util/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/util/Makefile -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/util/ibex_config.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/util/ibex_config.py -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/util/ibex_util_sv2v.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/util/ibex_util_sv2v.core -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/util/sv2v_in_place.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ibex/util/sv2v_in_place.py -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/vendor/SocketPacketUtils/.gitignore: -------------------------------------------------------------------------------- 1 | *.o 2 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/vendor/google_riscv-dv/questa_sim.tcl: -------------------------------------------------------------------------------- 1 | coverage save -onexit riscv.ucdb 2 | run -all ; quit 3 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/vendor/google_riscv-dv/riviera_sim.tcl: -------------------------------------------------------------------------------- 1 | run -all; endsim; quit -force 2 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr_enum.sv: -------------------------------------------------------------------------------- 1 | // TODO: Add custom instruction name enum 2 | CUSTOM_1, 3 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/vendor/google_riscv-dv/user_extension/user_define.h: -------------------------------------------------------------------------------- 1 | # Add user macros, routines in this file 2 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/vendor/lowrisc_ip/dv/sv/dv_utils/README.md: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/vendor/lowrisc_ip/dv/tools/README.md: -------------------------------------------------------------------------------- 1 | # Tools 2 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/vendor/lowrisc_ip/util/dvsim/__init__.py: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vendor/lowrisc_ibex/vendor/lowrisc_ip/util/uvmdvgen/__init__.py: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vendor/lowrisc_ip.lock.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip.lock.hjson -------------------------------------------------------------------------------- /vendor/lowrisc_ip.vendor.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip.vendor.hjson -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/jtagdpi/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/jtagdpi/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/jtagdpi/jtagdpi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/jtagdpi/jtagdpi.c -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/jtagdpi/jtagdpi.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/jtagdpi/jtagdpi.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/jtagdpi/jtagdpi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/jtagdpi/jtagdpi.h -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/jtagdpi/jtagdpi.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/jtagdpi/jtagdpi.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/uartdpi/uartdpi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/uartdpi/uartdpi.c -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/uartdpi/uartdpi.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/uartdpi/uartdpi.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/uartdpi/uartdpi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/uartdpi/uartdpi.h -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/uartdpi/uartdpi.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/uartdpi/uartdpi.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/test_crc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/test_crc.c -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usb_crc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usb_crc.c -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usb_monitor.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usb_monitor.c -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usb_monitor.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usb_monitor.h -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usb_utils.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usb_utils.c -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usb_utils.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usb_utils.h -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi.c -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi.h -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi_test.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi_test.c -------------------------------------------------------------------------------- /vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi_test.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/dv/dpi/usbdpi/usbdpi_test.h -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/data/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/data/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/data/i2c.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/data/i2c.hjson -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/doc/checklist.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/doc/checklist.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/doc/interfaces.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/doc/interfaces.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/doc/registers.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/doc/registers.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/cov/cov_excl.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/cov/cov_excl.cfg -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/doc/tb.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/doc/tb.svg -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/env/i2c_dv_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/env/i2c_dv_if.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/env/i2c_env.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/env/i2c_env.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/env/i2c_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/env/i2c_env.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/i2c_sim.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/i2c_sim.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/i2c_sim_cfg.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/i2c_sim_cfg.hjson -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/sva/i2c_bind.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/sva/i2c_bind.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/sva/i2c_sva.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/sva/i2c_sva.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/dv/tb/tb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/dv/tb/tb.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/i2c.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/i2c.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/i2c_pkg.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/i2c_pkg.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/lint/i2c.vlt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/lint/i2c.vlt -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/lint/i2c.waiver: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/lint/i2c.waiver -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/rtl/i2c.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/rtl/i2c.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/rtl/i2c_core.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/rtl/i2c_core.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/rtl/i2c_fifos.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/rtl/i2c_fifos.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/rtl/i2c_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/rtl/i2c_pkg.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/rtl/i2c_reg_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/rtl/i2c_reg_pkg.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/i2c/rtl/i2c_reg_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/i2c/rtl/i2c_reg_top.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/adapter_host.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/adapter_host.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/adapter_reg.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/adapter_reg.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/adapter_sram.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/adapter_sram.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/common.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/common.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/data/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/data/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/data/tlul.prj.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/data/tlul.prj.hjson -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/doc/dv/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/doc/dv/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/doc/dv/tb.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/doc/dv/tb.svg -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/generic_dv/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/generic_dv/README -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/generic_dv/tb/tb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/generic_dv/tb/tb.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/headers.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/headers.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/rtl/sram2tlul.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/rtl/sram2tlul.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/rtl/tlul_assert.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/rtl/tlul_assert.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/rtl/tlul_err.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/rtl/tlul_err.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/rtl/tlul_lc_gate.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/rtl/tlul_lc_gate.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/rtl/tlul_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/rtl/tlul_pkg.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/socket_1n.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/socket_1n.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/socket_m1.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/socket_m1.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/sram2tlul.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/sram2tlul.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/tlul.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/tlul.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/tlul_lc_gate.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/tlul_lc_gate.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/tlul/trans_intg.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/tlul/trans_intg.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/top_pkg/rtl/top_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/top_pkg/rtl/top_pkg.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/top_pkg/top_pkg.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/top_pkg/top_pkg.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/data/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/data/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/data/uart.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/data/uart.hjson -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/doc/checklist.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/doc/checklist.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/doc/interfaces.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/doc/interfaces.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/doc/registers.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/doc/registers.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/dv/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/dv/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/dv/doc/tb.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/dv/doc/tb.svg -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/dv/env/uart_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/dv/env/uart_env.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/dv/sva/uart_bind.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/dv/sva/uart_bind.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/dv/tb/tb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/dv/tb/tb.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/dv/uart_sim.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/dv/uart_sim.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/lint/uart.vlt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/lint/uart.vlt -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/lint/uart.waiver: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/lint/uart.waiver -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/rtl/uart.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/rtl/uart.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/rtl/uart_core.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/rtl/uart_core.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/rtl/uart_reg_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/rtl/uart_reg_pkg.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/rtl/uart_reg_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/rtl/uart_reg_top.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/rtl/uart_rx.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/rtl/uart_rx.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/rtl/uart_tx.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/rtl/uart_tx.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/uart/uart.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/uart/uart.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/data/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/data/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/data/usbdev.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/data/usbdev.hjson -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/doc/checklist.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/doc/checklist.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/doc/interfaces.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/doc/interfaces.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/doc/registers.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/doc/registers.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/dv/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/dv/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/dv/doc/tb.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/dv/doc/tb.svg -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/dv/tb/tb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/dv/tb/tb.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/lint/usbdev.vlt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/lint/usbdev.vlt -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/pmod/dualpmod-kicad/dualpmod-rescue.dcm: -------------------------------------------------------------------------------- 1 | EESchema-DOCLIB Version 2.0 2 | # 3 | #End Doc Library 4 | -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/rtl/usb_fs_rx.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/rtl/usb_fs_rx.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/rtl/usb_fs_tx.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/rtl/usb_fs_tx.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/rtl/usbdev.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/rtl/usbdev.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/rtl/usbdev_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/rtl/usbdev_pkg.sv -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/usb_fs_nb_pe.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/usb_fs_nb_pe.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/usbdev.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/usbdev.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/ip/usbdev/usbdev_pkg.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/ip/usbdev/usbdev_pkg.core -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/data/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/data/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/gen-mubi.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/gen-mubi.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/gen-otp-img.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/gen-otp-img.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/gen-otp-mmap.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/gen-otp-mmap.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/gen-top-docs.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/gen-top-docs.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/keccak_rc.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/keccak_rc.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/lib/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/lib/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/lib/LcStEnc.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/lib/LcStEnc.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/lib/Present.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/lib/Present.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/lib/common.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/lib/common.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/mubi/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/mubi/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/prince.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/prince.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/design/secded_gen.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/design/secded_gen.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/ipgen.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/ipgen.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/ipgen/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/ipgen/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/ipgen/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/ipgen/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/ipgen/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/ipgen/__init__.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/ipgen/lib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/ipgen/lib.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/ipgen/renderer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/ipgen/renderer.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/Makefile -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/__init__.py: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/access.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/access.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/alert.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/alert.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/bits.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/bits.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/clocking.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/clocking.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/doc/reg_top.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/doc/reg_top.svg -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/enum_entry.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/enum_entry.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/field.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/field.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/fpv_csr.sv.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/fpv_csr.sv.tpl -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_cfg_html.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_cfg_html.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_cfg_md.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_cfg_md.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_cheader.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_cheader.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_dv.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_dv.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_fpv.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_fpv.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_html.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_html.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_json.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_json.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_md.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_md.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_rtl.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_rtl.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_rust.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_rust.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_selfdoc.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_selfdoc.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/gen_tock.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/gen_tock.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/html_helpers.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/html_helpers.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/inter_signal.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/inter_signal.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/interrupt.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/interrupt.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/ip_block.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/ip_block.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/lib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/lib.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/md_helpers.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/md_helpers.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/params.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/params.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/reg_base.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/reg_base.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/reg_block.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/reg_block.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/reg_html.css: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/reg_html.css -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/reg_pkg.sv.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/reg_pkg.sv.tpl -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/reg_top.sv.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/reg_top.sv.tpl -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/register.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/register.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/signal.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/signal.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/uvm_reg.sv.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/uvm_reg.sv.tpl -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/validate.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/validate.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/version.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/version.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/reggen/window.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/reggen/window.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/regtool.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/regtool.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/__init__.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/doc.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/doc.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/elaborate.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/elaborate.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/generate.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/generate.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/generate_tb.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/generate_tb.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/item.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/item.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/lib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/lib.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/validate.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/validate.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/xbar.bind.sv.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/xbar.bind.sv.tpl -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/xbar.core.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/xbar.core.tpl -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/xbar.dv.sv.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/xbar.dv.sv.tpl -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/xbar.hjson.tpl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/xbar.hjson.tpl -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/tlgen/xbar.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/tlgen/xbar.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/BUILD: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/BUILD -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/README.md -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/__init__.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/c.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/c.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/c_test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/c_test.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/clocks.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/clocks.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/gen_dv.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/gen_dv.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/lib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/lib.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/merge.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/merge.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/resets.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/resets.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/rust.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/rust.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/top.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/top.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/topgen/validate.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/topgen/validate.py -------------------------------------------------------------------------------- /vendor/lowrisc_ip/util/version_file.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/lowrisc_ip/util/version_file.py -------------------------------------------------------------------------------- /vendor/open_hbmc.lock.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc.lock.hjson -------------------------------------------------------------------------------- /vendor/open_hbmc.vendor.hjson: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc.vendor.hjson -------------------------------------------------------------------------------- /vendor/open_hbmc/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/LICENSE -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_arst_sync.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_arst_sync.v -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_axi_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_axi_top.v -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_bit_sync.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_bit_sync.v -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_bus_sync.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_bus_sync.v -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_clk_obuf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_clk_obuf.v -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_ctrl.v -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_dfifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_dfifo.v -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_dru.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_dru.v -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_elastic_buf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_elastic_buf.v -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_iobuf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_iobuf.v -------------------------------------------------------------------------------- /vendor/open_hbmc/hbmc_ufifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/lowRISC/sonata-system/HEAD/vendor/open_hbmc/hbmc_ufifo.v --------------------------------------------------------------------------------