├── .gitignore ├── .gitmodules ├── README.md ├── board ├── cps1 │ ├── README.md │ ├── cps2_digiav.cof │ ├── cps2_digiav.qpf │ ├── cps2_digiav.qsf │ ├── cps2_digiav.sdc │ ├── doc │ │ ├── cps1_hookup_points.jpg │ │ ├── cps1_hookup_points.txt │ │ ├── install-1.jpg │ │ ├── install-2.jpg │ │ ├── install-3.jpg │ │ ├── install-4.jpg │ │ ├── install-cps1multi.jpg │ │ └── install.md │ ├── ip │ ├── output_files │ │ └── cps2_digiav.jic │ ├── rtl │ │ ├── cps1_frontend.v │ │ ├── cps2_digiav.v │ │ ├── fir_2ch_audio.bsf │ │ ├── fir_2ch_audio.cmp │ │ ├── fir_2ch_audio.qip │ │ ├── fir_2ch_audio.sip │ │ ├── fir_2ch_audio.spd │ │ ├── fir_2ch_audio.v │ │ ├── fir_2ch_audio │ │ │ ├── altera_avalon_sc_fifo.v │ │ │ ├── auk_dspip_avalon_streaming_controller_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_sink_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_source_hpfir.vhd │ │ │ ├── auk_dspip_lib_pkg_hpfir.vhd │ │ │ ├── auk_dspip_math_pkg_hpfir.vhd │ │ │ ├── auk_dspip_roundsat_hpfir.vhd │ │ │ ├── dspba_library.vhd │ │ │ ├── dspba_library_package.vhd │ │ │ ├── fir_2ch_audio_0002.vhd │ │ │ ├── fir_2ch_audio_0002_ast.vhd │ │ │ └── fir_2ch_audio_0002_rtl_core.vhd │ │ ├── fir_2ch_audio_sim.f │ │ ├── fir_2ch_audio_sim │ │ │ ├── aldec │ │ │ │ └── rivierapro_setup.tcl │ │ │ ├── altera_avalon_sc_fifo.v │ │ │ ├── auk_dspip_avalon_streaming_controller_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_sink_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_source_hpfir.vhd │ │ │ ├── auk_dspip_lib_pkg_hpfir.vhd │ │ │ ├── auk_dspip_math_pkg_hpfir.vhd │ │ │ ├── auk_dspip_roundsat_hpfir.vhd │ │ │ ├── cadence │ │ │ │ ├── cds.lib │ │ │ │ ├── hdl.var │ │ │ │ └── ncsim_setup.sh │ │ │ ├── dspba_library.vhd │ │ │ ├── dspba_library_package.vhd │ │ │ ├── fir_2ch_audio.vhd │ │ │ ├── fir_2ch_audio_ast.vhd │ │ │ ├── fir_2ch_audio_coef_int.txt │ │ │ ├── fir_2ch_audio_input.txt │ │ │ ├── fir_2ch_audio_mlab.m │ │ │ ├── fir_2ch_audio_model.m │ │ │ ├── fir_2ch_audio_msim.tcl │ │ │ ├── fir_2ch_audio_nativelink.tcl │ │ │ ├── fir_2ch_audio_param.txt │ │ │ ├── fir_2ch_audio_rtl_core.vhd │ │ │ ├── fir_2ch_audio_tb.vhd │ │ │ ├── mentor │ │ │ │ └── msim_setup.tcl │ │ │ └── synopsys │ │ │ │ └── vcsmx │ │ │ │ ├── synopsys_sim.setup │ │ │ │ └── vcsmx_setup.sh │ │ ├── i2s_upsampler_asrc.v │ │ ├── pll_pclk.qip │ │ └── pll_pclk.v │ ├── software │ │ ├── sys_controller │ │ │ ├── Makefile │ │ │ ├── inc │ │ │ │ └── sysconfig.h │ │ │ └── mem_init │ │ │ │ ├── meminit.qip │ │ │ │ └── meminit.spd │ │ └── sys_controller_bsp │ │ │ ├── HAL │ │ │ ├── Makefile │ │ │ ├── drivers │ │ │ ├── mem_init.mk │ │ │ ├── public.mk │ │ │ └── settings.bsp │ ├── sys.qsys │ └── sys.sopcinfo ├── cps2 │ ├── README.md │ ├── cps2_digiav.cof │ ├── cps2_digiav.qpf │ ├── cps2_digiav.qsf │ ├── cps2_digiav.sdc │ ├── cps2_digiav.workspace │ ├── doc │ │ ├── cps2_hookup_points.jpg │ │ ├── cps2_hookup_points.txt │ │ ├── install-1.jpg │ │ ├── install-2.jpg │ │ ├── install-3.jpg │ │ ├── install-4.jpg │ │ └── install.md │ ├── ip │ ├── output_files │ │ └── cps2_digiav.jic │ ├── rtl │ │ ├── cps2_digiav.v │ │ ├── cps2_frontend.v │ │ ├── fir_2ch_audio.bsf │ │ ├── fir_2ch_audio.cmp │ │ ├── fir_2ch_audio.qip │ │ ├── fir_2ch_audio.sip │ │ ├── fir_2ch_audio.spd │ │ ├── fir_2ch_audio.v │ │ ├── fir_2ch_audio │ │ │ ├── altera_avalon_sc_fifo.v │ │ │ ├── auk_dspip_avalon_streaming_controller_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_sink_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_source_hpfir.vhd │ │ │ ├── auk_dspip_lib_pkg_hpfir.vhd │ │ │ ├── auk_dspip_math_pkg_hpfir.vhd │ │ │ ├── auk_dspip_roundsat_hpfir.vhd │ │ │ ├── dspba_library.vhd │ │ │ ├── dspba_library_package.vhd │ │ │ ├── fir_2ch_audio_0002.vhd │ │ │ ├── fir_2ch_audio_0002_ast.vhd │ │ │ └── fir_2ch_audio_0002_rtl_core.vhd │ │ ├── fir_2ch_audio_sim.f │ │ ├── fir_2ch_audio_sim │ │ │ ├── aldec │ │ │ │ └── rivierapro_setup.tcl │ │ │ ├── altera_avalon_sc_fifo.v │ │ │ ├── auk_dspip_avalon_streaming_controller_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_sink_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_source_hpfir.vhd │ │ │ ├── auk_dspip_lib_pkg_hpfir.vhd │ │ │ ├── auk_dspip_math_pkg_hpfir.vhd │ │ │ ├── auk_dspip_roundsat_hpfir.vhd │ │ │ ├── cadence │ │ │ │ ├── cds.lib │ │ │ │ ├── hdl.var │ │ │ │ └── ncsim_setup.sh │ │ │ ├── dspba_library.vhd │ │ │ ├── dspba_library_package.vhd │ │ │ ├── fir_2ch_audio.vhd │ │ │ ├── fir_2ch_audio_ast.vhd │ │ │ ├── fir_2ch_audio_coef_int.txt │ │ │ ├── fir_2ch_audio_input.txt │ │ │ ├── fir_2ch_audio_mlab.m │ │ │ ├── fir_2ch_audio_model.m │ │ │ ├── fir_2ch_audio_msim.tcl │ │ │ ├── fir_2ch_audio_nativelink.tcl │ │ │ ├── fir_2ch_audio_param.txt │ │ │ ├── fir_2ch_audio_rtl_core.vhd │ │ │ ├── fir_2ch_audio_tb.vhd │ │ │ ├── mentor │ │ │ │ └── msim_setup.tcl │ │ │ └── synopsys │ │ │ │ └── vcsmx │ │ │ │ ├── synopsys_sim.setup │ │ │ │ └── vcsmx_setup.sh │ │ ├── i2s_upsampler_2x.v │ │ ├── i2s_upsampler_asrc.v │ │ ├── pll_i2s.qip │ │ ├── pll_i2s.v │ │ ├── pll_pclk.qip │ │ └── pll_pclk.v │ ├── software │ │ ├── sys_controller │ │ │ ├── Makefile │ │ │ ├── inc │ │ │ │ └── sysconfig.h │ │ │ └── mem_init │ │ │ │ ├── meminit.qip │ │ │ │ ├── meminit.spd │ │ │ │ └── sys_onchip_memory2_0.hex │ │ └── sys_controller_bsp │ │ │ ├── HAL │ │ │ ├── Makefile │ │ │ ├── drivers │ │ │ ├── mem_init.mk │ │ │ ├── public.mk │ │ │ └── settings.bsp │ └── sys.qsys ├── cps3 │ ├── README.md │ ├── cps2_digiav.cof │ ├── cps2_digiav.qpf │ ├── cps2_digiav.qsf │ ├── cps2_digiav.sdc │ ├── doc │ │ ├── cps3_hookup_points.txt │ │ ├── install-1.jpg │ │ ├── install-2.jpg │ │ ├── install-3.jpg │ │ ├── install-4.jpg │ │ ├── install-5.jpg │ │ ├── install-6.jpg │ │ ├── install-7.jpg │ │ └── install.md │ ├── ip │ ├── output_files │ │ └── cps2_digiav.jic │ ├── rtl │ │ ├── cps2_digiav.v │ │ ├── cps3_frontend.v │ │ ├── fir_2ch_audio.bsf │ │ ├── fir_2ch_audio.cmp │ │ ├── fir_2ch_audio.qip │ │ ├── fir_2ch_audio.sip │ │ ├── fir_2ch_audio.spd │ │ ├── fir_2ch_audio.v │ │ ├── fir_2ch_audio │ │ │ ├── altera_avalon_sc_fifo.v │ │ │ ├── auk_dspip_avalon_streaming_controller_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_sink_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_source_hpfir.vhd │ │ │ ├── auk_dspip_lib_pkg_hpfir.vhd │ │ │ ├── auk_dspip_math_pkg_hpfir.vhd │ │ │ ├── auk_dspip_roundsat_hpfir.vhd │ │ │ ├── dspba_library.vhd │ │ │ ├── dspba_library_package.vhd │ │ │ ├── fir_2ch_audio_0002.vhd │ │ │ ├── fir_2ch_audio_0002_ast.vhd │ │ │ └── fir_2ch_audio_0002_rtl_core.vhd │ │ ├── fir_2ch_audio_sim.f │ │ ├── fir_2ch_audio_sim │ │ │ ├── aldec │ │ │ │ └── rivierapro_setup.tcl │ │ │ ├── altera_avalon_sc_fifo.v │ │ │ ├── auk_dspip_avalon_streaming_controller_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_sink_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_source_hpfir.vhd │ │ │ ├── auk_dspip_lib_pkg_hpfir.vhd │ │ │ ├── auk_dspip_math_pkg_hpfir.vhd │ │ │ ├── auk_dspip_roundsat_hpfir.vhd │ │ │ ├── cadence │ │ │ │ ├── cds.lib │ │ │ │ ├── hdl.var │ │ │ │ └── ncsim_setup.sh │ │ │ ├── dspba_library.vhd │ │ │ ├── dspba_library_package.vhd │ │ │ ├── fir_2ch_audio.vhd │ │ │ ├── fir_2ch_audio_ast.vhd │ │ │ ├── fir_2ch_audio_coef_int.txt │ │ │ ├── fir_2ch_audio_input.txt │ │ │ ├── fir_2ch_audio_mlab.m │ │ │ ├── fir_2ch_audio_model.m │ │ │ ├── fir_2ch_audio_msim.tcl │ │ │ ├── fir_2ch_audio_nativelink.tcl │ │ │ ├── fir_2ch_audio_param.txt │ │ │ ├── fir_2ch_audio_rtl_core.vhd │ │ │ ├── fir_2ch_audio_tb.vhd │ │ │ ├── mentor │ │ │ │ └── msim_setup.tcl │ │ │ └── synopsys │ │ │ │ └── vcsmx │ │ │ │ ├── synopsys_sim.setup │ │ │ │ └── vcsmx_setup.sh │ │ └── i2s_upsampler_asrc.v │ ├── software │ │ ├── sys_controller │ │ │ ├── Makefile │ │ │ ├── inc │ │ │ │ └── sysconfig.h │ │ │ └── mem_init │ │ │ │ ├── meminit.qip │ │ │ │ └── meminit.spd │ │ └── sys_controller_bsp │ │ │ ├── HAL │ │ │ ├── Makefile │ │ │ ├── drivers │ │ │ ├── mem_init.mk │ │ │ ├── public.mk │ │ │ └── settings.bsp │ └── sys.qsys ├── neogeo │ ├── README.md │ ├── cps2_digiav.cof │ ├── cps2_digiav.qpf │ ├── cps2_digiav.qsf │ ├── cps2_digiav.sdc │ ├── doc │ │ ├── aes3-3_hookup_points.jpg │ │ ├── aes3-3_hookup_points.txt │ │ ├── aes3-3_hookup_points2.jpg │ │ ├── aes3-3_hookup_points3.jpg │ │ ├── aes3-3_install.jpg │ │ ├── aes3-6_hookup_points.jpg │ │ ├── install.md │ │ ├── install_aes.md │ │ ├── mv1c_hookup_points.jpg │ │ ├── mv1c_hookup_points.txt │ │ ├── mv1c_hookup_points_bot.jpg │ │ ├── mv1c_install.jpg │ │ └── mv1c_rdac_hookup.jpg │ ├── ip │ ├── output_files │ │ └── cps2_digiav.jic │ ├── rtl │ │ ├── cps2_digiav.v │ │ ├── fir_2ch_audio.bsf │ │ ├── fir_2ch_audio.cmp │ │ ├── fir_2ch_audio.qip │ │ ├── fir_2ch_audio.sip │ │ ├── fir_2ch_audio.spd │ │ ├── fir_2ch_audio.v │ │ ├── fir_2ch_audio │ │ │ ├── altera_avalon_sc_fifo.v │ │ │ ├── auk_dspip_avalon_streaming_controller_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_sink_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_source_hpfir.vhd │ │ │ ├── auk_dspip_lib_pkg_hpfir.vhd │ │ │ ├── auk_dspip_math_pkg_hpfir.vhd │ │ │ ├── auk_dspip_roundsat_hpfir.vhd │ │ │ ├── dspba_library.vhd │ │ │ ├── dspba_library_package.vhd │ │ │ ├── fir_2ch_audio_0002.vhd │ │ │ ├── fir_2ch_audio_0002_ast.vhd │ │ │ └── fir_2ch_audio_0002_rtl_core.vhd │ │ ├── fir_2ch_audio_sim.f │ │ ├── fir_2ch_audio_sim │ │ │ ├── aldec │ │ │ │ └── rivierapro_setup.tcl │ │ │ ├── altera_avalon_sc_fifo.v │ │ │ ├── auk_dspip_avalon_streaming_controller_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_sink_hpfir.vhd │ │ │ ├── auk_dspip_avalon_streaming_source_hpfir.vhd │ │ │ ├── auk_dspip_lib_pkg_hpfir.vhd │ │ │ ├── auk_dspip_math_pkg_hpfir.vhd │ │ │ ├── auk_dspip_roundsat_hpfir.vhd │ │ │ ├── cadence │ │ │ │ ├── cds.lib │ │ │ │ ├── hdl.var │ │ │ │ └── ncsim_setup.sh │ │ │ ├── dspba_library.vhd │ │ │ ├── dspba_library_package.vhd │ │ │ ├── fir_2ch_audio.vhd │ │ │ ├── fir_2ch_audio_ast.vhd │ │ │ ├── fir_2ch_audio_coef_int.txt │ │ │ ├── fir_2ch_audio_input.txt │ │ │ ├── fir_2ch_audio_mlab.m │ │ │ ├── fir_2ch_audio_model.m │ │ │ ├── fir_2ch_audio_msim.tcl │ │ │ ├── fir_2ch_audio_nativelink.tcl │ │ │ ├── fir_2ch_audio_param.txt │ │ │ ├── fir_2ch_audio_rtl_core.vhd │ │ │ ├── fir_2ch_audio_tb.vhd │ │ │ ├── mentor │ │ │ │ └── msim_setup.tcl │ │ │ └── synopsys │ │ │ │ └── vcsmx │ │ │ │ ├── synopsys_sim.setup │ │ │ │ └── vcsmx_setup.sh │ │ ├── i2s_upsampler_asrc.v │ │ └── neogeo_frontend.v │ ├── software │ │ ├── sys_controller │ │ │ ├── Makefile │ │ │ ├── inc │ │ │ │ └── sysconfig.h │ │ │ └── mem_init │ │ │ │ ├── meminit.qip │ │ │ │ └── meminit.spd │ │ └── sys_controller_bsp │ │ │ ├── HAL │ │ │ ├── Makefile │ │ │ ├── drivers │ │ │ ├── mem_init.mk │ │ │ ├── public.mk │ │ │ └── settings.bsp │ └── sys.qsys └── toaplan2 │ ├── README.md │ ├── cps2_digiav.cof │ ├── cps2_digiav.qpf │ ├── cps2_digiav.qsf │ ├── cps2_digiav.sdc │ ├── doc │ ├── install-1.jpg │ ├── install-2.jpg │ ├── install-3.jpg │ ├── install.md │ ├── kbash_hookup_points.jpg │ └── toaplan2_hookup_points.txt │ ├── ip │ ├── output_files │ └── cps2_digiav.jic │ ├── rtl │ ├── cps2_digiav.v │ ├── fir_2ch_audio.bsf │ ├── fir_2ch_audio.cmp │ ├── fir_2ch_audio.qip │ ├── fir_2ch_audio.sip │ ├── fir_2ch_audio.spd │ ├── fir_2ch_audio.v │ ├── fir_2ch_audio │ │ ├── altera_avalon_sc_fifo.v │ │ ├── auk_dspip_avalon_streaming_controller_hpfir.vhd │ │ ├── auk_dspip_avalon_streaming_sink_hpfir.vhd │ │ ├── auk_dspip_avalon_streaming_source_hpfir.vhd │ │ ├── auk_dspip_lib_pkg_hpfir.vhd │ │ ├── auk_dspip_math_pkg_hpfir.vhd │ │ ├── auk_dspip_roundsat_hpfir.vhd │ │ ├── dspba_library.vhd │ │ ├── dspba_library_package.vhd │ │ ├── fir_2ch_audio_0002.vhd │ │ ├── fir_2ch_audio_0002_ast.vhd │ │ └── fir_2ch_audio_0002_rtl_core.vhd │ ├── fir_2ch_audio_sim.f │ ├── fir_2ch_audio_sim │ │ ├── aldec │ │ │ └── rivierapro_setup.tcl │ │ ├── altera_avalon_sc_fifo.v │ │ ├── auk_dspip_avalon_streaming_controller_hpfir.vhd │ │ ├── auk_dspip_avalon_streaming_sink_hpfir.vhd │ │ ├── auk_dspip_avalon_streaming_source_hpfir.vhd │ │ ├── auk_dspip_lib_pkg_hpfir.vhd │ │ ├── auk_dspip_math_pkg_hpfir.vhd │ │ ├── auk_dspip_roundsat_hpfir.vhd │ │ ├── cadence │ │ │ ├── cds.lib │ │ │ ├── hdl.var │ │ │ └── ncsim_setup.sh │ │ ├── dspba_library.vhd │ │ ├── dspba_library_package.vhd │ │ ├── fir_2ch_audio.vhd │ │ ├── fir_2ch_audio_ast.vhd │ │ ├── fir_2ch_audio_coef_int.txt │ │ ├── fir_2ch_audio_input.txt │ │ ├── fir_2ch_audio_mlab.m │ │ ├── fir_2ch_audio_model.m │ │ ├── fir_2ch_audio_msim.tcl │ │ ├── fir_2ch_audio_nativelink.tcl │ │ ├── fir_2ch_audio_param.txt │ │ ├── fir_2ch_audio_rtl_core.vhd │ │ ├── fir_2ch_audio_tb.vhd │ │ ├── mentor │ │ │ └── msim_setup.tcl │ │ └── synopsys │ │ │ └── vcsmx │ │ │ ├── synopsys_sim.setup │ │ │ └── vcsmx_setup.sh │ ├── i2s_upsampler_asrc.v │ └── toaplan2_frontend.v │ ├── software │ ├── sys_controller │ │ ├── Makefile │ │ ├── inc │ │ │ └── sysconfig.h │ │ └── mem_init │ │ │ ├── meminit.qip │ │ │ └── meminit.spd │ └── sys_controller_bsp │ │ ├── HAL │ │ ├── Makefile │ │ ├── drivers │ │ ├── mem_init.mk │ │ ├── public.mk │ │ └── settings.bsp │ └── sys.qsys ├── ip_common ├── i2c_opencores │ ├── HAL │ │ ├── inc │ │ │ └── i2c_opencores.h │ │ └── src │ │ │ ├── component.mk │ │ │ └── i2c_opencores.c │ ├── i2c_master_bit_ctrl.v │ ├── i2c_master_byte_ctrl.v │ ├── i2c_master_defines.v │ ├── i2c_master_top.v │ ├── i2c_opencores.v │ ├── i2c_opencores_hw.tcl │ ├── i2c_opencores_sw.tcl │ ├── inc │ │ └── i2c_opencores_regs.h │ └── timescale.v ├── osd_generator │ ├── bin │ │ └── char_rom.hex │ ├── inc │ │ └── osd_generator_regs.h │ ├── osd_generator_hw.tcl │ ├── osd_generator_sw.tcl │ └── osd_generator_top.sv └── sc_config │ ├── inc │ └── sc_config_regs.h │ ├── sc_config_hw.tcl │ ├── sc_config_sw.tcl │ └── sc_config_top.sv ├── pcb ├── .gitignore ├── HDMI.dcm ├── HDMI.lib ├── cps2_digiav.kicad_pcb ├── cps2_digiav.kicad_wks ├── cps2_digiav.pro ├── cps2_digiav.sch ├── custom_components.dcm ├── custom_components.lib ├── custom_components.pretty │ ├── DO-214AC_Handsoldering.kicad_mod │ ├── HDMI_CONN-10029449-111RLF.kicad_mod │ ├── HLE-105-02-G-DV-PE.kicad_mod │ ├── LQFP-64-1EP_10x10mm_Pitch0.5mm_Handsoldering.kicad_mod │ ├── QFN-20-1EP_4x4mm_Pitch0.5mm_Handsoldering.kicad_mod │ ├── SLP2510P8.kicad_mod │ ├── SM0603_Capa_libcms.kicad_mod │ ├── SM0603_Resistor_libcms.kicad_mod │ ├── SM0805_libcms.kicad_mod │ ├── SMD_PAD.kicad_mod │ ├── SOD-323_Handsoldering.kicad_mod │ ├── SOIC-8_3.9x4.9mm_Pitch1.27mm_Handsoldering.kicad_mod │ ├── SOT-223_Handsoldering.kicad_mod │ ├── SOT-23-5_Handsoldering.kicad_mod │ ├── SOT-23-6_Handsoldering.kicad_mod │ ├── SSOP-8_3x3mm_Pitch0.65mm.kicad_mod │ ├── TL2243.kicad_mod │ ├── TQFP-100_custom.kicad_mod │ ├── TQFP-100_thermal.kicad_mod │ ├── TQFP-144_thermal.kicad_mod │ └── TSSOP-20_4.4x6.5mm_Pitch0.65mm_Handsoldering.kicad_mod ├── doc │ ├── bom.xls │ └── cps2_digiav.pdf ├── fp-lib-table ├── fpga.sch ├── gerber │ ├── cps2_digiav-B.Cu.gbl │ ├── cps2_digiav-B.Mask.gbs │ ├── cps2_digiav-B.Paste.gbp │ ├── cps2_digiav-B.SilkS.gbo │ ├── cps2_digiav-Edge.Cuts.gm1 │ ├── cps2_digiav-F.Cu.gtl │ ├── cps2_digiav-F.Mask.gts │ ├── cps2_digiav-F.Paste.gtp │ ├── cps2_digiav-F.SilkS.gto │ └── cps2_digiav.drl ├── hdmitx.sch ├── input1.sch └── sym-lib-table ├── pcb_cps1_adapter ├── .gitignore ├── cps1_adapter.kicad_pcb ├── cps1_adapter.kicad_pro ├── cps1_adapter.kicad_sch ├── custom_components.kicad_sym ├── custom_components.pretty │ ├── HLE-105-02-G-DV-PE.kicad_mod │ ├── SM0603_Capa_libcms.kicad_mod │ ├── SM0603_Resistor_libcms.kicad_mod │ ├── SM0805_libcms.kicad_mod │ ├── SMD_PAD.kicad_mod │ └── TSSOP-20_4.4x6.5mm_Pitch0.65mm_Handsoldering.kicad_mod ├── doc │ ├── bom.xls │ └── cps1_adapter.pdf ├── fp-lib-table ├── gerber │ ├── cps1_adapter-B_Cu.gbr │ ├── cps1_adapter-B_Mask.gbr │ ├── cps1_adapter-B_Paste.gbr │ ├── cps1_adapter-B_Silkscreen.gbr │ ├── cps1_adapter-Edge_Cuts.gbr │ ├── cps1_adapter-F_Cu.gbr │ ├── cps1_adapter-F_Mask.gbr │ ├── cps1_adapter-F_Paste.gbr │ ├── cps1_adapter-F_Silkscreen.gbr │ └── cps1_adapter.drl └── sym-lib-table ├── pcb_neogeo_aadc ├── custom_components.lib ├── custom_components.pretty │ ├── SM0603_Capa_libcms.kicad_mod │ ├── SM0603_Resistor_libcms.kicad_mod │ ├── SM0805_libcms.kicad_mod │ ├── SMD_PAD.kicad_mod │ └── TSSOP-20_4.4x6.5mm_Pitch0.65mm_Handsoldering.kicad_mod ├── doc │ ├── bom.xls │ └── neogeo_aadc.pdf ├── fp-lib-table ├── gerber │ ├── neogeo_aadc-B_Cu.gbr │ ├── neogeo_aadc-B_Mask.gbr │ ├── neogeo_aadc-B_Paste.gbr │ ├── neogeo_aadc-B_SilkS.gbr │ ├── neogeo_aadc-Edge_Cuts.gbr │ ├── neogeo_aadc-F_Cu.gbr │ ├── neogeo_aadc-F_Mask.gbr │ ├── neogeo_aadc-F_Paste.gbr │ ├── neogeo_aadc-F_SilkS.gbr │ └── neogeo_aadc.drl ├── neogeo_aadc.kicad_pcb ├── neogeo_aadc.kicad_prl ├── neogeo_aadc.kicad_pro ├── neogeo_aadc.sch └── sym-lib-table ├── rtl_common ├── btn_debounce.v ├── char_array.qip ├── char_array.v ├── char_rom.qip ├── char_rom.v ├── i2s_rx_asrc.v ├── i2s_tx_asrc.v ├── linebuf.qip ├── linebuf.v ├── lpm_mult_4_sl.qip ├── lpm_mult_4_sl.v ├── scanconverter.v └── ym_rx_asrc.v ├── scripts_common ├── dump_flash_regs.tcl ├── reprogram.sh ├── rv-bt.tcl ├── rv-ndmreset.tcl └── rv-reprogram.tcl └── sw_common ├── sys_controller ├── Makefile ├── cps2_digiav_sw.project ├── crt0.boot_E.S ├── inc │ ├── avconfig.h │ ├── controls.h │ ├── menu.h │ ├── pulpino.h │ ├── userdata.h │ ├── utils.h │ └── video_modes.h ├── link.common.ld ├── link.riscv.ld └── src │ ├── avconfig.c │ ├── controls.c │ ├── menu.c │ ├── sys_controller.c │ ├── userdata.c │ ├── utils.c │ └── video_modes.c └── sys_controller_bsp ├── Makefile ├── cps2_digiav_sw_bsp.project ├── public.mk └── settings.bsp.template /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/.gitignore -------------------------------------------------------------------------------- /.gitmodules: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/.gitmodules -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/README.md -------------------------------------------------------------------------------- /board/cps1/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/README.md -------------------------------------------------------------------------------- /board/cps1/cps2_digiav.cof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/cps2_digiav.cof -------------------------------------------------------------------------------- /board/cps1/cps2_digiav.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/cps2_digiav.qpf -------------------------------------------------------------------------------- /board/cps1/cps2_digiav.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/cps2_digiav.qsf -------------------------------------------------------------------------------- /board/cps1/cps2_digiav.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/cps2_digiav.sdc -------------------------------------------------------------------------------- /board/cps1/doc/cps1_hookup_points.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/doc/cps1_hookup_points.jpg -------------------------------------------------------------------------------- /board/cps1/doc/cps1_hookup_points.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/doc/cps1_hookup_points.txt -------------------------------------------------------------------------------- /board/cps1/doc/install-1.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/doc/install-1.jpg -------------------------------------------------------------------------------- /board/cps1/doc/install-2.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/doc/install-2.jpg -------------------------------------------------------------------------------- /board/cps1/doc/install-3.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/doc/install-3.jpg -------------------------------------------------------------------------------- /board/cps1/doc/install-4.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/doc/install-4.jpg -------------------------------------------------------------------------------- /board/cps1/doc/install-cps1multi.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/doc/install-cps1multi.jpg -------------------------------------------------------------------------------- /board/cps1/doc/install.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/doc/install.md -------------------------------------------------------------------------------- /board/cps1/ip: -------------------------------------------------------------------------------- 1 | ../../ip_common -------------------------------------------------------------------------------- /board/cps1/output_files/cps2_digiav.jic: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/output_files/cps2_digiav.jic -------------------------------------------------------------------------------- /board/cps1/rtl/cps1_frontend.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/cps1_frontend.v -------------------------------------------------------------------------------- /board/cps1/rtl/cps2_digiav.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/cps2_digiav.v -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio.bsf -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio.cmp -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio.qip -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio.sip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio.sip -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio.spd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio.v -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/altera_avalon_sc_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/altera_avalon_sc_fifo.v -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_controller_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_controller_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_sink_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_sink_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_source_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_source_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/auk_dspip_lib_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/auk_dspip_lib_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/auk_dspip_math_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/auk_dspip_math_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/auk_dspip_roundsat_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/auk_dspip_roundsat_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/dspba_library.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/dspba_library.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/dspba_library_package.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/dspba_library_package.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/fir_2ch_audio_0002.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/fir_2ch_audio_0002.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/fir_2ch_audio_0002_ast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/fir_2ch_audio_0002_ast.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio/fir_2ch_audio_0002_rtl_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio/fir_2ch_audio_0002_rtl_core.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim.f -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/aldec/rivierapro_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/aldec/rivierapro_setup.tcl -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/altera_avalon_sc_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/altera_avalon_sc_fifo.v -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_source_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_source_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_lib_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_lib_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_math_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_math_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_roundsat_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/auk_dspip_roundsat_hpfir.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/cadence/cds.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/cadence/cds.lib -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/cadence/hdl.var: -------------------------------------------------------------------------------- 1 | 2 | DEFINE WORK work 3 | -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/cadence/ncsim_setup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/cadence/ncsim_setup.sh -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/dspba_library.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/dspba_library.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/dspba_library_package.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/dspba_library_package.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_ast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_ast.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_coef_int.txt: -------------------------------------------------------------------------------- 1 | 12 2 | 30 3 | 11 4 | -55 5 | -89 6 | 25 7 | 284 8 | 511 9 | -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_input.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_input.txt -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_mlab.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_mlab.m -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_model.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_model.m -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_msim.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_msim.tcl -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_nativelink.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_nativelink.tcl -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_param.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_param.txt -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_rtl_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_rtl_core.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_tb.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/fir_2ch_audio_tb.vhd -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/mentor/msim_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/mentor/msim_setup.tcl -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/synopsys/vcsmx/synopsys_sim.setup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/synopsys/vcsmx/synopsys_sim.setup -------------------------------------------------------------------------------- /board/cps1/rtl/fir_2ch_audio_sim/synopsys/vcsmx/vcsmx_setup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/fir_2ch_audio_sim/synopsys/vcsmx/vcsmx_setup.sh -------------------------------------------------------------------------------- /board/cps1/rtl/i2s_upsampler_asrc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/i2s_upsampler_asrc.v -------------------------------------------------------------------------------- /board/cps1/rtl/pll_pclk.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/pll_pclk.qip -------------------------------------------------------------------------------- /board/cps1/rtl/pll_pclk.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/rtl/pll_pclk.v -------------------------------------------------------------------------------- /board/cps1/software/sys_controller/Makefile: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller/Makefile -------------------------------------------------------------------------------- /board/cps1/software/sys_controller/inc/sysconfig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/software/sys_controller/inc/sysconfig.h -------------------------------------------------------------------------------- /board/cps1/software/sys_controller/mem_init/meminit.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name SEARCH_PATH $::quartus(qip_path) 2 | -------------------------------------------------------------------------------- /board/cps1/software/sys_controller/mem_init/meminit.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/software/sys_controller/mem_init/meminit.spd -------------------------------------------------------------------------------- /board/cps1/software/sys_controller_bsp/HAL: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/HAL/ -------------------------------------------------------------------------------- /board/cps1/software/sys_controller_bsp/Makefile: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/Makefile -------------------------------------------------------------------------------- /board/cps1/software/sys_controller_bsp/drivers: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/drivers -------------------------------------------------------------------------------- /board/cps1/software/sys_controller_bsp/mem_init.mk: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/mem_init.mk -------------------------------------------------------------------------------- /board/cps1/software/sys_controller_bsp/public.mk: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/public.mk -------------------------------------------------------------------------------- /board/cps1/software/sys_controller_bsp/settings.bsp: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/settings.bsp.template -------------------------------------------------------------------------------- /board/cps1/sys.qsys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/sys.qsys -------------------------------------------------------------------------------- /board/cps1/sys.sopcinfo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps1/sys.sopcinfo -------------------------------------------------------------------------------- /board/cps2/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/README.md -------------------------------------------------------------------------------- /board/cps2/cps2_digiav.cof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/cps2_digiav.cof -------------------------------------------------------------------------------- /board/cps2/cps2_digiav.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/cps2_digiav.qpf -------------------------------------------------------------------------------- /board/cps2/cps2_digiav.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/cps2_digiav.qsf -------------------------------------------------------------------------------- /board/cps2/cps2_digiav.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/cps2_digiav.sdc -------------------------------------------------------------------------------- /board/cps2/cps2_digiav.workspace: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/cps2_digiav.workspace -------------------------------------------------------------------------------- /board/cps2/doc/cps2_hookup_points.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/doc/cps2_hookup_points.jpg -------------------------------------------------------------------------------- /board/cps2/doc/cps2_hookup_points.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/doc/cps2_hookup_points.txt -------------------------------------------------------------------------------- /board/cps2/doc/install-1.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/doc/install-1.jpg -------------------------------------------------------------------------------- /board/cps2/doc/install-2.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/doc/install-2.jpg -------------------------------------------------------------------------------- /board/cps2/doc/install-3.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/doc/install-3.jpg -------------------------------------------------------------------------------- /board/cps2/doc/install-4.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/doc/install-4.jpg -------------------------------------------------------------------------------- /board/cps2/doc/install.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/doc/install.md -------------------------------------------------------------------------------- /board/cps2/ip: -------------------------------------------------------------------------------- 1 | ../../ip_common -------------------------------------------------------------------------------- /board/cps2/output_files/cps2_digiav.jic: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/output_files/cps2_digiav.jic -------------------------------------------------------------------------------- /board/cps2/rtl/cps2_digiav.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/cps2_digiav.v -------------------------------------------------------------------------------- /board/cps2/rtl/cps2_frontend.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/cps2_frontend.v -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio.bsf -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio.cmp -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio.qip -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio.sip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio.sip -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio.spd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio.v -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/altera_avalon_sc_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/altera_avalon_sc_fifo.v -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_controller_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_controller_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_sink_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_sink_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_source_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_source_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/auk_dspip_lib_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/auk_dspip_lib_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/auk_dspip_math_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/auk_dspip_math_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/auk_dspip_roundsat_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/auk_dspip_roundsat_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/dspba_library.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/dspba_library.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/dspba_library_package.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/dspba_library_package.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/fir_2ch_audio_0002.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/fir_2ch_audio_0002.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/fir_2ch_audio_0002_ast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/fir_2ch_audio_0002_ast.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio/fir_2ch_audio_0002_rtl_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio/fir_2ch_audio_0002_rtl_core.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim.f -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/aldec/rivierapro_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/aldec/rivierapro_setup.tcl -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/altera_avalon_sc_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/altera_avalon_sc_fifo.v -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_source_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_source_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_lib_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_lib_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_math_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_math_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_roundsat_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/auk_dspip_roundsat_hpfir.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/cadence/cds.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/cadence/cds.lib -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/cadence/hdl.var: -------------------------------------------------------------------------------- 1 | 2 | DEFINE WORK work 3 | -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/cadence/ncsim_setup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/cadence/ncsim_setup.sh -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/dspba_library.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/dspba_library.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/dspba_library_package.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/dspba_library_package.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_ast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_ast.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_coef_int.txt: -------------------------------------------------------------------------------- 1 | 12 2 | 30 3 | 11 4 | -55 5 | -89 6 | 25 7 | 284 8 | 511 9 | -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_input.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_input.txt -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_mlab.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_mlab.m -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_model.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_model.m -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_msim.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_msim.tcl -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_nativelink.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_nativelink.tcl -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_param.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_param.txt -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_rtl_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_rtl_core.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_tb.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/fir_2ch_audio_tb.vhd -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/mentor/msim_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/mentor/msim_setup.tcl -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/synopsys/vcsmx/synopsys_sim.setup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/synopsys/vcsmx/synopsys_sim.setup -------------------------------------------------------------------------------- /board/cps2/rtl/fir_2ch_audio_sim/synopsys/vcsmx/vcsmx_setup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/fir_2ch_audio_sim/synopsys/vcsmx/vcsmx_setup.sh -------------------------------------------------------------------------------- /board/cps2/rtl/i2s_upsampler_2x.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/i2s_upsampler_2x.v -------------------------------------------------------------------------------- /board/cps2/rtl/i2s_upsampler_asrc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/i2s_upsampler_asrc.v -------------------------------------------------------------------------------- /board/cps2/rtl/pll_i2s.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/pll_i2s.qip -------------------------------------------------------------------------------- /board/cps2/rtl/pll_i2s.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/pll_i2s.v -------------------------------------------------------------------------------- /board/cps2/rtl/pll_pclk.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/pll_pclk.qip -------------------------------------------------------------------------------- /board/cps2/rtl/pll_pclk.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/rtl/pll_pclk.v -------------------------------------------------------------------------------- /board/cps2/software/sys_controller/Makefile: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller/Makefile -------------------------------------------------------------------------------- /board/cps2/software/sys_controller/inc/sysconfig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/software/sys_controller/inc/sysconfig.h -------------------------------------------------------------------------------- /board/cps2/software/sys_controller/mem_init/meminit.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name SEARCH_PATH $::quartus(qip_path) 2 | -------------------------------------------------------------------------------- /board/cps2/software/sys_controller/mem_init/meminit.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/software/sys_controller/mem_init/meminit.spd -------------------------------------------------------------------------------- /board/cps2/software/sys_controller/mem_init/sys_onchip_memory2_0.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/software/sys_controller/mem_init/sys_onchip_memory2_0.hex -------------------------------------------------------------------------------- /board/cps2/software/sys_controller_bsp/HAL: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/HAL/ -------------------------------------------------------------------------------- /board/cps2/software/sys_controller_bsp/Makefile: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/Makefile -------------------------------------------------------------------------------- /board/cps2/software/sys_controller_bsp/drivers: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/drivers -------------------------------------------------------------------------------- /board/cps2/software/sys_controller_bsp/mem_init.mk: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/mem_init.mk -------------------------------------------------------------------------------- /board/cps2/software/sys_controller_bsp/public.mk: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/public.mk -------------------------------------------------------------------------------- /board/cps2/software/sys_controller_bsp/settings.bsp: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/settings.bsp.template -------------------------------------------------------------------------------- /board/cps2/sys.qsys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps2/sys.qsys -------------------------------------------------------------------------------- /board/cps3/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/README.md -------------------------------------------------------------------------------- /board/cps3/cps2_digiav.cof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/cps2_digiav.cof -------------------------------------------------------------------------------- /board/cps3/cps2_digiav.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/cps2_digiav.qpf -------------------------------------------------------------------------------- /board/cps3/cps2_digiav.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/cps2_digiav.qsf -------------------------------------------------------------------------------- /board/cps3/cps2_digiav.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/cps2_digiav.sdc -------------------------------------------------------------------------------- /board/cps3/doc/cps3_hookup_points.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/doc/cps3_hookup_points.txt -------------------------------------------------------------------------------- /board/cps3/doc/install-1.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/doc/install-1.jpg -------------------------------------------------------------------------------- /board/cps3/doc/install-2.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/doc/install-2.jpg -------------------------------------------------------------------------------- /board/cps3/doc/install-3.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/doc/install-3.jpg -------------------------------------------------------------------------------- /board/cps3/doc/install-4.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/doc/install-4.jpg -------------------------------------------------------------------------------- /board/cps3/doc/install-5.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/doc/install-5.jpg -------------------------------------------------------------------------------- /board/cps3/doc/install-6.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/doc/install-6.jpg -------------------------------------------------------------------------------- /board/cps3/doc/install-7.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/doc/install-7.jpg -------------------------------------------------------------------------------- /board/cps3/doc/install.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/doc/install.md -------------------------------------------------------------------------------- /board/cps3/ip: -------------------------------------------------------------------------------- 1 | ../../ip_common -------------------------------------------------------------------------------- /board/cps3/output_files/cps2_digiav.jic: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/output_files/cps2_digiav.jic -------------------------------------------------------------------------------- /board/cps3/rtl/cps2_digiav.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/cps2_digiav.v -------------------------------------------------------------------------------- /board/cps3/rtl/cps3_frontend.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/cps3_frontend.v -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio.bsf -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio.cmp -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio.qip -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio.sip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio.sip -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio.spd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio.v -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/altera_avalon_sc_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/altera_avalon_sc_fifo.v -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_controller_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_controller_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_sink_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_sink_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_source_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_source_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/auk_dspip_lib_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/auk_dspip_lib_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/auk_dspip_math_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/auk_dspip_math_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/auk_dspip_roundsat_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/auk_dspip_roundsat_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/dspba_library.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/dspba_library.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/dspba_library_package.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/dspba_library_package.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/fir_2ch_audio_0002.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/fir_2ch_audio_0002.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/fir_2ch_audio_0002_ast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/fir_2ch_audio_0002_ast.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio/fir_2ch_audio_0002_rtl_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio/fir_2ch_audio_0002_rtl_core.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim.f -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/aldec/rivierapro_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/aldec/rivierapro_setup.tcl -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/altera_avalon_sc_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/altera_avalon_sc_fifo.v -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_source_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_source_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_lib_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_lib_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_math_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_math_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_roundsat_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/auk_dspip_roundsat_hpfir.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/cadence/cds.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/cadence/cds.lib -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/cadence/hdl.var: -------------------------------------------------------------------------------- 1 | 2 | DEFINE WORK work 3 | -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/cadence/ncsim_setup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/cadence/ncsim_setup.sh -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/dspba_library.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/dspba_library.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/dspba_library_package.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/dspba_library_package.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_ast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_ast.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_coef_int.txt: -------------------------------------------------------------------------------- 1 | 12 2 | 30 3 | 11 4 | -55 5 | -89 6 | 25 7 | 284 8 | 511 9 | -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_input.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_input.txt -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_mlab.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_mlab.m -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_model.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_model.m -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_msim.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_msim.tcl -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_nativelink.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_nativelink.tcl -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_param.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_param.txt -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_rtl_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_rtl_core.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_tb.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/fir_2ch_audio_tb.vhd -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/mentor/msim_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/mentor/msim_setup.tcl -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/synopsys/vcsmx/synopsys_sim.setup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/synopsys/vcsmx/synopsys_sim.setup -------------------------------------------------------------------------------- /board/cps3/rtl/fir_2ch_audio_sim/synopsys/vcsmx/vcsmx_setup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/fir_2ch_audio_sim/synopsys/vcsmx/vcsmx_setup.sh -------------------------------------------------------------------------------- /board/cps3/rtl/i2s_upsampler_asrc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/rtl/i2s_upsampler_asrc.v -------------------------------------------------------------------------------- /board/cps3/software/sys_controller/Makefile: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller/Makefile -------------------------------------------------------------------------------- /board/cps3/software/sys_controller/inc/sysconfig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/software/sys_controller/inc/sysconfig.h -------------------------------------------------------------------------------- /board/cps3/software/sys_controller/mem_init/meminit.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name SEARCH_PATH $::quartus(qip_path) 2 | -------------------------------------------------------------------------------- /board/cps3/software/sys_controller/mem_init/meminit.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/software/sys_controller/mem_init/meminit.spd -------------------------------------------------------------------------------- /board/cps3/software/sys_controller_bsp/HAL: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/HAL/ -------------------------------------------------------------------------------- /board/cps3/software/sys_controller_bsp/Makefile: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/Makefile -------------------------------------------------------------------------------- /board/cps3/software/sys_controller_bsp/drivers: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/drivers -------------------------------------------------------------------------------- /board/cps3/software/sys_controller_bsp/mem_init.mk: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/mem_init.mk -------------------------------------------------------------------------------- /board/cps3/software/sys_controller_bsp/public.mk: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/public.mk -------------------------------------------------------------------------------- /board/cps3/software/sys_controller_bsp/settings.bsp: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/settings.bsp.template -------------------------------------------------------------------------------- /board/cps3/sys.qsys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/cps3/sys.qsys -------------------------------------------------------------------------------- /board/neogeo/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/README.md -------------------------------------------------------------------------------- /board/neogeo/cps2_digiav.cof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/cps2_digiav.cof -------------------------------------------------------------------------------- /board/neogeo/cps2_digiav.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/cps2_digiav.qpf -------------------------------------------------------------------------------- /board/neogeo/cps2_digiav.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/cps2_digiav.qsf -------------------------------------------------------------------------------- /board/neogeo/cps2_digiav.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/cps2_digiav.sdc -------------------------------------------------------------------------------- /board/neogeo/doc/aes3-3_hookup_points.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/aes3-3_hookup_points.jpg -------------------------------------------------------------------------------- /board/neogeo/doc/aes3-3_hookup_points.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/aes3-3_hookup_points.txt -------------------------------------------------------------------------------- /board/neogeo/doc/aes3-3_hookup_points2.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/aes3-3_hookup_points2.jpg -------------------------------------------------------------------------------- /board/neogeo/doc/aes3-3_hookup_points3.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/aes3-3_hookup_points3.jpg -------------------------------------------------------------------------------- /board/neogeo/doc/aes3-3_install.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/aes3-3_install.jpg -------------------------------------------------------------------------------- /board/neogeo/doc/aes3-6_hookup_points.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/aes3-6_hookup_points.jpg -------------------------------------------------------------------------------- /board/neogeo/doc/install.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/install.md -------------------------------------------------------------------------------- /board/neogeo/doc/install_aes.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/install_aes.md -------------------------------------------------------------------------------- /board/neogeo/doc/mv1c_hookup_points.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/mv1c_hookup_points.jpg -------------------------------------------------------------------------------- /board/neogeo/doc/mv1c_hookup_points.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/mv1c_hookup_points.txt -------------------------------------------------------------------------------- /board/neogeo/doc/mv1c_hookup_points_bot.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/mv1c_hookup_points_bot.jpg -------------------------------------------------------------------------------- /board/neogeo/doc/mv1c_install.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/mv1c_install.jpg -------------------------------------------------------------------------------- /board/neogeo/doc/mv1c_rdac_hookup.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/doc/mv1c_rdac_hookup.jpg -------------------------------------------------------------------------------- /board/neogeo/ip: -------------------------------------------------------------------------------- 1 | ../../ip_common -------------------------------------------------------------------------------- /board/neogeo/output_files/cps2_digiav.jic: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/output_files/cps2_digiav.jic -------------------------------------------------------------------------------- /board/neogeo/rtl/cps2_digiav.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/cps2_digiav.v -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio.bsf -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio.cmp -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio.qip -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio.sip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio.sip -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio.spd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio.v -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/altera_avalon_sc_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/altera_avalon_sc_fifo.v -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_controller_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_controller_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_sink_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_sink_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_source_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_source_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/auk_dspip_lib_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/auk_dspip_lib_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/auk_dspip_math_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/auk_dspip_math_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/auk_dspip_roundsat_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/auk_dspip_roundsat_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/dspba_library.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/dspba_library.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/dspba_library_package.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/dspba_library_package.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/fir_2ch_audio_0002.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/fir_2ch_audio_0002.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/fir_2ch_audio_0002_ast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/fir_2ch_audio_0002_ast.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio/fir_2ch_audio_0002_rtl_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio/fir_2ch_audio_0002_rtl_core.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim.f -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/aldec/rivierapro_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/aldec/rivierapro_setup.tcl -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/altera_avalon_sc_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/altera_avalon_sc_fifo.v -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_source_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_source_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_lib_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_lib_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_math_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_math_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_roundsat_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/auk_dspip_roundsat_hpfir.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/cadence/cds.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/cadence/cds.lib -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/cadence/hdl.var: -------------------------------------------------------------------------------- 1 | 2 | DEFINE WORK work 3 | -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/cadence/ncsim_setup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/cadence/ncsim_setup.sh -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/dspba_library.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/dspba_library.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/dspba_library_package.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/dspba_library_package.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_ast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_ast.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_coef_int.txt: -------------------------------------------------------------------------------- 1 | 12 2 | 30 3 | 11 4 | -55 5 | -89 6 | 25 7 | 284 8 | 511 9 | -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_input.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_input.txt -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_mlab.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_mlab.m -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_model.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_model.m -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_msim.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_msim.tcl -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_nativelink.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_nativelink.tcl -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_param.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_param.txt -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_rtl_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_rtl_core.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_tb.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/fir_2ch_audio_tb.vhd -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/mentor/msim_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/mentor/msim_setup.tcl -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/synopsys/vcsmx/synopsys_sim.setup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/synopsys/vcsmx/synopsys_sim.setup -------------------------------------------------------------------------------- /board/neogeo/rtl/fir_2ch_audio_sim/synopsys/vcsmx/vcsmx_setup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/fir_2ch_audio_sim/synopsys/vcsmx/vcsmx_setup.sh -------------------------------------------------------------------------------- /board/neogeo/rtl/i2s_upsampler_asrc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/i2s_upsampler_asrc.v -------------------------------------------------------------------------------- /board/neogeo/rtl/neogeo_frontend.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/rtl/neogeo_frontend.v -------------------------------------------------------------------------------- /board/neogeo/software/sys_controller/Makefile: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller/Makefile -------------------------------------------------------------------------------- /board/neogeo/software/sys_controller/inc/sysconfig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/software/sys_controller/inc/sysconfig.h -------------------------------------------------------------------------------- /board/neogeo/software/sys_controller/mem_init/meminit.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name SEARCH_PATH $::quartus(qip_path) 2 | -------------------------------------------------------------------------------- /board/neogeo/software/sys_controller/mem_init/meminit.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/software/sys_controller/mem_init/meminit.spd -------------------------------------------------------------------------------- /board/neogeo/software/sys_controller_bsp/HAL: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/HAL/ -------------------------------------------------------------------------------- /board/neogeo/software/sys_controller_bsp/Makefile: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/Makefile -------------------------------------------------------------------------------- /board/neogeo/software/sys_controller_bsp/drivers: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/drivers -------------------------------------------------------------------------------- /board/neogeo/software/sys_controller_bsp/mem_init.mk: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/mem_init.mk -------------------------------------------------------------------------------- /board/neogeo/software/sys_controller_bsp/public.mk: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/public.mk -------------------------------------------------------------------------------- /board/neogeo/software/sys_controller_bsp/settings.bsp: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/settings.bsp.template -------------------------------------------------------------------------------- /board/neogeo/sys.qsys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/neogeo/sys.qsys -------------------------------------------------------------------------------- /board/toaplan2/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/README.md -------------------------------------------------------------------------------- /board/toaplan2/cps2_digiav.cof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/cps2_digiav.cof -------------------------------------------------------------------------------- /board/toaplan2/cps2_digiav.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/cps2_digiav.qpf -------------------------------------------------------------------------------- /board/toaplan2/cps2_digiav.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/cps2_digiav.qsf -------------------------------------------------------------------------------- /board/toaplan2/cps2_digiav.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/cps2_digiav.sdc -------------------------------------------------------------------------------- /board/toaplan2/doc/install-1.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/doc/install-1.jpg -------------------------------------------------------------------------------- /board/toaplan2/doc/install-2.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/doc/install-2.jpg -------------------------------------------------------------------------------- /board/toaplan2/doc/install-3.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/doc/install-3.jpg -------------------------------------------------------------------------------- /board/toaplan2/doc/install.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/doc/install.md -------------------------------------------------------------------------------- /board/toaplan2/doc/kbash_hookup_points.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/doc/kbash_hookup_points.jpg -------------------------------------------------------------------------------- /board/toaplan2/doc/toaplan2_hookup_points.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/doc/toaplan2_hookup_points.txt -------------------------------------------------------------------------------- /board/toaplan2/ip: -------------------------------------------------------------------------------- 1 | ../../ip_common -------------------------------------------------------------------------------- /board/toaplan2/output_files/cps2_digiav.jic: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/output_files/cps2_digiav.jic -------------------------------------------------------------------------------- /board/toaplan2/rtl/cps2_digiav.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/cps2_digiav.v -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio.bsf -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio.cmp -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio.qip -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio.sip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio.sip -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio.spd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio.v -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/altera_avalon_sc_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/altera_avalon_sc_fifo.v -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_controller_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_controller_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_sink_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_sink_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_source_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/auk_dspip_avalon_streaming_source_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/auk_dspip_lib_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/auk_dspip_lib_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/auk_dspip_math_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/auk_dspip_math_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/auk_dspip_roundsat_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/auk_dspip_roundsat_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/dspba_library.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/dspba_library.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/dspba_library_package.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/dspba_library_package.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/fir_2ch_audio_0002.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/fir_2ch_audio_0002.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/fir_2ch_audio_0002_ast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/fir_2ch_audio_0002_ast.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio/fir_2ch_audio_0002_rtl_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio/fir_2ch_audio_0002_rtl_core.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim.f -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/aldec/rivierapro_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/aldec/rivierapro_setup.tcl -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/altera_avalon_sc_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/altera_avalon_sc_fifo.v -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_source_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_avalon_streaming_source_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_lib_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_lib_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_math_pkg_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_math_pkg_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_roundsat_hpfir.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/auk_dspip_roundsat_hpfir.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/cadence/cds.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/cadence/cds.lib -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/cadence/hdl.var: -------------------------------------------------------------------------------- 1 | 2 | DEFINE WORK work 3 | -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/cadence/ncsim_setup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/cadence/ncsim_setup.sh -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/dspba_library.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/dspba_library.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/dspba_library_package.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/dspba_library_package.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_ast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_ast.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_coef_int.txt: -------------------------------------------------------------------------------- 1 | 12 2 | 30 3 | 11 4 | -55 5 | -89 6 | 25 7 | 284 8 | 511 9 | -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_input.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_input.txt -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_mlab.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_mlab.m -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_model.m: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_model.m -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_msim.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_msim.tcl -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_nativelink.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_nativelink.tcl -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_param.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_param.txt -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_rtl_core.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_rtl_core.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_tb.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/fir_2ch_audio_tb.vhd -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/mentor/msim_setup.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/mentor/msim_setup.tcl -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/synopsys/vcsmx/synopsys_sim.setup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/synopsys/vcsmx/synopsys_sim.setup -------------------------------------------------------------------------------- /board/toaplan2/rtl/fir_2ch_audio_sim/synopsys/vcsmx/vcsmx_setup.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/fir_2ch_audio_sim/synopsys/vcsmx/vcsmx_setup.sh -------------------------------------------------------------------------------- /board/toaplan2/rtl/i2s_upsampler_asrc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/i2s_upsampler_asrc.v -------------------------------------------------------------------------------- /board/toaplan2/rtl/toaplan2_frontend.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/rtl/toaplan2_frontend.v -------------------------------------------------------------------------------- /board/toaplan2/software/sys_controller/Makefile: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller/Makefile -------------------------------------------------------------------------------- /board/toaplan2/software/sys_controller/inc/sysconfig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/software/sys_controller/inc/sysconfig.h -------------------------------------------------------------------------------- /board/toaplan2/software/sys_controller/mem_init/meminit.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name SEARCH_PATH $::quartus(qip_path) 2 | -------------------------------------------------------------------------------- /board/toaplan2/software/sys_controller/mem_init/meminit.spd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/software/sys_controller/mem_init/meminit.spd -------------------------------------------------------------------------------- /board/toaplan2/software/sys_controller_bsp/HAL: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/HAL/ -------------------------------------------------------------------------------- /board/toaplan2/software/sys_controller_bsp/Makefile: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/Makefile -------------------------------------------------------------------------------- /board/toaplan2/software/sys_controller_bsp/drivers: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/drivers -------------------------------------------------------------------------------- /board/toaplan2/software/sys_controller_bsp/mem_init.mk: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/mem_init.mk -------------------------------------------------------------------------------- /board/toaplan2/software/sys_controller_bsp/public.mk: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/public.mk -------------------------------------------------------------------------------- /board/toaplan2/software/sys_controller_bsp/settings.bsp: -------------------------------------------------------------------------------- 1 | ../../../../sw_common/sys_controller_bsp/settings.bsp.template -------------------------------------------------------------------------------- /board/toaplan2/sys.qsys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/board/toaplan2/sys.qsys -------------------------------------------------------------------------------- /ip_common/i2c_opencores/HAL/inc/i2c_opencores.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/HAL/inc/i2c_opencores.h -------------------------------------------------------------------------------- /ip_common/i2c_opencores/HAL/src/component.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/HAL/src/component.mk -------------------------------------------------------------------------------- /ip_common/i2c_opencores/HAL/src/i2c_opencores.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/HAL/src/i2c_opencores.c -------------------------------------------------------------------------------- /ip_common/i2c_opencores/i2c_master_bit_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/i2c_master_bit_ctrl.v -------------------------------------------------------------------------------- /ip_common/i2c_opencores/i2c_master_byte_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/i2c_master_byte_ctrl.v -------------------------------------------------------------------------------- /ip_common/i2c_opencores/i2c_master_defines.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/i2c_master_defines.v -------------------------------------------------------------------------------- /ip_common/i2c_opencores/i2c_master_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/i2c_master_top.v -------------------------------------------------------------------------------- /ip_common/i2c_opencores/i2c_opencores.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/i2c_opencores.v -------------------------------------------------------------------------------- /ip_common/i2c_opencores/i2c_opencores_hw.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/i2c_opencores_hw.tcl -------------------------------------------------------------------------------- /ip_common/i2c_opencores/i2c_opencores_sw.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/i2c_opencores_sw.tcl -------------------------------------------------------------------------------- /ip_common/i2c_opencores/inc/i2c_opencores_regs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/i2c_opencores/inc/i2c_opencores_regs.h -------------------------------------------------------------------------------- /ip_common/i2c_opencores/timescale.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 10ps 2 | 3 | -------------------------------------------------------------------------------- /ip_common/osd_generator/bin/char_rom.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/osd_generator/bin/char_rom.hex -------------------------------------------------------------------------------- /ip_common/osd_generator/inc/osd_generator_regs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/osd_generator/inc/osd_generator_regs.h -------------------------------------------------------------------------------- /ip_common/osd_generator/osd_generator_hw.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/osd_generator/osd_generator_hw.tcl -------------------------------------------------------------------------------- /ip_common/osd_generator/osd_generator_sw.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/osd_generator/osd_generator_sw.tcl -------------------------------------------------------------------------------- /ip_common/osd_generator/osd_generator_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/osd_generator/osd_generator_top.sv -------------------------------------------------------------------------------- /ip_common/sc_config/inc/sc_config_regs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/sc_config/inc/sc_config_regs.h -------------------------------------------------------------------------------- /ip_common/sc_config/sc_config_hw.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/sc_config/sc_config_hw.tcl -------------------------------------------------------------------------------- /ip_common/sc_config/sc_config_sw.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/sc_config/sc_config_sw.tcl -------------------------------------------------------------------------------- /ip_common/sc_config/sc_config_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/ip_common/sc_config/sc_config_top.sv -------------------------------------------------------------------------------- /pcb/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/.gitignore -------------------------------------------------------------------------------- /pcb/HDMI.dcm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/HDMI.dcm -------------------------------------------------------------------------------- /pcb/HDMI.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/HDMI.lib -------------------------------------------------------------------------------- /pcb/cps2_digiav.kicad_pcb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/cps2_digiav.kicad_pcb -------------------------------------------------------------------------------- /pcb/cps2_digiav.kicad_wks: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/cps2_digiav.kicad_wks -------------------------------------------------------------------------------- /pcb/cps2_digiav.pro: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/cps2_digiav.pro -------------------------------------------------------------------------------- /pcb/cps2_digiav.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/cps2_digiav.sch -------------------------------------------------------------------------------- /pcb/custom_components.dcm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.dcm -------------------------------------------------------------------------------- /pcb/custom_components.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.lib -------------------------------------------------------------------------------- /pcb/custom_components.pretty/DO-214AC_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/DO-214AC_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/HDMI_CONN-10029449-111RLF.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/HDMI_CONN-10029449-111RLF.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/HLE-105-02-G-DV-PE.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/HLE-105-02-G-DV-PE.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/LQFP-64-1EP_10x10mm_Pitch0.5mm_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/LQFP-64-1EP_10x10mm_Pitch0.5mm_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/QFN-20-1EP_4x4mm_Pitch0.5mm_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/QFN-20-1EP_4x4mm_Pitch0.5mm_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SLP2510P8.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SLP2510P8.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SM0603_Capa_libcms.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SM0603_Capa_libcms.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SM0603_Resistor_libcms.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SM0603_Resistor_libcms.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SM0805_libcms.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SM0805_libcms.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SMD_PAD.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SMD_PAD.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SOD-323_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SOD-323_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SOIC-8_3.9x4.9mm_Pitch1.27mm_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SOIC-8_3.9x4.9mm_Pitch1.27mm_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SOT-223_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SOT-223_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SOT-23-5_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SOT-23-5_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SOT-23-6_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SOT-23-6_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SSOP-8_3x3mm_Pitch0.65mm.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/SSOP-8_3x3mm_Pitch0.65mm.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/TL2243.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/TL2243.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/TQFP-100_custom.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/TQFP-100_custom.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/TQFP-100_thermal.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/TQFP-100_thermal.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/TQFP-144_thermal.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/TQFP-144_thermal.kicad_mod -------------------------------------------------------------------------------- /pcb/custom_components.pretty/TSSOP-20_4.4x6.5mm_Pitch0.65mm_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/custom_components.pretty/TSSOP-20_4.4x6.5mm_Pitch0.65mm_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb/doc/bom.xls: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/doc/bom.xls -------------------------------------------------------------------------------- /pcb/doc/cps2_digiav.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/doc/cps2_digiav.pdf -------------------------------------------------------------------------------- /pcb/fp-lib-table: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/fp-lib-table -------------------------------------------------------------------------------- /pcb/fpga.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/fpga.sch -------------------------------------------------------------------------------- /pcb/gerber/cps2_digiav-B.Cu.gbl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/gerber/cps2_digiav-B.Cu.gbl -------------------------------------------------------------------------------- /pcb/gerber/cps2_digiav-B.Mask.gbs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/gerber/cps2_digiav-B.Mask.gbs -------------------------------------------------------------------------------- /pcb/gerber/cps2_digiav-B.Paste.gbp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/gerber/cps2_digiav-B.Paste.gbp -------------------------------------------------------------------------------- /pcb/gerber/cps2_digiav-B.SilkS.gbo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/gerber/cps2_digiav-B.SilkS.gbo -------------------------------------------------------------------------------- /pcb/gerber/cps2_digiav-Edge.Cuts.gm1: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/gerber/cps2_digiav-Edge.Cuts.gm1 -------------------------------------------------------------------------------- /pcb/gerber/cps2_digiav-F.Cu.gtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/gerber/cps2_digiav-F.Cu.gtl -------------------------------------------------------------------------------- /pcb/gerber/cps2_digiav-F.Mask.gts: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/gerber/cps2_digiav-F.Mask.gts -------------------------------------------------------------------------------- /pcb/gerber/cps2_digiav-F.Paste.gtp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/gerber/cps2_digiav-F.Paste.gtp -------------------------------------------------------------------------------- /pcb/gerber/cps2_digiav-F.SilkS.gto: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/gerber/cps2_digiav-F.SilkS.gto -------------------------------------------------------------------------------- /pcb/gerber/cps2_digiav.drl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/gerber/cps2_digiav.drl -------------------------------------------------------------------------------- /pcb/hdmitx.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/hdmitx.sch -------------------------------------------------------------------------------- /pcb/input1.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/input1.sch -------------------------------------------------------------------------------- /pcb/sym-lib-table: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb/sym-lib-table -------------------------------------------------------------------------------- /pcb_cps1_adapter/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/.gitignore -------------------------------------------------------------------------------- /pcb_cps1_adapter/cps1_adapter.kicad_pcb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/cps1_adapter.kicad_pcb -------------------------------------------------------------------------------- /pcb_cps1_adapter/cps1_adapter.kicad_pro: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/cps1_adapter.kicad_pro -------------------------------------------------------------------------------- /pcb_cps1_adapter/cps1_adapter.kicad_sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/cps1_adapter.kicad_sch -------------------------------------------------------------------------------- /pcb_cps1_adapter/custom_components.kicad_sym: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/custom_components.kicad_sym -------------------------------------------------------------------------------- /pcb_cps1_adapter/custom_components.pretty/HLE-105-02-G-DV-PE.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/custom_components.pretty/HLE-105-02-G-DV-PE.kicad_mod -------------------------------------------------------------------------------- /pcb_cps1_adapter/custom_components.pretty/SM0603_Capa_libcms.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/custom_components.pretty/SM0603_Capa_libcms.kicad_mod -------------------------------------------------------------------------------- /pcb_cps1_adapter/custom_components.pretty/SM0603_Resistor_libcms.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/custom_components.pretty/SM0603_Resistor_libcms.kicad_mod -------------------------------------------------------------------------------- /pcb_cps1_adapter/custom_components.pretty/SM0805_libcms.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/custom_components.pretty/SM0805_libcms.kicad_mod -------------------------------------------------------------------------------- /pcb_cps1_adapter/custom_components.pretty/SMD_PAD.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/custom_components.pretty/SMD_PAD.kicad_mod -------------------------------------------------------------------------------- /pcb_cps1_adapter/custom_components.pretty/TSSOP-20_4.4x6.5mm_Pitch0.65mm_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/custom_components.pretty/TSSOP-20_4.4x6.5mm_Pitch0.65mm_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb_cps1_adapter/doc/bom.xls: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/doc/bom.xls -------------------------------------------------------------------------------- /pcb_cps1_adapter/doc/cps1_adapter.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/doc/cps1_adapter.pdf -------------------------------------------------------------------------------- /pcb_cps1_adapter/fp-lib-table: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/fp-lib-table -------------------------------------------------------------------------------- /pcb_cps1_adapter/gerber/cps1_adapter-B_Cu.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/gerber/cps1_adapter-B_Cu.gbr -------------------------------------------------------------------------------- /pcb_cps1_adapter/gerber/cps1_adapter-B_Mask.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/gerber/cps1_adapter-B_Mask.gbr -------------------------------------------------------------------------------- /pcb_cps1_adapter/gerber/cps1_adapter-B_Paste.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/gerber/cps1_adapter-B_Paste.gbr -------------------------------------------------------------------------------- /pcb_cps1_adapter/gerber/cps1_adapter-B_Silkscreen.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/gerber/cps1_adapter-B_Silkscreen.gbr -------------------------------------------------------------------------------- /pcb_cps1_adapter/gerber/cps1_adapter-Edge_Cuts.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/gerber/cps1_adapter-Edge_Cuts.gbr -------------------------------------------------------------------------------- /pcb_cps1_adapter/gerber/cps1_adapter-F_Cu.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/gerber/cps1_adapter-F_Cu.gbr -------------------------------------------------------------------------------- /pcb_cps1_adapter/gerber/cps1_adapter-F_Mask.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/gerber/cps1_adapter-F_Mask.gbr -------------------------------------------------------------------------------- /pcb_cps1_adapter/gerber/cps1_adapter-F_Paste.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/gerber/cps1_adapter-F_Paste.gbr -------------------------------------------------------------------------------- /pcb_cps1_adapter/gerber/cps1_adapter-F_Silkscreen.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/gerber/cps1_adapter-F_Silkscreen.gbr -------------------------------------------------------------------------------- /pcb_cps1_adapter/gerber/cps1_adapter.drl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/gerber/cps1_adapter.drl -------------------------------------------------------------------------------- /pcb_cps1_adapter/sym-lib-table: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_cps1_adapter/sym-lib-table -------------------------------------------------------------------------------- /pcb_neogeo_aadc/custom_components.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/custom_components.lib -------------------------------------------------------------------------------- /pcb_neogeo_aadc/custom_components.pretty/SM0603_Capa_libcms.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/custom_components.pretty/SM0603_Capa_libcms.kicad_mod -------------------------------------------------------------------------------- /pcb_neogeo_aadc/custom_components.pretty/SM0603_Resistor_libcms.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/custom_components.pretty/SM0603_Resistor_libcms.kicad_mod -------------------------------------------------------------------------------- /pcb_neogeo_aadc/custom_components.pretty/SM0805_libcms.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/custom_components.pretty/SM0805_libcms.kicad_mod -------------------------------------------------------------------------------- /pcb_neogeo_aadc/custom_components.pretty/SMD_PAD.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/custom_components.pretty/SMD_PAD.kicad_mod -------------------------------------------------------------------------------- /pcb_neogeo_aadc/custom_components.pretty/TSSOP-20_4.4x6.5mm_Pitch0.65mm_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/custom_components.pretty/TSSOP-20_4.4x6.5mm_Pitch0.65mm_Handsoldering.kicad_mod -------------------------------------------------------------------------------- /pcb_neogeo_aadc/doc/bom.xls: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/doc/bom.xls -------------------------------------------------------------------------------- /pcb_neogeo_aadc/doc/neogeo_aadc.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/doc/neogeo_aadc.pdf -------------------------------------------------------------------------------- /pcb_neogeo_aadc/fp-lib-table: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/fp-lib-table -------------------------------------------------------------------------------- /pcb_neogeo_aadc/gerber/neogeo_aadc-B_Cu.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/gerber/neogeo_aadc-B_Cu.gbr -------------------------------------------------------------------------------- /pcb_neogeo_aadc/gerber/neogeo_aadc-B_Mask.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/gerber/neogeo_aadc-B_Mask.gbr -------------------------------------------------------------------------------- /pcb_neogeo_aadc/gerber/neogeo_aadc-B_Paste.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/gerber/neogeo_aadc-B_Paste.gbr -------------------------------------------------------------------------------- /pcb_neogeo_aadc/gerber/neogeo_aadc-B_SilkS.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/gerber/neogeo_aadc-B_SilkS.gbr -------------------------------------------------------------------------------- /pcb_neogeo_aadc/gerber/neogeo_aadc-Edge_Cuts.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/gerber/neogeo_aadc-Edge_Cuts.gbr -------------------------------------------------------------------------------- /pcb_neogeo_aadc/gerber/neogeo_aadc-F_Cu.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/gerber/neogeo_aadc-F_Cu.gbr -------------------------------------------------------------------------------- /pcb_neogeo_aadc/gerber/neogeo_aadc-F_Mask.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/gerber/neogeo_aadc-F_Mask.gbr -------------------------------------------------------------------------------- /pcb_neogeo_aadc/gerber/neogeo_aadc-F_Paste.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/gerber/neogeo_aadc-F_Paste.gbr -------------------------------------------------------------------------------- /pcb_neogeo_aadc/gerber/neogeo_aadc-F_SilkS.gbr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/gerber/neogeo_aadc-F_SilkS.gbr -------------------------------------------------------------------------------- /pcb_neogeo_aadc/gerber/neogeo_aadc.drl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/gerber/neogeo_aadc.drl -------------------------------------------------------------------------------- /pcb_neogeo_aadc/neogeo_aadc.kicad_pcb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/neogeo_aadc.kicad_pcb -------------------------------------------------------------------------------- /pcb_neogeo_aadc/neogeo_aadc.kicad_prl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/neogeo_aadc.kicad_prl -------------------------------------------------------------------------------- /pcb_neogeo_aadc/neogeo_aadc.kicad_pro: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/neogeo_aadc.kicad_pro -------------------------------------------------------------------------------- /pcb_neogeo_aadc/neogeo_aadc.sch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/neogeo_aadc.sch -------------------------------------------------------------------------------- /pcb_neogeo_aadc/sym-lib-table: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/pcb_neogeo_aadc/sym-lib-table -------------------------------------------------------------------------------- /rtl_common/btn_debounce.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/btn_debounce.v -------------------------------------------------------------------------------- /rtl_common/char_array.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/char_array.qip -------------------------------------------------------------------------------- /rtl_common/char_array.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/char_array.v -------------------------------------------------------------------------------- /rtl_common/char_rom.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/char_rom.qip -------------------------------------------------------------------------------- /rtl_common/char_rom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/char_rom.v -------------------------------------------------------------------------------- /rtl_common/i2s_rx_asrc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/i2s_rx_asrc.v -------------------------------------------------------------------------------- /rtl_common/i2s_tx_asrc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/i2s_tx_asrc.v -------------------------------------------------------------------------------- /rtl_common/linebuf.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/linebuf.qip -------------------------------------------------------------------------------- /rtl_common/linebuf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/linebuf.v -------------------------------------------------------------------------------- /rtl_common/lpm_mult_4_sl.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/lpm_mult_4_sl.qip -------------------------------------------------------------------------------- /rtl_common/lpm_mult_4_sl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/lpm_mult_4_sl.v -------------------------------------------------------------------------------- /rtl_common/scanconverter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/scanconverter.v -------------------------------------------------------------------------------- /rtl_common/ym_rx_asrc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/rtl_common/ym_rx_asrc.v -------------------------------------------------------------------------------- /scripts_common/dump_flash_regs.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/scripts_common/dump_flash_regs.tcl -------------------------------------------------------------------------------- /scripts_common/reprogram.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/scripts_common/reprogram.sh -------------------------------------------------------------------------------- /scripts_common/rv-bt.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/scripts_common/rv-bt.tcl -------------------------------------------------------------------------------- /scripts_common/rv-ndmreset.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/scripts_common/rv-ndmreset.tcl -------------------------------------------------------------------------------- /scripts_common/rv-reprogram.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/scripts_common/rv-reprogram.tcl -------------------------------------------------------------------------------- /sw_common/sys_controller/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/Makefile -------------------------------------------------------------------------------- /sw_common/sys_controller/cps2_digiav_sw.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/cps2_digiav_sw.project -------------------------------------------------------------------------------- /sw_common/sys_controller/crt0.boot_E.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/crt0.boot_E.S -------------------------------------------------------------------------------- /sw_common/sys_controller/inc/avconfig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/inc/avconfig.h -------------------------------------------------------------------------------- /sw_common/sys_controller/inc/controls.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/inc/controls.h -------------------------------------------------------------------------------- /sw_common/sys_controller/inc/menu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/inc/menu.h -------------------------------------------------------------------------------- /sw_common/sys_controller/inc/pulpino.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/inc/pulpino.h -------------------------------------------------------------------------------- /sw_common/sys_controller/inc/userdata.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/inc/userdata.h -------------------------------------------------------------------------------- /sw_common/sys_controller/inc/utils.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/inc/utils.h -------------------------------------------------------------------------------- /sw_common/sys_controller/inc/video_modes.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/inc/video_modes.h -------------------------------------------------------------------------------- /sw_common/sys_controller/link.common.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/link.common.ld -------------------------------------------------------------------------------- /sw_common/sys_controller/link.riscv.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/link.riscv.ld -------------------------------------------------------------------------------- /sw_common/sys_controller/src/avconfig.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/src/avconfig.c -------------------------------------------------------------------------------- /sw_common/sys_controller/src/controls.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/src/controls.c -------------------------------------------------------------------------------- /sw_common/sys_controller/src/menu.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/src/menu.c -------------------------------------------------------------------------------- /sw_common/sys_controller/src/sys_controller.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/src/sys_controller.c -------------------------------------------------------------------------------- /sw_common/sys_controller/src/userdata.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/src/userdata.c -------------------------------------------------------------------------------- /sw_common/sys_controller/src/utils.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/src/utils.c -------------------------------------------------------------------------------- /sw_common/sys_controller/src/video_modes.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller/src/video_modes.c -------------------------------------------------------------------------------- /sw_common/sys_controller_bsp/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller_bsp/Makefile -------------------------------------------------------------------------------- /sw_common/sys_controller_bsp/cps2_digiav_sw_bsp.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller_bsp/cps2_digiav_sw_bsp.project -------------------------------------------------------------------------------- /sw_common/sys_controller_bsp/public.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller_bsp/public.mk -------------------------------------------------------------------------------- /sw_common/sys_controller_bsp/settings.bsp.template: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/cps2_digiav/HEAD/sw_common/sys_controller_bsp/settings.bsp.template --------------------------------------------------------------------------------