├── LICENSE ├── README.md ├── installation ├── HVC-CPU-07 │ ├── README.md │ ├── fami_mb.jpg │ └── fami_nesrgb.jpg ├── NES-CPU-01 │ └── README.md ├── NES-CPU-04 │ ├── README.md │ └── nes-cpu-04.jpg ├── NESN-CPU-01 │ └── README.md ├── SHVC-CPU-01 │ ├── README.md │ └── inst.jpg ├── SNN-CPU-01 │ ├── README.md │ └── mini-install.jpg ├── SNS-CPU-1CHIP-01 │ ├── 1chip-install.jpg │ └── README.md ├── SNS-CPU-1CHIP-02 ├── SNS-CPU-APU-01 │ ├── README.md │ └── sns-cpu-apu-01.jpg ├── SNS-CPU-GPM-02 │ ├── README.md │ └── sns-cpu-gpm-02.jpg ├── SNSP-CPU-02 │ ├── PAL_snes_dejitter_diagram.jpg │ └── README.md └── openocd-ft2232.conf ├── output_files ├── snes_dejitter.jed ├── snes_dejitter.pof └── snes_dejitter.svf ├── pcb ├── bom │ └── snes_dejitter.ods ├── custom_components.dcm ├── custom_components.lib ├── custom_components.pretty │ ├── JTAG_Header_Angled_1x06_Pitch2.54mm.kicad_mod │ ├── SM0603_Capa_libcms.kicad_mod │ ├── SM0603_Resistor_libcms.kicad_mod │ ├── SM0805_libcms.kicad_mod │ ├── SMD_JUMPER.kicad_mod │ ├── SMD_PAD.kicad_mod │ ├── SOD-323_Handsoldering.kicad_mod │ ├── SOT-223_Handsoldering.kicad_mod │ ├── SOT-23-5_Handsoldering.kicad_mod │ ├── SOT-23-6_Handsoldering.kicad_mod │ └── TQFP-44_10x10mm_Pitch0.8mm_Handsoldering.kicad_mod ├── fp-lib-table ├── gerber │ ├── snes_dejitter-B.Cu.gbl │ ├── snes_dejitter-B.Mask.gbs │ ├── snes_dejitter-B.Paste.gbp │ ├── snes_dejitter-B.SilkS.gbo │ ├── snes_dejitter-Edge.Cuts.gm1 │ ├── snes_dejitter-F.Cu.gtl │ ├── snes_dejitter-F.Mask.gts │ ├── snes_dejitter-F.Paste.gtp │ ├── snes_dejitter-F.SilkS.gto │ └── snes_dejitter.drl ├── snes_dejitter.kicad_pcb ├── snes_dejitter.net ├── snes_dejitter.pdf ├── snes_dejitter.pro ├── snes_dejitter.sch ├── snes_dejitter.wrl └── sym-lib-table ├── pics ├── atmisp_chain.png ├── atmisp_setup.png └── pof2jed.png ├── rtl └── snes_dejitter.v ├── snes_dejitter.qpf ├── snes_dejitter.qsf └── snes_dejitter.sdc /LICENSE: -------------------------------------------------------------------------------- 1 | MIT License 2 | 3 | Copyright (c) 2017-2018 Markus Hiienkari 4 | 5 | Permission is hereby granted, free of charge, to any person obtaining a copy 6 | of this software and associated documentation files (the "Software"), to deal 7 | in the Software without restriction, including without limitation the rights 8 | to use, copy, modify, merge, publish, distribute, sublicense, and/or sell 9 | copies of the Software, and to permit persons to whom the Software is 10 | furnished to do so, subject to the following conditions: 11 | 12 | The above copyright notice and this permission notice shall be included in all 13 | copies or substantial portions of the Software. 14 | 15 | THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 16 | IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 17 | FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 18 | AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 19 | LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, 20 | OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE 21 | SOFTWARE. 22 | -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | NES/SNES 240p de-jitter mod 2 | ============== 3 | 4 | snes_dejitter is a mod board which eliminates sync jitter of NES/SNES 240p modes. Technical description and discussion is found on [this](https://shmups.system11.org/viewtopic.php?f=6&t=61285) thread. 5 | 6 | Requirements for building the board and CPLD firmware 7 | -------------------------------------------------------- 8 | * Hardware 9 | * [PCB](https://oshpark.com/shared_projects/NkuS1ju6) + [parts](pcb/bom/snes_dejitter.ods) 10 | 11 | * Software 12 | * [Altera Quartus II version 13.0sp1 with MAX7000 support](http://dl.altera.com/13.0sp1/?edition=web) 13 | * [pof2jed](http://www.microchip.com/design-centers/programmable-logic/spld-cpld/tools/software/pof2jed) conversion tool 14 | * [ATMISP](http://www.microchip.com/design-centers/programmable-logic/spld-cpld/tools/software/atmisp) software 15 | * [WINE](https://www.winehq.org/) (if Windows OS is not used) 16 | 17 | Requirements for flashing CPLD firmware 18 | -------------------------------------------------------- 19 | * Hardware 20 | * OpenOCD supported JTAG programmer (e.g. FT2232 based) 21 | 22 | * Software 23 | * [OpenOCD](http://openocd.org/) 24 | * Telnet client 25 | 26 | CPLD image build procedure 27 | -------------------------------------------------------- 28 | 29 | **NOTE:** Only needed when building a custom firmware. Pre-built images can be found under output_files/ on master and nes-fix (recommended for NES/FC) branches. 30 | 31 | 1. Open the project file in Quartus, and run compilation 32 | 33 | 2. Convert MAX7000 targeted POF object file into JEDEC file suitable for 1502AS via winpof2jed.exe: 34 | ~~~~ 35 | 1. Select .pof file from previous step as input file 36 | 2. Select 1502AS as device 37 | 3. Change the following options: 38 | * Reduce MC power -> On 39 | * Open Collector -> Off 40 | * JTAG mode -> On 41 | * Slew rate -> Slow 42 | 4. Click "Run" 43 | ~~~~ 44 | ![pof2jed](/pics/pof2jed.png) 45 | 46 | 3. Convert JED to SVF via ATMISP: 47 | ~~~~ 48 | 1. Create a new device chain via File->New 49 | 2. Set number of devices to 1 50 | 3. Set device to ATF1502AS 51 | 4. Set JTAG instruction to "Program/Verify" 52 | 5. Select .jed file from previous step as JEDEC file 53 | 6. Click "OK" 54 | 7. Tick "Write SVF file" and click "Run" 55 | ~~~~ 56 | ![atmisp1](/pics/atmisp_chain.png) 57 | ![atmisp1](/pics/atmisp_setup.png) 58 | 59 | Board flashing 60 | -------------------------------------------------------- 61 | The board can be flashed using any OpenOCD supported JTAG programmer that supports 3.3V-5V IO signal level (TCK, TMS and TDI are TTL inputs with 10k pull-downs). If 3.3V IO is used, JP4 (on v1.3 PCB) should be closed which clamps TDO output to 3.3V. A standalone snes_jitter board is flashed by hooking all of its 6 JTAG header pins to respective pins of the programmer/cable, and by running flash procedure specified below. After the board has been installed to NES/SNES, firmware can be subsequently updated, but in this method 5V pin of the JTAG connector MUST be left disconnected, and programming needs to be done while NES/SNES is powered on (without a game is ok). The update procedure is similar in both cases: 62 | 63 | 1. Create openocd.conf that matches your JTAG programmer. A configuration file for FT2232-based programmers is found in installation/openocd-ft2232.conf, and it uses following standard pinout for data signals: 64 | 65 | Board pin | Programmer pin 66 | --------- | ------------- 67 | TCK | ADBUS0 68 | TMS | ADBUS3 69 | TDI | ADBUS1 70 | TDO | ADBUS2 71 | 72 | 2. After hooking up JTAG cable, initiate JTAG connection: 73 | ~~~~ 74 | openocd -f openocd.conf 75 | ~~~~ 76 | 3. OpenOCD auto-probing should report a TAP controller with id 0x0150203f - if not, check connections and configuration. When successful, open another terminal to interact with openocd and program the chip: 77 | ~~~~ 78 | telnet localhost 4444 79 | > svf 80 | ~~~~ 81 | 4. The programming procedure should finish with no error, after which you can finish installation by powering off hardware and disconnecting the programmer. 82 | 83 | Installation 84 | -------------------------------------------------------- 85 | General descriptions on board pins are in table below. Model-specific installation instructions are added to separate subdirectories. 86 | 87 | Board pin | Description 88 | ---------- | ------------- 89 | CSYNC_i | TTL C-sync signal from the console 90 | MCLK_EXT_i | External clock input. Used only in PAL mode, not needed in pure NTSC installations. 91 | CLK_SEL_i | Master clock source selection (0=internal/NTSC, 1=external/PAL). In PAL mode, MCLK and CSYNC are bypassed to output. Pin is pulled low internally, so it can be left disconnected in pure NTSC installations. Connected to PALMODE in multiregion installations. Can be forced high by bridging JP1 (pre-1.2 boards only), but must never be done if the pin is wired to console. 92 | MCLK_o | Clock output. An optional voltage divider (R13,R14 / JP2) can be used to reduce output level from ~4Vpp to ~3Vpp, see model-specific instructions for more details. 93 | CSYNC_o | C-sync output (~2.5Vpp unterminated, ~1.1Vpp into 75ohm termination) to an isolated multi-AV pin. Driver circuit is identical to SHVC-CPU-01. JP3 connects optional 330pF output capacitor that may not be present on console mainboard (not strictly needed, reduces potential noise at the price of less sharp transition time), see model-specific instructions for more details. 94 | 95 | Jumper | Description 96 | ------- | ------------- 97 | JP1 | Forces CLK_SEL_i high. Removed on v1.2 since it was mostly for debugging purposes. 98 | JP2 | Enables MCLK_o voltage divider. Recommended for NES installations to ensure signal level is safe for NESRGB. 99 | JP3 | Connects optional 330pF output capacitor on CSYNC_o. 100 | JP4 | Enable TDO voltage clamp. Recommended if board is flashed with a 3.3V programmer. 101 | 102 | PCB revision history 103 | -------------------------------------------------------- 104 | ### v1.3 105 | * change (R9,R10) voltage divider values 106 | * replace TDO voltage divider with an optional zener clamp (selectable via JP4) 107 | * add R15 pulldown to prevent floating input pin 108 | 109 | ### v1.2 110 | * remove JP1 111 | * add JP2 and JP3 to easily support different setups 112 | 113 | ### v1.1 114 | * change R14 value 115 | * change JTAG connector 116 | 117 | ### v1.0 118 | * first revision 119 | 120 | FAQ 121 | -------------------------------------------------------- 122 | ### Can I buy the board pre-assembled / pre-installed 123 | * VGP [store](https://www.videogameperfection.com/products/snes-jitter-kit/) offers pre-assembled boards with optional installation service. 124 | 125 | ### Can I flash the board with USB Blaster? 126 | * USB Blaster is compatible with OpenOCD, but you have to check your programmer details (official/clone, 5V compatibility) and hook up and configure it accordingly. Official USB Blaster has fixed 6MHz TCK frequency which is probably too high for the board, so USB Blaster II and clones are more likely to work. None of the USB Blasters supply voltage on the board, but instead require connection from the board's supply to Vcc(TRGT) pin of the debug connector. You must thus power the board externally and connect 5V to Vcc(TRGT) (make sure your programmer supports 5V operation!). Some clones also require hacks on OpenOCD to operate correctly, see the [thread](https://shmups.system11.org/viewtopic.php?f=6&t=61285) for more details. 127 | 128 | ### Which other programmers can I use? 129 | * OpenOCD supports a wide range of [debug adapters](http://openocd.org/doc/html/Debug-Adapter-Hardware.html). Several people also have programmed their boards using a Raspberry Pi. Instructions and tools for RPI can be found on the [thread](https://shmups.system11.org/viewtopic.php?f=6&t=61285). 130 | 131 | ### Does the mod change game speed in any way? 132 | * Yes, but in very minimal way - in 1 hour of gameplay a de-jittered system falls less than 2 frames behind a vanilla system. 133 | 134 | ### Is it possible to disable de-jitter functionality after installation? 135 | * When the board is installed so that MCLK_EXT_i and CLK_SEL_i are not used, a simple bypass can be added without firmware modifications. To do that, connect MCLK_EXT_i to CPLD pin 34 (MCLK_XTAL_o) and add a ON-OFF switch that connects MCLK_SEL_i to 5V. 136 | -------------------------------------------------------------------------------- /installation/HVC-CPU-07/README.md: -------------------------------------------------------------------------------- 1 | HVC-CPU-07 installation guide 2 | ============== 3 | 4 | Steps 5 | 6 | 1. Desolder C4, C9 and (optionally) XTAL from Famicom mainboard 7 | 2. Add a jumper wire between C4 & C9 pads on CPU/PPU sides as shown in the picture below (ignore wires outside of red highlight circle) 8 | 3. Short JP2 and JP3 on snes_dejitter board 9 | 4. Cover copper areas on the bottom of snes_dejitter with electrical tape 10 | 5. Attach snes_dejitter on the bottom of NESRGB adapter board via double-sided adhesive tape 11 | 6. Connect 5V, GND and CSYNC_i to respective pads on NESRGB board (CSYNC_i -> CS# with NESRGB jumper J8 open) 12 | 7. Connect MCLK_o as shown in the picture and CSYNC_o to AV connector (possibly via 470ohm series resistor depending on your cable and preference). For MCLK_o, it is recommended to use a coax cable of which shield is connected to nearest GND in both ends 13 | 8. If the board has been flashed with default firmware, it's recommended to update it to [NES-tailored svf](https://github.com/marqs85/snes_dejitter/raw/nes-fix/output_files/snes_dejitter.svf) from nes-fix branch 14 | 15 | ![](fami_mb.jpg) 16 | 17 | ![](fami_nesrgb.jpg) 18 | -------------------------------------------------------------------------------- /installation/HVC-CPU-07/fami_mb.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/installation/HVC-CPU-07/fami_mb.jpg -------------------------------------------------------------------------------- /installation/HVC-CPU-07/fami_nesrgb.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/installation/HVC-CPU-07/fami_nesrgb.jpg -------------------------------------------------------------------------------- /installation/NES-CPU-01/README.md: -------------------------------------------------------------------------------- 1 | NES-CPU-01 installation guide 2 | ============== 3 | 4 | (from [Retro Modding Wiki](https://www.retromodwiki.com/wiki/NES_dejitter_mod)) 5 | 6 | Steps 7 | 8 | 1. Remove C44, C45, and X1 from the NES motherboard 9 | 2. Connect a jumper wire between the outside pads of C44 and C45 10 | 3. Short JP2 and JP3 on snes_dejitter board 11 | 4. Cover copper areas on the bottom of snes_dejitter with electrical tape 12 | 5. Attach snes_dejitter on the bottom of NESRGB adapter board via double-sided adhesive tape 13 | 6. Connect 5V, GND and CSYNC_i to respective pads on NESRGB board (CSYNC_i -> CS# with NESRGB jumper J8 open) 14 | 7. Connect MCLK_o as shown in the picture and CSYNC_o to AV connector (possibly via 470ohm series resistor depending on your cable and preference). For MCLK_o, it is recommended to use a coax cable of which shield is connected to nearest GND in both ends 15 | 8. If the board has been flashed with default firmware, update it to [NES-tailored svf](https://github.com/marqs85/snes_dejitter/raw/nes-fix/output_files/snes_dejitter.svf) from nes-fix branch 16 | 17 | ![](https://www.retromodwiki.com/images/2/20/NES001dejitter02.jpg) 18 | 19 | ![](https://www.retromodwiki.com/images/2/21/NES001dejitter01.jpeg) 20 | 21 | ![](https://www.retromodwiki.com/images/b/bb/NES101dejitter09.jpg) 22 | -------------------------------------------------------------------------------- /installation/NES-CPU-04/README.md: -------------------------------------------------------------------------------- 1 | NES-CPU-04 installation guide 2 | ============== 3 | 4 | (from [Retro Modding Wiki](https://www.retromodwiki.com/wiki/NES_dejitter_mod)) 5 | 6 | Steps 7 | 8 | 1. Remove C44, C45, and X1 from the NES motherboard 9 | 2. Connect a jumper wire between the pads of C44 and C45 as illustrated below. 10 | 3. Short JP2 and JP3 on snes_dejitter board 11 | 4. Cover copper areas on the bottom of snes_dejitter with electrical tape 12 | 5. Attach snes_dejitter on the bottom of NESRGB adapter board via double-sided adhesive tape 13 | 6. Connect 5V, GND and CSYNC_i to respective pads on NESRGB board (CSYNC_i -> CS# with NESRGB jumper J8 open) 14 | 7. Connect MCLK_o as shown in the picture and CSYNC_o to AV connector (possibly via 470ohm series resistor depending on your cable and preference). For MCLK_o, it is recommended to use a coax cable of which shield is connected to nearest GND in both ends 15 | 8. If the board has been flashed with default firmware, update it to [NES-tailored svf](https://github.com/marqs85/snes_dejitter/raw/nes-fix/output_files/snes_dejitter.svf) from nes-fix branch 16 | 17 | ![](nes-cpu-04.jpg) 18 | 19 | ![](https://www.retromodwiki.com/images/b/bb/NES101dejitter09.jpg) 20 | -------------------------------------------------------------------------------- /installation/NES-CPU-04/nes-cpu-04.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/installation/NES-CPU-04/nes-cpu-04.jpg -------------------------------------------------------------------------------- /installation/NESN-CPU-01/README.md: -------------------------------------------------------------------------------- 1 | NESN-CPU-01 installation guide 2 | ============== 3 | 4 | (from [Retro Modding Wiki](https://www.retromodwiki.com/wiki/NES_dejitter_mod)) 5 | 6 | Steps 7 | 8 | 1. Remove C10 and X1 from the NES motherboard 9 | 2. Short JP2 and JP3 on snes_dejitter board 10 | 3. Cover copper areas on the bottom of snes_dejitter with electrical tape 11 | 4. Attach snes_dejitter on the bottom of NESRGB adapter board via double-sided adhesive tape 12 | 5. Connect 5V, GND and CSYNC_i to respective pads on NESRGB board (CSYNC_i -> CS# with NESRGB jumper J8 open) 13 | 6. Connect MCLK_o as shown in the picture and CSYNC_o to AV connector (possibly via 470ohm series resistor depending on your cable and preference). For MCLK_o, it is recommended to use a coax cable of which shield is connected to nearest GND in both ends 14 | 7. If the board has been flashed with default firmware, update it to [NES-tailored svf](https://github.com/marqs85/snes_dejitter/raw/nes-fix/output_files/snes_dejitter.svf) from nes-fix branch 15 | 16 | ![](https://www.retromodwiki.com/images/2/27/NES101dejitter05.jpg) 17 | 18 | ![](https://www.retromodwiki.com/images/7/71/NES101dejitter06.jpg) 19 | 20 | ![](https://www.retromodwiki.com/images/b/bb/NES101dejitter09.jpg) 21 | -------------------------------------------------------------------------------- /installation/SHVC-CPU-01/README.md: -------------------------------------------------------------------------------- 1 | SHVC-CPU-01 installation guide 2 | ============== 3 | 4 | Features 5 | 6 | * single MCLK provided by snes_dejitter 7 | * de-jittered CSYNC on multi-AV pin 3 (compatible with RGB cables wired to use CSYNC) 8 | 9 | Steps 10 | 11 | 1. Desolder C5, R6 and R25 on SNES mainboard 12 | 2. Leave JP3 open on snes_dejitter board (rev v1.0/v1.1: leave C8 unpopulated) 13 | 3. Short JP2 on snes_dejitter board (v1.2 and higher revisions only) 14 | 4. Cover copper areas on the bottom of snes_dejitter with electrical tape 15 | 5. Attach snes_dejitter on the bottom of SNES mainboard via double-sided adhesive tape 16 | 6. Connect 5V, GND, CSYNC_i, CSYNC_o and MCLK_o as shown in image below 17 | 18 | ![](inst.jpg) 19 | -------------------------------------------------------------------------------- /installation/SHVC-CPU-01/inst.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/installation/SHVC-CPU-01/inst.jpg -------------------------------------------------------------------------------- /installation/SNN-CPU-01/README.md: -------------------------------------------------------------------------------- 1 | SNN-CPU-01 installation guide 2 | ============== 3 | 4 | Features 5 | 6 | * single MCLK provided by snes_dejitter 7 | * de-jittered CSYNC on multi-AV pin 3 (compatible with RGB cables wired to use CSYNC) 8 | 9 | Steps 10 | 11 | 1. Remove X1 and C3 from SNES mainboard 12 | 2. Leave JP2 open and close JP3 on snes_dejitter board (rev v1.0/v1.1: leave R14 unpopulated) 13 | 3. Cover copper areas on the bottom of snes_dejitter with electrical tape 14 | 4. Attach snes_dejitter on the bottom of SNES mainboard via double-sided adhesive tape 15 | 5. Connect 5V, GND and MCLK_o as shown in image below. CSYNC_i can be tapped from pin 7 of S-RGB, and CSYNC_o should be directly wired to pin 3 of multi-AV. 16 | 17 | ![](mini-install.jpg) 18 | -------------------------------------------------------------------------------- /installation/SNN-CPU-01/mini-install.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/installation/SNN-CPU-01/mini-install.jpg -------------------------------------------------------------------------------- /installation/SNS-CPU-1CHIP-01/1chip-install.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/installation/SNS-CPU-1CHIP-01/1chip-install.jpg -------------------------------------------------------------------------------- /installation/SNS-CPU-1CHIP-01/README.md: -------------------------------------------------------------------------------- 1 | SNS-CPU-1CHIP-01 installation guide 2 | ============== 3 | 4 | Features 5 | 6 | * single MCLK provided by snes_dejitter 7 | * de-jittered CSYNC on multi-AV pin 3 (compatible with RGB cables wired to use CSYNC) 8 | 9 | Steps 10 | 11 | 1. Remove X1, C3 and R12 from SNES mainboard 12 | 2. Leave JP2 and JP3 open on snes_dejitter board (rev v1.0/v1.1: leave C8 and R14 unpopulated) 13 | 3. Cover copper areas on the bottom of snes_dejitter with electrical tape 14 | 4. Attach snes_dejitter on the bottom of SNES mainboard via double-sided adhesive tape 15 | 5. Connect 5V, GND, CSYNC_i, CSYNC_o and MCLK_o as shown in image below 16 | 17 | ![](1chip-install.jpg) 18 | -------------------------------------------------------------------------------- /installation/SNS-CPU-1CHIP-02: -------------------------------------------------------------------------------- 1 | SNS-CPU-1CHIP-01 -------------------------------------------------------------------------------- /installation/SNS-CPU-APU-01/README.md: -------------------------------------------------------------------------------- 1 | SNS-CPU-APU-01 installation guide 2 | ============== 3 | 4 | Features 5 | 6 | * single MCLK provided by snes_dejitter 7 | * de-jittered CSYNC on multi-AV pin 3 (compatible with RGB cables wired to use CSYNC) 8 | 9 | Steps 10 | 11 | 1. Remove R12, R17 and R55 from SNES mainboard 12 | 2. Leave JP3 open on snes_dejitter board (rev v1.0/v1.1: leave C8 unpopulated) 13 | 3. Short JP2 on snes_dejitter board (v1.2 and higher revisions only) 14 | 4. Cover copper areas on the bottom of snes_dejitter with electrical tape 15 | 5. Attach snes_dejitter on the bottom of SNES mainboard via double-sided adhesive tape 16 | 6. Connect 5V, GND, CSYNC_i, CSYNC_o and MCLK_o as shown in image below 17 | 18 | ![](sns-cpu-apu-01.jpg) 19 | -------------------------------------------------------------------------------- /installation/SNS-CPU-APU-01/sns-cpu-apu-01.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/installation/SNS-CPU-APU-01/sns-cpu-apu-01.jpg -------------------------------------------------------------------------------- /installation/SNS-CPU-GPM-02/README.md: -------------------------------------------------------------------------------- 1 | SNS-CPU-GPM-02 installation guide 2 | ============== 3 | 4 | Features 5 | 6 | * single MCLK provided by snes_dejitter 7 | * de-jittered CSYNC on multi-AV pin 3 (compatible with RGB cables wired to use CSYNC) 8 | 9 | Steps 10 | 11 | 1. Remove R4, R5 and R25 from SNES mainboard 12 | 2. Leave JP3 open on snes_dejitter board (rev v1.0/v1.1: leave C8 unpopulated) 13 | 3. Short JP2 on snes_dejitter board (v1.2 and higher revisions only) 14 | 4. Cover copper areas on the bottom of snes_dejitter with electrical tape 15 | 5. Attach snes_dejitter on the bottom of SNES mainboard via double-sided adhesive tape 16 | 6. Connect 5V, GND, CSYNC_i, CSYNC_o and MCLK_o as shown in image below 17 | 18 | ![](sns-cpu-gpm-02.jpg) 19 | -------------------------------------------------------------------------------- /installation/SNS-CPU-GPM-02/sns-cpu-gpm-02.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/installation/SNS-CPU-GPM-02/sns-cpu-gpm-02.jpg -------------------------------------------------------------------------------- /installation/SNSP-CPU-02/PAL_snes_dejitter_diagram.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/installation/SNSP-CPU-02/PAL_snes_dejitter_diagram.jpg -------------------------------------------------------------------------------- /installation/SNSP-CPU-02/README.md: -------------------------------------------------------------------------------- 1 | SNSP-CPU-02 installation guide 2 | ============== 3 | 4 | Features 5 | 6 | * dual MCLK for 50/60Hz modded console provided by snes_dejitter/S-CLK depending on mode 7 | * de-jittered CSYNC on multi-AV pin 7 (compatible with RGB cables wired to use luma as sync) 8 | 9 | Steps 10 | 11 | 1. Desolder R73 and R25 on SNES mainboard 12 | 2. Leave JP3 open on snes_dejitter board (rev v1.0/v1.1: leave C8 unpopulated) 13 | 3. Short JP2 on snes_dejitter board (v1.2 and higher revisions only) 14 | 4. Cover copper areas on the bottom of snes_dejitter with electrical tape 15 | 5. Attach snes_dejitter on the bottom of SNES mainboard via double-sided adhesive tape 16 | 6. Connect 5V and GND to C92 17 | 7. Connect CSYNC_i to S-ENC pin 8 (or S-PPU2 pin 100) 18 | 8. Connect CSYNC_o to multiAV pin 7 19 | 9. Connect MCLK_o to CPU side of removed R73 20 | 10. Connect MCLK_EXT_i to S-CLK side of R73 21 | 11. Connect CLK_SEL_i to 50/60Hz select line (same that goes to S-PPU1 pin 24) 22 | -------------------------------------------------------------------------------- /installation/openocd-ft2232.conf: -------------------------------------------------------------------------------- 1 | interface ftdi 2 | 3 | ftdi_vid_pid 0x0403 0x6010 4 | ftdi_layout_init 0x0000 0x000b 5 | 6 | transport select jtag 7 | adapter_khz 1000 8 | -------------------------------------------------------------------------------- /output_files/snes_dejitter.jed: -------------------------------------------------------------------------------- 1 |  Version 4.45 2 | JEDEC file for: ATF1502 TQFP44 3 | Created on: Sun Feb 09 23:15:44 2020 4 | 5 | * 6 | QF16808* QP44 * F0* 7 | NOTE 8 | 0 0 0 0 0 * 9 | L192 10 | 1111111111111111 11 | 1111111111111111111111111111111111111111 12 | 1111111111111110111111011111111111111111* NOTE PT 3 of MC 1(LAB A) * 13 | L288 14 | 1111111111111111 15 | 1111111111111111111111111111111111111111 16 | 1111111111011111111111011111111111111111* NOTE PT 2 of MC 1(LAB A) * 17 | L480 18 | 1111111111111111 19 | 1101111111111111111111111111111111111111 20 | 1111111111111101111111101111111111111111* NOTE PT 1 of MC 2(LAB A) * 21 | L576 22 | 1111111111111111 23 | 1110111011100111111101011110010110011111 24 | 1011111111111111111111111111111111101111* NOTE PT 2 of MC 2(LAB A) * 25 | L672 26 | 1111111111111111 27 | 1110111111101111111111111111111111111111 28 | 1011111111111111111111011111111111111111* NOTE PT 3 of MC 2(LAB A) * 29 | L768 30 | 1111111111111111 31 | 1111111111011111111111111111111111111111 32 | 1111111111111101111111101111111111111111* NOTE PT 4 of MC 2(LAB A) * 33 | L864 34 | 1111111111111111 35 | 1111111111111111111111111111111111111111 36 | 0111111111111101111111101111111111111111* NOTE PT 5 of MC 2(LAB A) * 37 | L1248 38 | 1111111111111111 39 | 1111111101111111111111111111111111111111 40 | 1111111111111111111111111101111111111111* NOTE PT 2 of MC 3(LAB A) * 41 | L1536 42 | 1111111111111111 43 | 1111111111011111111111111111111111111111 44 | 1111111101111111111111101111111111111111* NOTE PT 2 of MC 4(LAB A) * 45 | L1632 46 | 1111111111111111 47 | 1110111011100111111101011110010110011111 48 | 1111111101111111111111111111111111101111* NOTE PT 3 of MC 4(LAB A) * 49 | L1920 50 | 1111111111111111 51 | 1101111111111111111111111111111111111111 52 | 1111111101111111111111101111111111111111* NOTE PT 5 of MC 5(LAB A) * 53 | L2016 54 | 1111111111111111 55 | 1101111111111111111111111111111111111111 56 | 1111111101101111111111111111111111111111* NOTE PT 4 of MC 5(LAB A) * 57 | L2112 58 | 1111111111111111 59 | 1111111111111111111111111111111111111111 60 | 0111111101111111111111111111111111111111* NOTE PT 3 of MC 5(LAB A) * 61 | L2208 62 | 1111111111111111 63 | 1111111111111111111111111111111111111111 64 | 0111111111011111111111011111111111111111* NOTE PT 2 of MC 5(LAB A) * 65 | L2304 66 | 1111111111111111 67 | 1111111111011111111111111111111111111111 68 | 1111111101101111111111111111111111111111* NOTE PT 1 of MC 5(LAB A) * 69 | L2496 70 | 1111111111111111 71 | 1111111111011111111111111111111111111111 72 | 1111111111011111111111011111111111111111* NOTE PT 2 of MC 6(LAB A) * 73 | L2592 74 | 1111111111111111 75 | 1101111111111111111111111111111111111111 76 | 1111111111111110111111111111111111111111* NOTE PT 3 of MC 6(LAB A) * 77 | L2688 78 | 1111111111111111 79 | 1111111111011111111111111111111111111111 80 | 1111111111111110111111111111111111111111* NOTE PT 4 of MC 6(LAB A) * 81 | L2880 82 | 1111111111111111 83 | 1110111011100111111101011110010110011111 84 | 1011111111111111111111111111111111101111* NOTE PT 5 of MC 7(LAB A) * 85 | L2976 86 | 1111111111111111 87 | 1110111111101111111111111111111111111111 88 | 1011111111111101111111111111111111111111* NOTE PT 4 of MC 7(LAB A) * 89 | L3072 90 | 1111111111111111 91 | 1111111111111111111111111111111111111111 92 | 0111111111111110111111111111111111111111* NOTE PT 3 of MC 7(LAB A) * 93 | L3168 94 | 1111111111111111 95 | 1111111111111111111111111111111111111111 96 | 0111111111011111111111011111111111111111* NOTE PT 2 of MC 7(LAB A) * 97 | L3264 98 | 1111111111111111 99 | 1101111111111111111111111111111111111111 100 | 1111111111011111111111011111111111111111* NOTE PT 1 of MC 7(LAB A) * 101 | L3456 102 | 1111111111111111 103 | 1111111111111111111111111111111111111111 104 | 1111111111101110111111111111111111111111* NOTE PT 2 of MC 8(LAB A) * 105 | L3552 106 | 1111111111111111 107 | 1111111111111111111111111111111111111111 108 | 1111111111101111111111101111111111111111* NOTE PT 3 of MC 8(LAB A) * 109 | L3648 110 | 1111111111111111 111 | 1110111111101111111111111111111111111111 112 | 1011111111101111111111111111111111111111* NOTE PT 4 of MC 8(LAB A) * 113 | L3744 114 | 1111111111111111 115 | 1110111011100111111101011110010110011111 116 | 1011111111111111111111111111111111101111* NOTE PT 5 of MC 8(LAB A) * 117 | L3840 118 | 1111111111111111 119 | 1110111111101111111111101111111111111111 120 | 1011111111111111111111111111111111111111* NOTE PT 5 of MC 9(LAB A) * 121 | L3936 122 | 1111111111111111 123 | 1101111011110111111101111110011001101111 124 | 1111111111111111111111111111111111101111* NOTE PT 4 of MC 9(LAB A) * 125 | L4032 126 | 1111111111111111 127 | 1111111011110111111101111110011001101111 128 | 0111111111111111111111111111111111101111* NOTE PT 3 of MC 9(LAB A) * 129 | L4128 130 | 1111111111111111 131 | 1111111011010111111101111110011001101111 132 | 1111111111111111111111111111111111101111* NOTE PT 2 of MC 9(LAB A) * 133 | L4416 134 | 1111111111111111 135 | 1111111011010111111101111111011001101111 136 | 1111111111111111111111111111111111101111* NOTE PT 2 of MC 10(LAB A) * 137 | L4512 138 | 1111111111111111 139 | 1111111011110111111101111111011001101111 140 | 0111111111111111111111111111111111101111* NOTE PT 3 of MC 10(LAB A) * 141 | L4608 142 | 1111111111111111 143 | 1101111011110111111101111111011001101111 144 | 1111111111111111111111111111111111101111* NOTE PT 4 of MC 10(LAB A) * 145 | L4704 146 | 1111111111111111 147 | 1110111111101111111111111110111111111111 148 | 1011111111111111111111111111111111111111* NOTE PT 5 of MC 10(LAB A) * 149 | L4800 150 | 1111111111111111 151 | 1110111111101111111111111111111011111111 152 | 1011111111111111111111111111111111111111* NOTE PT 5 of MC 11(LAB A) * 153 | L4896 154 | 1111111111111111 155 | 1101111011110111111101111111011101101111 156 | 1111111111111111111111111111111111101111* NOTE PT 4 of MC 11(LAB A) * 157 | L4992 158 | 1111111111111111 159 | 1111111011110111111101111111011101101111 160 | 0111111111111111111111111111111111101111* NOTE PT 3 of MC 11(LAB A) * 161 | L5088 162 | 1111111111111111 163 | 1111111011010111111101111111011101101111 164 | 1111111111111111111111111111111111101111* NOTE PT 2 of MC 11(LAB A) * 165 | L5376 166 | 1111111111111111 167 | 1111111111111111111111111111111111111111 168 | 1111111111111111111111111111111111111111* NOTE PT 2 of MC 12(LAB A) * 169 | L5568 170 | 1111111111111111 171 | 1111111101111111111111111111111111111111 172 | 1111111111111111111111111101111111111111* NOTE PT 4 of MC 12(LAB A) * 173 | L5952 174 | 1111111111111111 175 | 1111111011110111111101101110011001101111 176 | 1111111111111111111111111111111111101111* NOTE PT 3 of MC 13(LAB A) * 177 | L6048 178 | 1111111111111111 179 | 1110111111101111111111111111111111111111 180 | 1011111111111111111111111111111111111111* NOTE PT 2 of MC 13(LAB A) * 181 | L6336 182 | 1111111111111111 183 | 1111111110111111111111111111111111111111 184 | 1111111111111111111111111110111111111111* NOTE PT 2 of MC 14(LAB A) * 185 | L7008 186 | 1111111111111111 187 | 1111111111111111111111111111111111111111 188 | 1111111111011101111111011111111111111111* NOTE PT 2 of MC 15(LAB A) * 189 | L7296 190 | 1111111111111111 191 | 1111111111111111111111111111111111110111 192 | 0111111111111111111111111111111111111111* NOTE PT 2 of MC 16(LAB A) * 193 | L7392 194 | 1111111111111111 195 | 1111111111111111111111111111111111111011 196 | 1111111101111111111111111111111111111111* NOTE PT 3 of MC 16(LAB A) * 197 | L7680 198 | 1111111111111111 199 | 1111111011110111111111111111111111111111 200 | 1111111111111111111111111111111111101111* NOTE PT 5 of MC 17(LAB B) * 201 | L7776 202 | 1111111111111111 203 | 1111110111111111111111111111111111111111 204 | 1111111111111111111111111111111111011111* NOTE PT 4 of MC 17(LAB B) * 205 | L7872 206 | 1111111111111111 207 | 1111111111111011111111111111111111111111 208 | 1111111111111111111111111111111111011111* NOTE PT 3 of MC 17(LAB B) * 209 | L7968 210 | 1111111111111111 211 | 0110111111111111111111111111111111111111 212 | 1011111111111111111111111111111111111111* NOTE PT 2 of MC 17(LAB B) * 213 | L8256 214 | 1111111111111111 215 | 1111111111111111101111111111111111111111 216 | 1111111111111111111111111111111111111111* NOTE PT 2 of MC 18(LAB B) * 217 | L11520 218 | 1111111111111111 219 | 0110111111111111111111111111111011111111 220 | 1011111111111111111111111111111111111111* NOTE PT 5 of MC 25(LAB B) * 221 | L11616 222 | 1111111111111111 223 | 1101111011110111111111111111111111111111 224 | 1111111111111111111111111111111111101111* NOTE PT 4 of MC 25(LAB B) * 225 | L11712 226 | 1111111111111111 227 | 1111111011110111111111111111111111111111 228 | 0111111111111111111111111111111111101111* NOTE PT 3 of MC 25(LAB B) * 229 | L11808 230 | 1111111111111111 231 | 1011111011110111111111111111111111111111 232 | 1111111111111111111111111111111111101111* NOTE PT 2 of MC 25(LAB B) * 233 | L12096 234 | 1111111111111111 235 | 1011111011110111111111111111111011111111 236 | 1111111111111111111111111111111111101111* NOTE PT 2 of MC 26(LAB B) * 237 | L12192 238 | 1111111111111111 239 | 1111111011110111111111111111111011111111 240 | 0111111111111111111111111111111111101111* NOTE PT 3 of MC 26(LAB B) * 241 | L12288 242 | 1111111111111111 243 | 1101111011110111111111111111111011111111 244 | 1111111111111111111111111111111111101111* NOTE PT 4 of MC 26(LAB B) * 245 | L12384 246 | 1111111111111111 247 | 0110111111111111111111111110111111111111 248 | 1011111111111111111111111111111111111111* NOTE PT 5 of MC 26(LAB B) * 249 | L12480 250 | 1111111111111111 251 | 0110111111111111111111101111111111111111 252 | 1011111111111111111111111111111111111111* NOTE PT 5 of MC 27(LAB B) * 253 | L12576 254 | 1111111111111111 255 | 1101111011110111111111111110111011111111 256 | 1111111111111111111111111111111111101111* NOTE PT 4 of MC 27(LAB B) * 257 | L12672 258 | 1111111111111111 259 | 1111111011110111111111111110111011111111 260 | 0111111111111111111111111111111111101111* NOTE PT 3 of MC 27(LAB B) * 261 | L12768 262 | 1111111111111111 263 | 1011111011110111111111111110111011111111 264 | 1111111111111111111111111111111111101111* NOTE PT 2 of MC 27(LAB B) * 265 | L13056 266 | 1111111111111111 267 | 1011111011110111111111101110111011111111 268 | 1111111111111111111111111111111111101111* NOTE PT 2 of MC 28(LAB B) * 269 | L13152 270 | 1111111111111111 271 | 1111111011110111111111101110111011111111 272 | 0111111111111111111111111111111111101111* NOTE PT 3 of MC 28(LAB B) * 273 | L13248 274 | 1111111111111111 275 | 1101111011110111111111101110111011111111 276 | 1111111111111111111111111111111111101111* NOTE PT 4 of MC 28(LAB B) * 277 | L13344 278 | 1111111111111111 279 | 0110111111111111111111111111011111111111 280 | 1011111111111111111111111111111111111111* NOTE PT 5 of MC 28(LAB B) * 281 | L13728 282 | 1111111111111111 283 | 1111111111111111111111111111111111111111 284 | 0111111111111111111111111111111111111111* NOTE PT 2 of MC 29(LAB B) * 285 | L14016 286 | 1111111111111111 287 | 0110110111111111111111111111111111111111 288 | 1011111111111111111111111111111111111111* NOTE PT 2 of MC 30(LAB B) * 289 | L14496 290 | 1111111111111111 291 | 1111111011110111111111111111111111111111 292 | 1111111111111111111111111111111111111111* NOTE PT 4 of MC 31(LAB B) * 293 | L14592 294 | 1111111111111111 295 | 1111110111111011111111111111111111111111 296 | 1111111111111111111111111111111111111111* NOTE PT 3 of MC 31(LAB B) * 297 | L14688 298 | 1111111111111111 299 | 0110111111111111111111111111111111111111 300 | 1011111111111111111111111111111111111111* NOTE PT 2 of MC 31(LAB B) * 301 | L14976 302 | 1111111111111111 303 | 1111111111111111111101111111111111111111 304 | 1111111111111111111101111111111111111111* NOTE PT 2 of MC 32(LAB B) * 305 | L15072 306 | 1111111111111111 307 | 1111111111101111011110111111111111111111 308 | 1111111111111111111111111111111111111111* NOTE PT 3 of MC 32(LAB B) * 309 | 310 | NOTE macrocell configurations 311 | 0 0 0 0 * 312 | L15360 1111111111111111* 313 | L15376 01011000110000000000000001010010* NOTE S16,S12 of block A * 314 | L15408 00100100000001000000000000000000* NOTE S14,S11 of block A * 315 | L15440 1111111111111111* 316 | L15456 10011001110110011000110011010011* NOTE S9 ,S6 of block A * 317 | L15488 00000100000000000110011101000000* NOTE S13,S10 of block A * 318 | L15520 1111111111111111* 319 | L15536 01000000000000000000000000000000* NOTE S20,S18 of block A * 320 | L15568 10011001100110011001100110011001* NOTE S8 ,S21 of block A * 321 | L15600 1111111111111111* 322 | L15616 11001111111111111111111111111111* NOTE S7 ,S19 of block A * 323 | L15648 11011011101110011001100110011011* NOTE S22,S5 of block A * 324 | L15680 1111111111111111* 325 | L15696 11111111111111111111111111111111* NOTE S23,S4 of block A * 326 | L15728 01100110011001100110011001100111* NOTE S3 ,S15 of block A * 327 | L15760 1111111111111111* 328 | L15776 11111111111111001111111100111111* NOTE S0 ,S1 of block A * 329 | L15808 11111101111111111011111111111111* NOTE S17 ,S2 of block A * 330 | L15840 1111111111111111* 331 | L15856 01001001000000001111111111111100* NOTE S16,S12 of block B * 332 | L15888 01000000000000000000000000100100* NOTE S14,S11 of block B * 333 | L15920 1111111111111111* 334 | L15936 10011001100110011001100110011001* NOTE S9 ,S6 of block B * 335 | L15968 00001001100110010110011000100000* NOTE S13,S10 of block B * 336 | L16000 1111111111111111* 337 | L16016 01000000000000000000000000000100* NOTE S20,S18 of block B * 338 | L16048 10011111111111111001100110011001* NOTE S8 ,S21 of block B * 339 | L16080 1111111111111111* 340 | L16096 11111111111111111111111111111111* NOTE S7 ,S19 of block B * 341 | L16128 10111111111111111001100110011011* NOTE S22,S5 of block B * 342 | L16160 1111111111111111* 343 | L16176 11111111111111111111111111111111* NOTE S23,S4 of block B * 344 | L16208 01110110011001100110011001100111* NOTE S3 ,S15 of block B * 345 | L16240 1111111111111111* 346 | L16256 11111111111111000000000000001111* NOTE S0 ,S1 of block B * 347 | L16288 11111001100110011011111111111111* NOTE S17 ,S2 of block B * 348 | 349 | NOTE UIM for block A and B* 350 | NOTE 0 0 0* 351 | L16320 10111* NOTE Mux-39 of block A* 352 | L16325 10111* NOTE Mux-39 of block B* 353 | L16330 11111* NOTE Mux-38 of block A* 354 | L16335 11111* NOTE Mux-38 of block B* 355 | L16340 11011* NOTE Mux-37 of block A* 356 | L16345 11011* NOTE Mux-37 of block B* 357 | L16350 11111* NOTE Mux-36 of block A* 358 | L16355 11111* NOTE Mux-36 of block B* 359 | L16360 11111* NOTE Mux-35 of block A* 360 | L16365 11111* NOTE Mux-35 of block B* 361 | L16370 11111* NOTE Mux-34 of block A* 362 | L16375 11111* NOTE Mux-34 of block B* 363 | L16380 10111* NOTE Mux-33 of block A* 364 | L16385 11111* NOTE Mux-33 of block B* 365 | L16390 11111* NOTE Mux-32 of block A* 366 | L16395 11111* NOTE Mux-32 of block B* 367 | L16400 01111* NOTE Mux-31 of block A* 368 | L16405 11111* NOTE Mux-31 of block B* 369 | L16410 11111* NOTE Mux-30 of block A* 370 | L16415 11110* NOTE Mux-30 of block B* 371 | L16420 10111* NOTE Mux-29 of block A* 372 | L16425 10111* NOTE Mux-29 of block B* 373 | L16430 11111* NOTE Mux-28 of block A* 374 | L16435 11111* NOTE Mux-28 of block B* 375 | L16440 10111* NOTE Mux-27 of block A* 376 | L16445 11111* NOTE Mux-27 of block B* 377 | L16450 11111* NOTE Mux-26 of block A* 378 | L16455 11111* NOTE Mux-26 of block B* 379 | L16460 10111* NOTE Mux-25 of block A* 380 | L16465 11111* NOTE Mux-25 of block B* 381 | L16470 01111* NOTE Mux-24 of block A* 382 | L16475 11111* NOTE Mux-24 of block B* 383 | L16480 11111* NOTE Mux-23 of block A* 384 | L16485 11111* NOTE Mux-23 of block B* 385 | L16490 11111* NOTE Mux-22 of block A* 386 | L16495 11111* NOTE Mux-22 of block B* 387 | L16500 11111* NOTE Mux-21 of block A* 388 | L16505 11111* NOTE Mux-21 of block B* 389 | L16510 11110* NOTE Mux-20 of block A* 390 | L16515 11110* NOTE Mux-20 of block B* 391 | L16520 10111* NOTE Mux-19 of block A* 392 | L16525 10111* NOTE Mux-19 of block B* 393 | L16530 11110* NOTE Mux-18 of block A* 394 | L16535 11111* NOTE Mux-18 of block B* 395 | L16540 10111* NOTE Mux-17 of block A* 396 | L16545 11111* NOTE Mux-17 of block B* 397 | L16550 10111* NOTE Mux-16 of block A* 398 | L16555 11111* NOTE Mux-16 of block B* 399 | L16560 11011* NOTE Mux-15 of block A* 400 | L16565 10111* NOTE Mux-15 of block B* 401 | L16570 01111* NOTE Mux-14 of block A* 402 | L16575 01111* NOTE Mux-14 of block B* 403 | L16580 11101* NOTE Mux-13 of block A* 404 | L16585 10111* NOTE Mux-13 of block B* 405 | L16590 11111* NOTE Mux-12 of block A* 406 | L16595 11111* NOTE Mux-12 of block B* 407 | L16600 11110* NOTE Mux-11 of block A* 408 | L16605 01111* NOTE Mux-11 of block B* 409 | L16610 10111* NOTE Mux-10 of block A* 410 | L16615 11110* NOTE Mux-10 of block B* 411 | L16620 10111* NOTE Mux-9 of block A* 412 | L16625 10111* NOTE Mux-9 of block B* 413 | L16630 11111* NOTE Mux-8 of block A* 414 | L16635 11110* NOTE Mux-8 of block B* 415 | L16640 11111* NOTE Mux-7 of block A* 416 | L16645 11111* NOTE Mux-7 of block B* 417 | L16650 10111* NOTE Mux-6 of block A* 418 | L16655 10111* NOTE Mux-6 of block B* 419 | L16660 10111* NOTE Mux-5 of block A* 420 | L16665 11011* NOTE Mux-5 of block B* 421 | L16670 11101* NOTE Mux-4 of block A* 422 | L16675 11111* NOTE Mux-4 of block B* 423 | L16680 10111* NOTE Mux-3 of block A* 424 | L16685 10111* NOTE Mux-3 of block B* 425 | L16690 11111* NOTE Mux-2 of block A* 426 | L16695 11111* NOTE Mux-2 of block B* 427 | L16700 11110* NOTE Mux-1 of block A* 428 | L16705 11110* NOTE Mux-1 of block B* 429 | L16710 11111* NOTE Mux-0 of block A* 430 | L16715 10111* NOTE Mux-0 of block B* 431 | 432 | NOTE 6 global OE 433 | 0 0 0* 434 | L16720 11110* NOTE GOE5* 435 | L16725 11101* NOTE GOE4* 436 | L16730 11110* NOTE GOE3* 437 | L16735 11110* NOTE GOE2* 438 | L16740 11101* NOTE GOE1* 439 | L16745 11110* NOTE GOE0* 440 | * 441 | 442 | NOTE device configuration bits* 443 | NOTE 0 0 0 0* 444 | L16750 01110000000011111011000111111111* 445 | 446 | NOTE Special Purpose Bits (JTAG) * 447 | L16782 1111* 448 | 449 | NOTE UES bits* 450 | L16786 1111111111111111* 451 | 452 | NOTE Reserved bits * 453 | L16802 000000* 454 | 455 | CD47E* 456 | 0000 457 | -------------------------------------------------------------------------------- /output_files/snes_dejitter.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/output_files/snes_dejitter.pof -------------------------------------------------------------------------------- /pcb/bom/snes_dejitter.ods: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/pcb/bom/snes_dejitter.ods -------------------------------------------------------------------------------- /pcb/custom_components.dcm: -------------------------------------------------------------------------------- 1 | EESchema-DOCLIB Version 2.0 2 | # 3 | #End Doc Library 4 | -------------------------------------------------------------------------------- /pcb/custom_components.lib: -------------------------------------------------------------------------------- 1 | EESchema-LIBRARY Version 2.3 2 | #encoding utf-8 3 | # 4 | # ATF1502AS-7AX44 5 | # 6 | DEF ATF1502AS-7AX44 U 0 40 Y Y 1 F N 7 | F0 "U" 0 -100 50 H V C CNN 8 | F1 "ATF1502AS-7AX44" 0 100 50 H V C CNN 9 | F2 "MODULE" 0 0 50 H I C CNN 10 | F3 "DOCUMENTATION" 0 0 50 H I C CNN 11 | DRAW 12 | S -800 -800 800 800 1 0 0 N 13 | X TDI 1 -1100 500 300 R 50 50 1 1 I 14 | X IO2 2 -1100 400 300 R 50 50 1 1 B 15 | X IO3 3 -1100 300 300 R 50 50 1 1 B 16 | X GND 4 -1100 200 300 R 50 50 1 1 W 17 | X IO5 5 -1100 100 300 R 50 50 1 1 B 18 | X IO6 6 -1100 0 300 R 50 50 1 1 B 19 | X TMS 7 -1100 -100 300 R 50 50 1 1 I 20 | X IO8 8 -1100 -200 300 R 50 50 1 1 B 21 | X VCC 9 -1100 -300 300 R 50 50 1 1 W 22 | X IO10 10 -1100 -400 300 R 50 50 1 1 B 23 | X IO20 20 300 -1100 300 U 50 50 1 1 B 24 | X IO30 30 1100 200 300 L 50 50 1 1 B 25 | X GCLK2/OE2/I 40 -100 1100 300 D 50 50 1 1 I 26 | X IO11 11 -1100 -500 300 R 50 50 1 1 B 27 | X IO21 21 400 -1100 300 U 50 50 1 1 B 28 | X IO31 31 1100 300 300 L 50 50 1 1 B 29 | X VCC 41 -200 1100 300 D 50 50 1 1 W 30 | X IO12 12 -500 -1100 300 U 50 50 1 1 B 31 | X IO22 22 500 -1100 300 U 50 50 1 1 B 32 | X TDO 32 1100 400 300 L 50 50 1 1 O 33 | X IO42 42 -300 1100 300 D 50 50 1 1 B 34 | X IO13 13 -400 -1100 300 U 50 50 1 1 B 35 | X IO23 23 1100 -500 300 L 50 50 1 1 B 36 | X IO33 33 1100 500 300 L 50 50 1 1 B 37 | X IO43 43 -400 1100 300 D 50 50 1 1 B 38 | X IO14 14 -300 -1100 300 U 50 50 1 1 B 39 | X GND 24 1100 -400 300 L 50 50 1 1 W 40 | X IO34 34 500 1100 300 D 50 50 1 1 B 41 | X IO44 44 -500 1100 300 D 50 50 1 1 B 42 | X IO15 15 -200 -1100 300 U 50 50 1 1 B 43 | X IO25 25 1100 -300 300 L 50 50 1 1 B 44 | X IO35 35 400 1100 300 D 50 50 1 1 B 45 | X GND 16 -100 -1100 300 U 50 50 1 1 W 46 | X TCK 26 1100 -200 300 L 50 50 1 1 I 47 | X GND 36 300 1100 300 D 50 50 1 1 W 48 | X VCC 17 0 -1100 300 U 50 50 1 1 W 49 | X IO27 27 1100 -100 300 L 50 50 1 1 B 50 | X GCLK1/I 37 200 1100 300 D 50 50 1 1 I 51 | X IO18 18 100 -1100 300 U 50 50 1 1 B 52 | X IO28 28 1100 0 300 L 50 50 1 1 B 53 | X OE1/I 38 100 1100 300 D 50 50 1 1 I 54 | X IO19 19 200 -1100 300 U 50 50 1 1 B 55 | X VCC 29 1100 100 300 L 50 50 1 1 W 56 | X GCLR/I 39 0 1100 300 D 50 50 1 1 I 57 | ENDDRAW 58 | ENDDEF 59 | # 60 | # LC4032ZE 61 | # 62 | DEF LC4032ZE U 0 40 Y Y 1 F N 63 | F0 "U" 0 -100 50 H V C CNN 64 | F1 "LC4032ZE" 0 100 50 H V C CNN 65 | F2 "MODULE" 0 0 50 H I C CNN 66 | F3 "DOCUMENTATION" 0 0 50 H I C CNN 67 | DRAW 68 | S -1050 -750 1050 750 1 0 0 N 69 | X TDI 1 -1350 550 300 R 50 50 1 1 I 70 | X A5 2 -1350 450 300 R 50 50 1 1 B 71 | X A6 3 -1350 350 300 R 50 50 1 1 B 72 | X A7 4 -1350 250 300 R 50 50 1 1 B 73 | X GND0 5 -1350 150 300 R 50 50 1 1 W 74 | X VCCO0 6 -1350 50 300 R 50 50 1 1 W 75 | X A8 7 -1350 -50 300 R 50 50 1 1 B 76 | X A9 8 -1350 -150 300 R 50 50 1 1 B 77 | X A10 9 -1350 -250 300 R 50 50 1 1 B 78 | X A11 10 -1350 -350 300 R 50 50 1 1 B 79 | X B0 20 150 -1050 300 U 50 50 1 1 B 80 | X VCCO1 30 1350 -50 300 L 50 50 1 1 W 81 | X B14 40 250 1050 300 D 50 50 1 1 B 82 | X TCK 11 -1350 -450 300 R 50 50 1 1 I 83 | X B1 21 250 -1050 300 U 50 50 1 1 B 84 | X B8 31 1350 50 300 L 50 50 1 1 B 85 | X B15 41 150 1050 300 D 50 50 1 1 B 86 | X VCC 12 -1350 -550 300 R 50 50 1 1 W 87 | X B2 22 350 -1050 300 U 50 50 1 1 B 88 | X B9 32 1350 150 300 L 50 50 1 1 B 89 | X A2 42 -350 1050 300 D 50 50 1 1 B 90 | X CLK3 42 50 1050 300 D 50 50 1 1 I 91 | X GND 13 -550 -1050 300 U 50 50 1 1 W 92 | X B3 23 450 -1050 300 U 50 50 1 1 B 93 | X B10 33 1350 250 300 L 50 50 1 1 B 94 | X A3 43 -450 1050 300 D 50 50 1 1 B 95 | X CLK0 43 -50 1050 300 D 50 50 1 1 I 96 | X A12 14 -450 -1050 300 U 50 50 1 1 B 97 | X B4 24 550 -1050 300 U 50 50 1 1 B 98 | X B11 34 1350 350 300 L 50 50 1 1 B 99 | X A0 44 -150 1050 300 D 50 50 1 1 B 100 | X A4 44 -550 1050 300 D 50 50 1 1 B 101 | X A13 15 -350 -1050 300 U 50 50 1 1 B 102 | X TMS 25 1350 -550 300 L 50 50 1 1 I 103 | X TDO 35 1350 450 300 L 50 50 1 1 O 104 | X A1 45 -250 1050 300 D 50 50 1 1 B 105 | X A14 16 -250 -1050 300 U 50 50 1 1 B 106 | X B5 26 1350 -450 300 L 50 50 1 1 B 107 | X VCC 36 1350 550 300 L 50 50 1 1 W 108 | X A15 17 -150 -1050 300 U 50 50 1 1 B 109 | X B6 27 1350 -350 300 L 50 50 1 1 B 110 | X GND 37 550 1050 300 D 50 50 1 1 W 111 | X CLK1 18 -50 -1050 300 U 50 50 1 1 I 112 | X B7 28 1350 -250 300 L 50 50 1 1 B 113 | X B12 38 450 1050 300 D 50 50 1 1 B 114 | X CLK2 19 50 -1050 300 U 50 50 1 1 I 115 | X GND1 29 1350 -150 300 L 50 50 1 1 W 116 | X B13 39 350 1050 300 D 50 50 1 1 B 117 | ENDDRAW 118 | ENDDEF 119 | # 120 | #End Library 121 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/JTAG_Header_Angled_1x06_Pitch2.54mm.kicad_mod: -------------------------------------------------------------------------------- 1 | (module JTAG_Header_Angled_1x06_Pitch2.54mm (layer F.Cu) (tedit 5A64B2DE) 2 | (descr "Through hole angled pin header, 1x06, 2.54mm pitch, 6mm pin length, single row") 3 | (tags "Through hole angled pin header THT 1x06 2.54mm single row") 4 | (fp_text reference REF** (at 4.385 -2.27) (layer F.SilkS) 5 | (effects (font (size 1 1) (thickness 0.15))) 6 | ) 7 | (fp_text value JTAG_Header_Angled_1x06_Pitch2.54mm (at 4.385 14.97) (layer F.Fab) 8 | (effects (font (size 1 1) (thickness 0.15))) 9 | ) 10 | (fp_text user TDO (at -1.22174 12.7254 90) (layer F.SilkS) 11 | (effects (font (size 0.508 0.4572) (thickness 0.1143))) 12 | ) 13 | (fp_text user TDI (at -1.2192 10.19302 90) (layer F.SilkS) 14 | (effects (font (size 0.508 0.4572) (thickness 0.1143))) 15 | ) 16 | (fp_text user TMS (at -1.22174 7.6454 90) (layer F.SilkS) 17 | (effects (font (size 0.508 0.4572) (thickness 0.1143))) 18 | ) 19 | (fp_text user TCK (at -1.22174 5.13588 90) (layer F.SilkS) 20 | (effects (font (size 0.508 0.4572) (thickness 0.1143))) 21 | ) 22 | (fp_text user GND (at -1.22174 2.57556 90) (layer F.SilkS) 23 | (effects (font (size 0.508 0.4572) (thickness 0.1143))) 24 | ) 25 | (fp_text user 5V (at -1.22936 0.57912 90) (layer F.SilkS) 26 | (effects (font (size 0.508 0.4572) (thickness 0.1143))) 27 | ) 28 | (fp_line (start 1.44 -1.33) (end 1.44 14.03) (layer F.SilkS) (width 0.12)) 29 | (fp_line (start 1.44 14.03) (end 10.1 14.03) (layer F.SilkS) (width 0.12)) 30 | (fp_line (start 4.1 14.03) (end 4.1 -1.33) (layer F.SilkS) (width 0.12)) 31 | (fp_line (start 10.1 -1.33) (end 1.44 -1.33) (layer F.SilkS) (width 0.12)) 32 | (fp_line (start 10.1 -1.33) (end 10.1 14.03) (layer F.SilkS) (width 0.12)) 33 | (fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer F.SilkS) (width 0.12)) 34 | (fp_line (start 1.11 0.38) (end 1.44 0.38) (layer F.SilkS) (width 0.12)) 35 | (fp_line (start 1.44 1.27) (end 4.1 1.27) (layer F.SilkS) (width 0.12)) 36 | (fp_line (start 1.042929 2.16) (end 1.44 2.16) (layer F.SilkS) (width 0.12)) 37 | (fp_line (start 1.042929 2.92) (end 1.44 2.92) (layer F.SilkS) (width 0.12)) 38 | (fp_line (start 1.44 3.81) (end 4.1 3.81) (layer F.SilkS) (width 0.12)) 39 | (fp_line (start 1.042929 4.7) (end 1.44 4.7) (layer F.SilkS) (width 0.12)) 40 | (fp_line (start 1.042929 5.46) (end 1.44 5.46) (layer F.SilkS) (width 0.12)) 41 | (fp_line (start 1.44 6.35) (end 4.1 6.35) (layer F.SilkS) (width 0.12)) 42 | (fp_line (start 1.042929 7.24) (end 1.44 7.24) (layer F.SilkS) (width 0.12)) 43 | (fp_line (start 1.042929 8) (end 1.44 8) (layer F.SilkS) (width 0.12)) 44 | (fp_line (start 1.44 8.89) (end 4.1 8.89) (layer F.SilkS) (width 0.12)) 45 | (fp_line (start 1.042929 9.78) (end 1.44 9.78) (layer F.SilkS) (width 0.12)) 46 | (fp_line (start 1.042929 10.54) (end 1.44 10.54) (layer F.SilkS) (width 0.12)) 47 | (fp_line (start 1.44 11.43) (end 4.1 11.43) (layer F.SilkS) (width 0.12)) 48 | (fp_line (start 1.042929 12.32) (end 1.44 12.32) (layer F.SilkS) (width 0.12)) 49 | (fp_line (start 1.042929 13.08) (end 1.44 13.08) (layer F.SilkS) (width 0.12)) 50 | (fp_line (start -1.27 0) (end -1.27 -1.27) (layer F.SilkS) (width 0.12)) 51 | (fp_line (start -1.27 -1.27) (end 0 -1.27) (layer F.SilkS) (width 0.12)) 52 | (fp_line (start -1.8 -1.8) (end -1.8 14.5) (layer F.CrtYd) (width 0.05)) 53 | (fp_line (start -1.8 14.5) (end 10.55 14.5) (layer F.CrtYd) (width 0.05)) 54 | (fp_line (start 10.55 14.5) (end 10.55 -1.8) (layer F.CrtYd) (width 0.05)) 55 | (fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) 56 | (fp_text user %R (at 2.77 6.35 90) (layer F.Fab) 57 | (effects (font (size 1 1) (thickness 0.15))) 58 | ) 59 | (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) 60 | (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) 61 | (pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) 62 | (pad 4 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) 63 | (pad 5 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) 64 | (pad 6 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) 65 | (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Angled_1x06_Pitch2.54mm.wrl 66 | (at (xyz 0 0 0)) 67 | (scale (xyz 1 1 1)) 68 | (rotate (xyz 0 0 0)) 69 | ) 70 | ) 71 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SM0603_Capa_libcms.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SM0603_Capa_libcms (layer F.Cu) (tedit 569D6DAF) 2 | (attr smd) 3 | (fp_text reference REF** (at -1.8288 0 90) (layer F.SilkS) 4 | (effects (font (size 0.5842 0.508) (thickness 0.127))) 5 | ) 6 | (fp_text value VAL** (at 0 0 90) (layer F.SilkS) 7 | (effects (font (size 0.508 0.4572) (thickness 0.1143))) 8 | ) 9 | (fp_line (start 0.50038 0.78486) (end 1.2827 0.78486) (layer F.SilkS) (width 0.11938)) 10 | (fp_line (start -0.50038 0.78486) (end -1.2827 0.78486) (layer F.SilkS) (width 0.11938)) 11 | (fp_line (start 0.50038 -0.78486) (end 1.2827 -0.78486) (layer F.SilkS) (width 0.11938)) 12 | (fp_line (start -1.2827 -0.78486) (end -0.50038 -0.78486) (layer F.SilkS) (width 0.11938)) 13 | (fp_line (start 1.29032 -0.762) (end 1.29032 0.762) (layer F.SilkS) (width 0.11938)) 14 | (fp_line (start -1.29032 0.762) (end -1.29032 -0.762) (layer F.SilkS) (width 0.11938)) 15 | (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)) 16 | (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)) 17 | (model smd\capacitors\C0603.wrl 18 | (at (xyz 0 0 0.001)) 19 | (scale (xyz 0.5 0.5 0.5)) 20 | (rotate (xyz 0 0 0)) 21 | ) 22 | ) 23 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SM0603_Resistor_libcms.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SM0603_Resistor_libcms (layer F.Cu) (tedit 569D6DC4) 2 | (attr smd) 3 | (fp_text reference REF** (at -1.8288 0 90) (layer F.SilkS) 4 | (effects (font (size 0.5842 0.508) (thickness 0.127))) 5 | ) 6 | (fp_text value VAL** (at 0 0 90) (layer F.SilkS) 7 | (effects (font (size 0.508 0.4572) (thickness 0.1143))) 8 | ) 9 | (fp_line (start 0.50038 0.78486) (end 1.2827 0.78486) (layer F.SilkS) (width 0.11938)) 10 | (fp_line (start -0.50038 0.78486) (end -1.2827 0.78486) (layer F.SilkS) (width 0.11938)) 11 | (fp_line (start 0.50038 -0.78486) (end 1.2827 -0.78486) (layer F.SilkS) (width 0.11938)) 12 | (fp_line (start -1.2827 -0.78486) (end -0.50038 -0.78486) (layer F.SilkS) (width 0.11938)) 13 | (fp_line (start 1.29032 -0.762) (end 1.29032 0.762) (layer F.SilkS) (width 0.11938)) 14 | (fp_line (start -1.29032 0.762) (end -1.29032 -0.762) (layer F.SilkS) (width 0.11938)) 15 | (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)) 16 | (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask)) 17 | (model smd\capacitors\C0603.wrl 18 | (at (xyz 0 0 0.001)) 19 | (scale (xyz 0.5 0.5 0.5)) 20 | (rotate (xyz 0 0 0)) 21 | ) 22 | ) 23 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SM0805_libcms.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SM0805_libcms (layer F.Cu) (tedit 569D6D67) 2 | (attr smd) 3 | (fp_text reference REF** (at -2.159 0 90) (layer F.SilkS) 4 | (effects (font (size 0.5842 0.508) (thickness 0.127))) 5 | ) 6 | (fp_text value VAL** (at 0 0 90) (layer F.SilkS) 7 | (effects (font (size 0.5842 0.508) (thickness 0.127))) 8 | ) 9 | (fp_circle (center -1.56464 1.02362) (end -1.56464 0.89662) (layer F.SilkS) (width 0.09906)) 10 | (fp_line (start -0.508 0.89916) (end -1.5875 0.89916) (layer F.SilkS) (width 0.09906)) 11 | (fp_line (start -1.59766 0.889) (end -1.59766 -0.889) (layer F.SilkS) (width 0.09906)) 12 | (fp_line (start -1.5875 -0.89916) (end -0.508 -0.89916) (layer F.SilkS) (width 0.09906)) 13 | (fp_line (start 0.508 -0.89916) (end 1.5875 -0.89916) (layer F.SilkS) (width 0.09906)) 14 | (fp_line (start 1.59766 -0.889) (end 1.59766 0.889) (layer F.SilkS) (width 0.09906)) 15 | (fp_line (start 1.5875 0.89916) (end 0.508 0.89916) (layer F.SilkS) (width 0.09906)) 16 | (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397) (layers F.Cu F.Paste F.Mask)) 17 | (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397) (layers F.Cu F.Paste F.Mask)) 18 | (model smd/chip_cms.wrl 19 | (at (xyz 0 0 0)) 20 | (scale (xyz 0.1 0.1 0.1)) 21 | (rotate (xyz 0 0 0)) 22 | ) 23 | ) 24 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SMD_JUMPER.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SMD_JUMPER (layer F.Cu) (tedit 5A3EED71) 2 | (attr smd) 3 | (fp_text reference REF** (at -1.8288 0 90) (layer F.SilkS) 4 | (effects (font (size 0.5842 0.508) (thickness 0.127))) 5 | ) 6 | (fp_text value VAL** (at 0 0 90) (layer F.SilkS) 7 | (effects (font (size 0.508 0.4572) (thickness 0.1143))) 8 | ) 9 | (fp_line (start 0.65 0.65) (end 1.3 0.65) (layer F.SilkS) (width 0.11938)) 10 | (fp_line (start -0.65 0.65) (end -1.3 0.65) (layer F.SilkS) (width 0.11938)) 11 | (fp_line (start 0.65 -0.65) (end 1.3 -0.65) (layer F.SilkS) (width 0.11938)) 12 | (fp_line (start -1.3 -0.65) (end -0.65 -0.65) (layer F.SilkS) (width 0.11938)) 13 | (fp_line (start 1.3 -0.65) (end 1.3 0.65) (layer F.SilkS) (width 0.11938)) 14 | (fp_line (start -1.3 0.65) (end -1.3 -0.65) (layer F.SilkS) (width 0.11938)) 15 | (pad 1 smd rect (at -0.65 0) (size 1 1) (layers F.Cu F.Mask)) 16 | (pad 2 smd rect (at 0.65 0) (size 1 1) (layers F.Cu F.Mask)) 17 | (model smd\capacitors\C0603.wrl 18 | (at (xyz 0 0 0.001)) 19 | (scale (xyz 0.5 0.5 0.5)) 20 | (rotate (xyz 0 0 0)) 21 | ) 22 | ) 23 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SMD_PAD.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SMD_PAD (layer F.Cu) (tedit 5A3D2D05) 2 | (fp_text reference REF** (at -3.81254 0) (layer F.SilkS) hide 3 | (effects (font (size 1 1) (thickness 0.15))) 4 | ) 5 | (fp_text value VAL** (at 2.5 0) (layer F.SilkS) 6 | (effects (font (size 0.5842 0.508) (thickness 0.127))) 7 | ) 8 | (pad 1 smd rect (at 0 0) (size 2.5 1.25) (layers F.Cu F.Mask)) 9 | ) 10 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SOD-323_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SOD-323_Handsoldering (layer F.Cu) (tedit 55F1B69A) 2 | (descr SOD-323) 3 | (tags SOD-323) 4 | (attr smd) 5 | (fp_text reference REF** (at 0 -1.85) (layer F.SilkS) 6 | (effects (font (size 1 1) (thickness 0.15))) 7 | ) 8 | (fp_text value SOD-323_Handsoldering (at 0.1 1.9) (layer F.Fab) 9 | (effects (font (size 1 1) (thickness 0.15))) 10 | ) 11 | (fp_line (start 0.25 0) (end 0.5 0) (layer F.SilkS) (width 0.15)) 12 | (fp_line (start -0.25 0) (end -0.5 0) (layer F.SilkS) (width 0.15)) 13 | (fp_line (start -0.25 0) (end 0.25 -0.35) (layer F.SilkS) (width 0.15)) 14 | (fp_line (start 0.25 -0.35) (end 0.25 0.35) (layer F.SilkS) (width 0.15)) 15 | (fp_line (start 0.25 0.35) (end -0.25 0) (layer F.SilkS) (width 0.15)) 16 | (fp_line (start -0.25 -0.35) (end -0.25 0.35) (layer F.SilkS) (width 0.15)) 17 | (fp_line (start -1.5 -0.95) (end 1.5 -0.95) (layer F.CrtYd) (width 0.05)) 18 | (fp_line (start 1.5 -0.95) (end 1.5 0.95) (layer F.CrtYd) (width 0.05)) 19 | (fp_line (start -1.5 0.95) (end 1.5 0.95) (layer F.CrtYd) (width 0.05)) 20 | (fp_line (start -1.5 -0.95) (end -1.5 0.95) (layer F.CrtYd) (width 0.05)) 21 | (fp_line (start -1.3 0.8) (end 1.1 0.8) (layer F.SilkS) (width 0.15)) 22 | (fp_line (start -1.3 -0.8) (end 1.1 -0.8) (layer F.SilkS) (width 0.15)) 23 | (pad 1 smd rect (at -1.4 0) (size 1.4 0.45) (layers F.Cu F.Paste F.Mask)) 24 | (pad 2 smd rect (at 1.4 0) (size 1.4 0.45) (layers F.Cu F.Paste F.Mask)) 25 | ) 26 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SOT-223_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SOT-223_Handsoldering (layer F.Cu) (tedit 56473282) 2 | (descr "SOT-23, Standard") 3 | (tags SOT-23) 4 | (attr smd) 5 | (fp_text reference REF** (at 4.0894 -2.62636) (layer F.SilkS) 6 | (effects (font (size 1 1) (thickness 0.15))) 7 | ) 8 | (fp_text value SOT-223 (at -0.00254 0.28956) (layer F.Fab) 9 | (effects (font (size 1 1) (thickness 0.15))) 10 | ) 11 | (fp_line (start -3.25 -1.75) (end 3.25 -1.75) (layer F.CrtYd) (width 0.05)) 12 | (fp_line (start 3.25 -1.75) (end 3.25 1.75) (layer F.CrtYd) (width 0.05)) 13 | (fp_line (start 3.25 1.75) (end -3.25 1.75) (layer F.CrtYd) (width 0.05)) 14 | (fp_line (start -3.25 1.75) (end -3.25 -1.75) (layer F.CrtYd) (width 0.05)) 15 | (fp_line (start 3.11526 -1.82118) (end 3.067 -1.82118) (layer F.SilkS) (width 0.15)) 16 | (fp_line (start -3.321 -1.1303) (end -3.321 -1.83134) (layer F.SilkS) (width 0.15)) 17 | (fp_line (start -3.321 -1.83134) (end -3.07208 -1.83134) (layer F.SilkS) (width 0.15)) 18 | (fp_line (start 3.11526 -1.82118) (end 3.31592 -1.82118) (layer F.SilkS) (width 0.15)) 19 | (fp_line (start 3.31592 -1.82118) (end 3.31592 -1.12014) (layer F.SilkS) (width 0.15)) 20 | (pad 2 smd rect (at 0 3.6) (size 1.2 2.4) (layers F.Cu F.Paste F.Mask)) 21 | (pad 1 smd rect (at -2.3 3.6) (size 1.2 2.4) (layers F.Cu F.Paste F.Mask)) 22 | (pad 3 smd rect (at 2.3 3.6) (size 1.2 2.4) (layers F.Cu F.Paste F.Mask)) 23 | (pad 2 smd rect (at 0 -3.6) (size 3.3 2.4) (layers F.Cu F.Paste F.Mask)) 24 | (model Housings_SOT-23_SOT-143_TSOT-6.3dshapes/SOT-23.wrl 25 | (at (xyz 0 0 0)) 26 | (scale (xyz 1 1 1)) 27 | (rotate (xyz 0 0 0)) 28 | ) 29 | ) 30 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SOT-23-5_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SOT-23-5_Handsoldering (layer F.Cu) (tedit 55A16859) 2 | (descr "5-pin SOT23 package") 3 | (tags SOT-23-5) 4 | (attr smd) 5 | (fp_text reference REF** (at -2.55 0.05 90) (layer F.SilkS) 6 | (effects (font (size 1 1) (thickness 0.15))) 7 | ) 8 | (fp_text value SOT-23-5_Handsoldering (at 2.35 0.05 90) (layer F.Fab) 9 | (effects (font (size 1 1) (thickness 0.15))) 10 | ) 11 | (fp_line (start -1.6 2.8) (end -1.6 -2.8) (layer F.CrtYd) (width 0.05)) 12 | (fp_line (start -1.6 -2.8) (end 1.6 -2.8) (layer F.CrtYd) (width 0.05)) 13 | (fp_line (start 1.6 -2.8) (end 1.6 2.8) (layer F.CrtYd) (width 0.05)) 14 | (fp_line (start 1.6 2.8) (end -1.6 2.8) (layer F.CrtYd) (width 0.05)) 15 | (fp_circle (center -1.7 0.3) (end -1.7 0.2) (layer F.SilkS) (width 0.15)) 16 | (fp_line (start -1.45 -0.25) (end -1.45 0.25) (layer F.SilkS) (width 0.15)) 17 | (fp_line (start 1.45 -0.25) (end -1.45 -0.25) (layer F.SilkS) (width 0.15)) 18 | (fp_line (start 1.45 0.25) (end 1.45 -0.25) (layer F.SilkS) (width 0.15)) 19 | (fp_line (start -1.45 0.25) (end 1.45 0.25) (layer F.SilkS) (width 0.15)) 20 | (pad 1 smd rect (at -0.95 1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 21 | (pad 2 smd rect (at 0 1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 22 | (pad 3 smd rect (at 0.95 1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 23 | (pad 4 smd rect (at 0.95 -1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 24 | (pad 5 smd rect (at -0.95 -1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 25 | (model Housings_SOT-23_SOT-143_TSOT-6.3dshapes/SOT-23-5.wrl 26 | (at (xyz 0 0 0)) 27 | (scale (xyz 0.11 0.11 0.11)) 28 | (rotate (xyz 0 0 90)) 29 | ) 30 | ) 31 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/SOT-23-6_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- 1 | (module SOT-23-6_Handsoldering (layer F.Cu) (tedit 55EB49BE) 2 | (descr "5-pin SOT23 package") 3 | (tags SOT-23-5) 4 | (attr smd) 5 | (fp_text reference REF** (at -2.55 0.05 90) (layer F.SilkS) 6 | (effects (font (size 1 1) (thickness 0.15))) 7 | ) 8 | (fp_text value SOT-23-6_Handsoldering (at 2.35 0.05 90) (layer F.Fab) 9 | (effects (font (size 1 1) (thickness 0.15))) 10 | ) 11 | (fp_line (start -1.6 2.8) (end -1.6 -2.8) (layer F.CrtYd) (width 0.05)) 12 | (fp_line (start -1.6 -2.8) (end 1.6 -2.8) (layer F.CrtYd) (width 0.05)) 13 | (fp_line (start 1.6 -2.8) (end 1.6 2.8) (layer F.CrtYd) (width 0.05)) 14 | (fp_line (start 1.6 2.8) (end -1.6 2.8) (layer F.CrtYd) (width 0.05)) 15 | (fp_circle (center -1.7 0.3) (end -1.7 0.2) (layer F.SilkS) (width 0.15)) 16 | (fp_line (start -1.45 -0.25) (end -1.45 0.25) (layer F.SilkS) (width 0.15)) 17 | (fp_line (start 1.45 -0.25) (end -1.45 -0.25) (layer F.SilkS) (width 0.15)) 18 | (fp_line (start 1.45 0.25) (end 1.45 -0.25) (layer F.SilkS) (width 0.15)) 19 | (fp_line (start -1.45 0.25) (end 1.45 0.25) (layer F.SilkS) (width 0.15)) 20 | (pad 5 smd rect (at 0 -1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 21 | (pad 1 smd rect (at -0.95 1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 22 | (pad 2 smd rect (at 0 1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 23 | (pad 3 smd rect (at 0.95 1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 24 | (pad 4 smd rect (at 0.95 -1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 25 | (pad 6 smd rect (at -0.95 -1.65 90) (size 1.56 0.65) (layers F.Cu F.Paste F.Mask)) 26 | (model Housings_SOT-23_SOT-143_TSOT-6.3dshapes/SOT-23-5.wrl 27 | (at (xyz 0 0 0)) 28 | (scale (xyz 0.11 0.11 0.11)) 29 | (rotate (xyz 0 0 90)) 30 | ) 31 | ) 32 | -------------------------------------------------------------------------------- /pcb/custom_components.pretty/TQFP-44_10x10mm_Pitch0.8mm_Handsoldering.kicad_mod: -------------------------------------------------------------------------------- 1 | (module TQFP-44_10x10mm_Pitch0.8mm_Handsoldering (layer F.Cu) (tedit 5A3EEDD6) 2 | (descr "44-Lead Plastic Thin Quad Flatpack (PT) - 10x10x1.0 mm Body [TQFP] (see Microchip Packaging Specification 00000049BS.pdf)") 3 | (tags "QFP 0.8") 4 | (attr smd) 5 | (fp_text reference REF** (at 0 -7.45) (layer F.SilkS) 6 | (effects (font (size 1 1) (thickness 0.15))) 7 | ) 8 | (fp_text value TQFP-44_10x10mm_Pitch0.8mm (at 0 7.45) (layer F.Fab) 9 | (effects (font (size 1 1) (thickness 0.15))) 10 | ) 11 | (fp_circle (center -3.96494 -3.87858) (end -4.42976 -3.75666) (layer F.SilkS) (width 0.15)) 12 | (fp_text user %R (at 0 0) (layer F.Fab) 13 | (effects (font (size 1 1) (thickness 0.15))) 14 | ) 15 | (fp_line (start -4 -5) (end 5 -5) (layer F.Fab) (width 0.15)) 16 | (fp_line (start 5 -5) (end 5 5) (layer F.Fab) (width 0.15)) 17 | (fp_line (start 5 5) (end -5 5) (layer F.Fab) (width 0.15)) 18 | (fp_line (start -5 5) (end -5 -4) (layer F.Fab) (width 0.15)) 19 | (fp_line (start -5 -4) (end -4 -5) (layer F.Fab) (width 0.15)) 20 | (fp_line (start -6.7 -6.7) (end -6.7 6.7) (layer F.CrtYd) (width 0.05)) 21 | (fp_line (start 6.7 -6.7) (end 6.7 6.7) (layer F.CrtYd) (width 0.05)) 22 | (fp_line (start -6.7 -6.7) (end 6.7 -6.7) (layer F.CrtYd) (width 0.05)) 23 | (fp_line (start -6.7 6.7) (end 6.7 6.7) (layer F.CrtYd) (width 0.05)) 24 | (fp_line (start -5.175 -5.175) (end -5.175 -4.6) (layer F.SilkS) (width 0.15)) 25 | (fp_line (start 5.175 -5.175) (end 5.175 -4.5) (layer F.SilkS) (width 0.15)) 26 | (fp_line (start 5.175 5.175) (end 5.175 4.5) (layer F.SilkS) (width 0.15)) 27 | (fp_line (start -5.175 5.175) (end -5.175 4.5) (layer F.SilkS) (width 0.15)) 28 | (fp_line (start -5.175 -5.175) (end -4.5 -5.175) (layer F.SilkS) (width 0.15)) 29 | (fp_line (start -5.175 5.175) (end -4.5 5.175) (layer F.SilkS) (width 0.15)) 30 | (fp_line (start 5.175 5.175) (end 4.5 5.175) (layer F.SilkS) (width 0.15)) 31 | (fp_line (start 5.175 -5.175) (end 4.5 -5.175) (layer F.SilkS) (width 0.15)) 32 | (fp_line (start -5.175 -4.6) (end -6.45 -4.6) (layer F.SilkS) (width 0.15)) 33 | (pad 1 smd rect (at -5.8 -4) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 34 | (pad 2 smd rect (at -5.8 -3.2) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 35 | (pad 3 smd rect (at -5.8 -2.4) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 36 | (pad 4 smd rect (at -5.8 -1.6) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 37 | (pad 5 smd rect (at -5.8 -0.8) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 38 | (pad 6 smd rect (at -5.8 0) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 39 | (pad 7 smd rect (at -5.8 0.8) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 40 | (pad 8 smd rect (at -5.8 1.6) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 41 | (pad 9 smd rect (at -5.8 2.4) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 42 | (pad 10 smd rect (at -5.8 3.2) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 43 | (pad 11 smd rect (at -5.8 4) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 44 | (pad 12 smd rect (at -4 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 45 | (pad 13 smd rect (at -3.2 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 46 | (pad 14 smd rect (at -2.4 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 47 | (pad 15 smd rect (at -1.6 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 48 | (pad 16 smd rect (at -0.8 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 49 | (pad 17 smd rect (at 0 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 50 | (pad 18 smd rect (at 0.8 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 51 | (pad 19 smd rect (at 1.6 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 52 | (pad 20 smd rect (at 2.4 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 53 | (pad 21 smd rect (at 3.2 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 54 | (pad 22 smd rect (at 4 5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 55 | (pad 23 smd rect (at 5.8 4) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 56 | (pad 24 smd rect (at 5.8 3.2) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 57 | (pad 25 smd rect (at 5.8 2.4) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 58 | (pad 26 smd rect (at 5.8 1.6) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 59 | (pad 27 smd rect (at 5.8 0.8) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 60 | (pad 28 smd rect (at 5.8 0) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 61 | (pad 29 smd rect (at 5.8 -0.8) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 62 | (pad 30 smd rect (at 5.8 -1.6) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 63 | (pad 31 smd rect (at 5.8 -2.4) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 64 | (pad 32 smd rect (at 5.8 -3.2) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 65 | (pad 33 smd rect (at 5.8 -4) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 66 | (pad 34 smd rect (at 4 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 67 | (pad 35 smd rect (at 3.2 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 68 | (pad 36 smd rect (at 2.4 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 69 | (pad 37 smd rect (at 1.6 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 70 | (pad 38 smd rect (at 0.8 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 71 | (pad 39 smd rect (at 0 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 72 | (pad 40 smd rect (at -0.8 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 73 | (pad 41 smd rect (at -1.6 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 74 | (pad 42 smd rect (at -2.4 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 75 | (pad 43 smd rect (at -3.2 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 76 | (pad 44 smd rect (at -4 -5.8 90) (size 1.7 0.55) (layers F.Cu F.Paste F.Mask)) 77 | (model ${KISYS3DMOD}/Housings_QFP.3dshapes/TQFP-44_10x10mm_Pitch0.8mm.wrl 78 | (at (xyz 0 0 0)) 79 | (scale (xyz 1 1 1)) 80 | (rotate (xyz 0 0 0)) 81 | ) 82 | ) 83 | -------------------------------------------------------------------------------- /pcb/fp-lib-table: -------------------------------------------------------------------------------- 1 | (fp_lib_table 2 | (lib (name custom_components)(type KiCad)(uri "$(KIPRJMOD)/custom_components.pretty")(options "")(descr "")) 3 | ) 4 | -------------------------------------------------------------------------------- /pcb/gerber/snes_dejitter-B.Cu.gbl: -------------------------------------------------------------------------------- 1 | G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3* 2 | G04 #@! TF.CreationDate,2018-08-01T23:56:54+03:00* 3 | G04 #@! TF.ProjectId,snes_dejitter,736E65735F64656A69747465722E6B69,rev?* 4 | G04 #@! TF.SameCoordinates,Original* 5 | G04 #@! TF.FileFunction,Copper,L2,Bot,Signal* 6 | G04 #@! TF.FilePolarity,Positive* 7 | %FSLAX46Y46*% 8 | G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* 9 | G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Wed Aug 1 23:56:54 2018* 10 | %MOMM*% 11 | %LPD*% 12 | G01* 13 | G04 APERTURE LIST* 14 | G04 #@! TA.AperFunction,ComponentPad* 15 | %ADD10C,1.500000*% 16 | G04 #@! TD* 17 | G04 #@! TA.AperFunction,ComponentPad* 18 | %ADD11R,1.700000X1.700000*% 19 | G04 #@! TD* 20 | G04 #@! TA.AperFunction,ComponentPad* 21 | %ADD12O,1.700000X1.700000*% 22 | G04 #@! TD* 23 | G04 #@! TA.AperFunction,ViaPad* 24 | %ADD13C,0.800000*% 25 | G04 #@! TD* 26 | G04 #@! TA.AperFunction,Conductor* 27 | %ADD14C,0.500000*% 28 | G04 #@! TD* 29 | G04 #@! TA.AperFunction,Conductor* 30 | %ADD15C,0.250000*% 31 | G04 #@! TD* 32 | G04 #@! TA.AperFunction,Conductor* 33 | %ADD16C,0.200000*% 34 | G04 #@! TD* 35 | G04 APERTURE END LIST* 36 | D10* 37 | G04 #@! TO.P,Y1,1* 38 | G04 #@! TO.N,Net-(C5-Pad1)* 39 | X19030000Y-24680000D03* 40 | G04 #@! TO.P,Y1,2* 41 | G04 #@! TO.N,Net-(C6-Pad1)* 42 | X19030000Y-19800000D03* 43 | G04 #@! TD* 44 | D11* 45 | G04 #@! TO.P,J1,1* 46 | G04 #@! TO.N,/DVDD5V* 47 | X42350000Y-23690000D03* 48 | D12* 49 | G04 #@! TO.P,J1,2* 50 | G04 #@! TO.N,GND* 51 | X44890000Y-23690000D03* 52 | G04 #@! TO.P,J1,3* 53 | G04 #@! TO.N,/TCK* 54 | X47430000Y-23690000D03* 55 | G04 #@! TO.P,J1,4* 56 | G04 #@! TO.N,/TMS* 57 | X49970000Y-23690000D03* 58 | G04 #@! TO.P,J1,5* 59 | G04 #@! TO.N,/TDI* 60 | X52510000Y-23690000D03* 61 | G04 #@! TO.P,J1,6* 62 | G04 #@! TO.N,Net-(J1-Pad6)* 63 | X55050000Y-23690000D03* 64 | G04 #@! TD* 65 | D13* 66 | G04 #@! TO.N,/DVDD5V* 67 | X25590000Y-32540000D03* 68 | X28530000Y-28930000D03* 69 | X26800000Y-21560000D03* 70 | X31870000Y-23200000D03* 71 | X36270000Y-26790000D03* 72 | X34330000Y-31410000D03* 73 | G04 #@! TO.N,GND* 74 | X56330000Y-35990000D03* 75 | X44400000Y-27640000D03* 76 | X43880000Y-35590000D03* 77 | X45690000Y-35520000D03* 78 | X47370000Y-35510000D03* 79 | X54490000Y-25360000D03* 80 | X52340000Y-25510000D03* 81 | X50110000Y-30430000D03* 82 | X51780000Y-30430000D03* 83 | X53290000Y-30370000D03* 84 | X19130000Y-28750000D03* 85 | X36060000Y-24890000D03* 86 | X36020000Y-23450000D03* 87 | X34070000Y-23410000D03* 88 | X33320000Y-26120000D03* 89 | X34440000Y-27080000D03* 90 | X36370000Y-28560000D03* 91 | X36440000Y-30100000D03* 92 | X28830000Y-24280000D03* 93 | X30710000Y-25110000D03* 94 | X31830000Y-27580000D03* 95 | X30720000Y-29910000D03* 96 | X29020000Y-15910000D03* 97 | X23160000Y-17650000D03* 98 | X17560000Y-34750000D03* 99 | X19310000Y-34790000D03* 100 | X19300000Y-32870000D03* 101 | X17550000Y-32890000D03* 102 | X19270000Y-31180000D03* 103 | X17590000Y-31110000D03* 104 | X17070000Y-14840000D03* 105 | X14910000Y-17620000D03* 106 | X15950000Y-18570000D03* 107 | X16010000Y-21430000D03* 108 | X16000000Y-23120000D03* 109 | X16160000Y-26510000D03* 110 | X39990000Y-28150000D03* 111 | X40140000Y-30790000D03* 112 | X35407347Y-15815000D03* 113 | X36020000Y-18850000D03* 114 | X22660000Y-32240000D03* 115 | G04 #@! TO.N,/TMS* 116 | X34470000Y-35810000D03* 117 | G04 #@! TO.N,/TDI* 118 | X48610000Y-25110000D03* 119 | X27030000Y-35130000D03* 120 | G04 #@! TD* 121 | D14* 122 | G04 #@! TO.N,/DVDD5V* 123 | X31870000Y-25590000D02* 124 | X31870000Y-23200000D01* 125 | X28530000Y-28930000D02* 126 | X31870000Y-25590000D01* 127 | X26800000Y-21560000D02* 128 | X28440000Y-23200000D01* 129 | X28440000Y-23200000D02* 130 | X31870000Y-23200000D01* 131 | D15* 132 | X36270000Y-26790000D02* 133 | X35780000Y-26790000D01* 134 | X32190000Y-23200000D02* 135 | X31870000Y-23200000D01* 136 | D14* 137 | X35780000Y-26790000D02* 138 | X32190000Y-23200000D01* 139 | X34330000Y-31410000D02* 140 | X34330000Y-28730000D01* 141 | X34330000Y-28730000D02* 142 | X36270000Y-26790000D01* 143 | X39370000Y-23690000D02* 144 | X42350000Y-23690000D01* 145 | X36270000Y-26790000D02* 146 | X39370000Y-23690000D01* 147 | X25590000Y-32540000D02* 148 | X26720000Y-31410000D01* 149 | X26720000Y-31410000D02* 150 | X34330000Y-31410000D01* 151 | D15* 152 | G04 #@! TO.N,GND* 153 | X44400000Y-27640000D02* 154 | X44330000Y-27570000D01* 155 | X44060000Y-27570000D02* 156 | X44330000Y-27570000D01* 157 | X43860000Y-35570000D02* 158 | X43830000Y-35570000D01* 159 | X43880000Y-35590000D02* 160 | X43860000Y-35570000D01* 161 | X47360000Y-35520000D02* 162 | X45690000Y-35520000D01* 163 | X47370000Y-35510000D02* 164 | X47360000Y-35520000D01* 165 | X52340000Y-28200000D02* 166 | X52340000Y-25510000D01* 167 | X50110000Y-30430000D02* 168 | X52340000Y-28200000D01* 169 | X53230000Y-30430000D02* 170 | X51780000Y-30430000D01* 171 | X53290000Y-30370000D02* 172 | X53230000Y-30430000D01* 173 | X16160000Y-26620000D02* 174 | X17000000Y-26620000D01* 175 | X17000000Y-26620000D02* 176 | X19130000Y-28750000D01* 177 | X34110000Y-23450000D02* 178 | X36020000Y-23450000D01* 179 | X34070000Y-23410000D02* 180 | X34110000Y-23450000D01* 181 | X33480000Y-26120000D02* 182 | X33320000Y-26120000D01* 183 | X34440000Y-27080000D02* 184 | X33480000Y-26120000D01* 185 | X36370000Y-30030000D02* 186 | X36370000Y-28560000D01* 187 | X36440000Y-30100000D02* 188 | X36370000Y-30030000D01* 189 | X29880000Y-24280000D02* 190 | X28830000Y-24280000D01* 191 | X30710000Y-25110000D02* 192 | X29880000Y-24280000D01* 193 | X31830000Y-28800000D02* 194 | X31830000Y-27580000D01* 195 | X30720000Y-29910000D02* 196 | X31830000Y-28800000D01* 197 | X24900000Y-15910000D02* 198 | X29020000Y-15910000D01* 199 | X23160000Y-17650000D02* 200 | X24900000Y-15910000D01* 201 | X16160000Y-26510000D02* 202 | X16160000Y-26620000D01* 203 | X16160000Y-26620000D02* 204 | X16160000Y-29680000D01* 205 | X19310000Y-32880000D02* 206 | X19310000Y-34790000D01* 207 | X19300000Y-32870000D02* 208 | X19310000Y-32880000D01* 209 | X17560000Y-32890000D02* 210 | X17550000Y-32890000D01* 211 | X19270000Y-31180000D02* 212 | X17560000Y-32890000D01* 213 | X16160000Y-29680000D02* 214 | X17590000Y-31110000D01* 215 | X17070000Y-15460000D02* 216 | X17070000Y-14840000D01* 217 | X14910000Y-17620000D02* 218 | X17070000Y-15460000D01* 219 | X15950000Y-21370000D02* 220 | X15950000Y-18570000D01* 221 | X16010000Y-21430000D02* 222 | X15950000Y-21370000D01* 223 | X16000000Y-26350000D02* 224 | X16000000Y-23120000D01* 225 | X16160000Y-26510000D02* 226 | X16000000Y-26350000D01* 227 | X44060000Y-28350000D02* 228 | X44060000Y-27570000D01* 229 | X41620000Y-30790000D02* 230 | X40140000Y-30790000D01* 231 | X44060000Y-28350000D02* 232 | X41620000Y-30790000D01* 233 | X44060000Y-27570000D02* 234 | X44060000Y-24520000D01* 235 | X44060000Y-24520000D02* 236 | X44890000Y-23690000D01* 237 | X40140000Y-28300000D02* 238 | X40140000Y-30790000D01* 239 | X39990000Y-28150000D02* 240 | X40140000Y-28300000D01* 241 | X35407347Y-15815000D02* 242 | X36020000Y-16427653D01* 243 | X36020000Y-16427653D02* 244 | X36020000Y-18850000D01* 245 | X19865685Y-32870000D02* 246 | X19300000Y-32870000D01* 247 | X22595685Y-32870000D02* 248 | X19865685Y-32870000D01* 249 | X22660000Y-32805685D02* 250 | X22595685Y-32870000D01* 251 | X22660000Y-32240000D02* 252 | X22660000Y-32805685D01* 253 | G04 #@! TO.N,/TMS* 254 | X49970000Y-26400000D02* 255 | X49970000Y-23690000D01* 256 | X40570000Y-35800000D02* 257 | X49970000Y-26400000D01* 258 | X34480000Y-35800000D02* 259 | X40570000Y-35800000D01* 260 | X34470000Y-35810000D02* 261 | X34480000Y-35800000D01* 262 | G04 #@! TO.N,/TDI* 263 | X48610000Y-25760000D02* 264 | X48610000Y-25110000D01* 265 | X40980000Y-33390000D02* 266 | X48610000Y-25760000D01* 267 | X28770000Y-33390000D02* 268 | X40980000Y-33390000D01* 269 | X27030000Y-35130000D02* 270 | X28770000Y-33390000D01* 271 | G04 #@! TD* 272 | D16* 273 | G04 #@! TO.N,GND* 274 | G36* 275 | X56690001Y-36390000D02* 276 | X34879950Y-36390000D01* 277 | X35044950Y-36225000D01* 278 | X40528143Y-36225000D01* 279 | X40570000Y-36233326D01* 280 | X40611857Y-36225000D01* 281 | X40611858Y-36225000D01* 282 | X40735827Y-36200341D01* 283 | X40876408Y-36106408D01* 284 | X40900121Y-36070919D01* 285 | X50240923Y-26730118D01* 286 | X50276408Y-26706408D01* 287 | X50370341Y-26565827D01* 288 | X50395000Y-26441858D01* 289 | X50395000Y-26441857D01* 290 | X50403326Y-26400001D01* 291 | X50395000Y-26358145D01* 292 | X50395000Y-24777992D01* 293 | X50418707Y-24773276D01* 294 | X50799103Y-24519103D01* 295 | X51053276Y-24138707D01* 296 | X51142529Y-23690000D01* 297 | X51337471Y-23690000D01* 298 | X51426724Y-24138707D01* 299 | X51680897Y-24519103D01* 300 | X52061293Y-24773276D01* 301 | X52396739Y-24840000D01* 302 | X52623261Y-24840000D01* 303 | X52958707Y-24773276D01* 304 | X53339103Y-24519103D01* 305 | X53593276Y-24138707D01* 306 | X53682529Y-23690000D01* 307 | X53877471Y-23690000D01* 308 | X53966724Y-24138707D01* 309 | X54220897Y-24519103D01* 310 | X54601293Y-24773276D01* 311 | X54936739Y-24840000D01* 312 | X55163261Y-24840000D01* 313 | X55498707Y-24773276D01* 314 | X55879103Y-24519103D01* 315 | X56133276Y-24138707D01* 316 | X56222529Y-23690000D01* 317 | X56133276Y-23241293D01* 318 | X55879103Y-22860897D01* 319 | X55498707Y-22606724D01* 320 | X55163261Y-22540000D01* 321 | X54936739Y-22540000D01* 322 | X54601293Y-22606724D01* 323 | X54220897Y-22860897D01* 324 | X53966724Y-23241293D01* 325 | X53877471Y-23690000D01* 326 | X53682529Y-23690000D01* 327 | X53593276Y-23241293D01* 328 | X53339103Y-22860897D01* 329 | X52958707Y-22606724D01* 330 | X52623261Y-22540000D01* 331 | X52396739Y-22540000D01* 332 | X52061293Y-22606724D01* 333 | X51680897Y-22860897D01* 334 | X51426724Y-23241293D01* 335 | X51337471Y-23690000D01* 336 | X51142529Y-23690000D01* 337 | X51053276Y-23241293D01* 338 | X50799103Y-22860897D01* 339 | X50418707Y-22606724D01* 340 | X50083261Y-22540000D01* 341 | X49856739Y-22540000D01* 342 | X49521293Y-22606724D01* 343 | X49140897Y-22860897D01* 344 | X48886724Y-23241293D01* 345 | X48797471Y-23690000D01* 346 | X48886724Y-24138707D01* 347 | X49140897Y-24519103D01* 348 | X49521293Y-24773276D01* 349 | X49545001Y-24777992D01* 350 | X49545000Y-26223959D01* 351 | X40393960Y-35375000D01* 352 | X35024950Y-35375000D01* 353 | X34866518Y-35216568D01* 354 | X34609239Y-35110000D01* 355 | X34330761Y-35110000D01* 356 | X34073482Y-35216568D01* 357 | X33876568Y-35413482D01* 358 | X33770000Y-35670761D01* 359 | X33770000Y-35949239D01* 360 | X33876568Y-36206518D01* 361 | X34060050Y-36390000D01* 362 | X13210000Y-36390000D01* 363 | X13210000Y-34990761D01* 364 | X26330000Y-34990761D01* 365 | X26330000Y-35269239D01* 366 | X26436568Y-35526518D01* 367 | X26633482Y-35723432D01* 368 | X26890761Y-35830000D01* 369 | X27169239Y-35830000D01* 370 | X27426518Y-35723432D01* 371 | X27623432Y-35526518D01* 372 | X27730000Y-35269239D01* 373 | X27730000Y-35031040D01* 374 | X28946041Y-33815000D01* 375 | X40938143Y-33815000D01* 376 | X40980000Y-33823326D01* 377 | X41021857Y-33815000D01* 378 | X41021858Y-33815000D01* 379 | X41145827Y-33790341D01* 380 | X41286408Y-33696408D01* 381 | X41310121Y-33660919D01* 382 | X48880923Y-26090118D01* 383 | X48916408Y-26066408D01* 384 | X49010341Y-25925827D01* 385 | X49035000Y-25801858D01* 386 | X49035000Y-25801857D01* 387 | X49043326Y-25760001D01* 388 | X49035000Y-25718145D01* 389 | X49035000Y-25674950D01* 390 | X49203432Y-25506518D01* 391 | X49310000Y-25249239D01* 392 | X49310000Y-24970761D01* 393 | X49203432Y-24713482D01* 394 | X49006518Y-24516568D01* 395 | X48749239Y-24410000D01* 396 | X48470761Y-24410000D01* 397 | X48278903Y-24489470D01* 398 | X48513276Y-24138707D01* 399 | X48602529Y-23690000D01* 400 | X48513276Y-23241293D01* 401 | X48259103Y-22860897D01* 402 | X47878707Y-22606724D01* 403 | X47543261Y-22540000D01* 404 | X47316739Y-22540000D01* 405 | X46981293Y-22606724D01* 406 | X46623952Y-22845492D01* 407 | X46480683Y-22534612D01* 408 | X45917452Y-22013833D01* 409 | X45348709Y-21778262D01* 410 | X45044000Y-22010044D01* 411 | X45044000Y-23536000D01* 412 | X45064000Y-23536000D01* 413 | X45064000Y-23844000D01* 414 | X45044000Y-23844000D01* 415 | X45044000Y-25369956D01* 416 | X45348709Y-25601738D01* 417 | X45917452Y-25366167D01* 418 | X46480683Y-24845388D01* 419 | X46623952Y-24534508D01* 420 | X46981293Y-24773276D01* 421 | X47316739Y-24840000D01* 422 | X47543261Y-24840000D01* 423 | X47878707Y-24773276D01* 424 | X48113976Y-24616074D01* 425 | X48016568Y-24713482D01* 426 | X47910000Y-24970761D01* 427 | X47910000Y-25249239D01* 428 | X48016568Y-25506518D01* 429 | X48139505Y-25629455D01* 430 | X40803960Y-32965000D01* 431 | X28811857Y-32965000D01* 432 | X28770000Y-32956674D01* 433 | X28728142Y-32965000D01* 434 | X28604173Y-32989659D01* 435 | X28463592Y-33083592D01* 436 | X28439881Y-33119078D01* 437 | X27128960Y-34430000D01* 438 | X26890761Y-34430000D01* 439 | X26633482Y-34536568D01* 440 | X26436568Y-34733482D01* 441 | X26330000Y-34990761D01* 442 | X13210000Y-34990761D01* 443 | X13210000Y-32400761D01* 444 | X24890000Y-32400761D01* 445 | X24890000Y-32679239D01* 446 | X24996568Y-32936518D01* 447 | X25193482Y-33133432D01* 448 | X25450761Y-33240000D01* 449 | X25729239Y-33240000D01* 450 | X25986518Y-33133432D01* 451 | X26183432Y-32936518D01* 452 | X26290000Y-32679239D01* 453 | X26290000Y-32617816D01* 454 | X26947817Y-31960000D01* 455 | X33890050Y-31960000D01* 456 | X33933482Y-32003432D01* 457 | X34190761Y-32110000D01* 458 | X34469239Y-32110000D01* 459 | X34726518Y-32003432D01* 460 | X34923432Y-31806518D01* 461 | X35030000Y-31549239D01* 462 | X35030000Y-31270761D01* 463 | X34923432Y-31013482D01* 464 | X34880000Y-30970050D01* 465 | X34880000Y-28957816D01* 466 | X36347817Y-27490000D01* 467 | X36409239Y-27490000D01* 468 | X36666518Y-27383432D01* 469 | X36863432Y-27186518D01* 470 | X36970000Y-26929239D01* 471 | X36970000Y-26867816D01* 472 | X39597817Y-24240000D01* 473 | X41194123Y-24240000D01* 474 | X41194123Y-24540000D01* 475 | X41217407Y-24657054D01* 476 | X41283712Y-24756288D01* 477 | X41382946Y-24822593D01* 478 | X41500000Y-24845877D01* 479 | X43200000Y-24845877D01* 480 | X43291183Y-24827739D01* 481 | X43299317Y-24845388D01* 482 | X43862548Y-25366167D01* 483 | X44431291Y-25601738D01* 484 | X44736000Y-25369956D01* 485 | X44736000Y-23844000D01* 486 | X44716000Y-23844000D01* 487 | X44716000Y-23536000D01* 488 | X44736000Y-23536000D01* 489 | X44736000Y-22010044D01* 490 | X44431291Y-21778262D01* 491 | X43862548Y-22013833D01* 492 | X43299317Y-22534612D01* 493 | X43291183Y-22552261D01* 494 | X43200000Y-22534123D01* 495 | X41500000Y-22534123D01* 496 | X41382946Y-22557407D01* 497 | X41283712Y-22623712D01* 498 | X41217407Y-22722946D01* 499 | X41194123Y-22840000D01* 500 | X41194123Y-23140000D01* 501 | X39424168Y-23140000D01* 502 | X39369999Y-23129225D01* 503 | X39315830Y-23140000D01* 504 | X39155401Y-23171911D01* 505 | X38973472Y-23293472D01* 506 | X38942786Y-23339397D01* 507 | X36192184Y-26090000D01* 508 | X36130761Y-26090000D01* 509 | X35937760Y-26169943D01* 510 | X32540604Y-22772788D01* 511 | X32404599Y-22681912D01* 512 | X32326284Y-22666334D01* 513 | X32266518Y-22606568D01* 514 | X32009239Y-22500000D01* 515 | X31730761Y-22500000D01* 516 | X31473482Y-22606568D01* 517 | X31430050Y-22650000D01* 518 | X28667817Y-22650000D01* 519 | X27500000Y-21482184D01* 520 | X27500000Y-21420761D01* 521 | X27393432Y-21163482D01* 522 | X27196518Y-20966568D01* 523 | X26939239Y-20860000D01* 524 | X26660761Y-20860000D01* 525 | X26403482Y-20966568D01* 526 | X26206568Y-21163482D01* 527 | X26100000Y-21420761D01* 528 | X26100000Y-21699239D01* 529 | X26206568Y-21956518D01* 530 | X26403482Y-22153432D01* 531 | X26660761Y-22260000D01* 532 | X26722184Y-22260000D01* 533 | X28012786Y-23550603D01* 534 | X28043472Y-23596528D01* 535 | X28183273Y-23689940D01* 536 | X28225399Y-23718088D01* 537 | X28225400Y-23718088D01* 538 | X28225401Y-23718089D01* 539 | X28385830Y-23750000D01* 540 | X28439999Y-23760775D01* 541 | X28494168Y-23750000D01* 542 | X31320001Y-23750000D01* 543 | X31320000Y-25362183D01* 544 | X28452184Y-28230000D01* 545 | X28390761Y-28230000D01* 546 | X28133482Y-28336568D01* 547 | X27936568Y-28533482D01* 548 | X27830000Y-28790761D01* 549 | X27830000Y-29069239D01* 550 | X27936568Y-29326518D01* 551 | X28133482Y-29523432D01* 552 | X28390761Y-29630000D01* 553 | X28669239Y-29630000D01* 554 | X28926518Y-29523432D01* 555 | X29123432Y-29326518D01* 556 | X29230000Y-29069239D01* 557 | X29230000Y-29007816D01* 558 | X32220603Y-26017214D01* 559 | X32266528Y-25986528D01* 560 | X32388089Y-25804599D01* 561 | X32420000Y-25644170D01* 562 | X32420000Y-25644169D01* 563 | X32430775Y-25590001D01* 564 | X32420000Y-25535832D01* 565 | X32420000Y-24207816D01* 566 | X35247183Y-27035000D01* 567 | X33979398Y-28302786D01* 568 | X33933473Y-28333472D01* 569 | X33902788Y-28379396D01* 570 | X33811912Y-28515401D01* 571 | X33769225Y-28730000D01* 572 | X33780001Y-28784174D01* 573 | X33780000Y-30860000D01* 574 | X26774168Y-30860000D01* 575 | X26719999Y-30849225D01* 576 | X26665830Y-30860000D01* 577 | X26505401Y-30891911D01* 578 | X26505400Y-30891912D01* 579 | X26505399Y-30891912D01* 580 | X26463273Y-30920060D01* 581 | X26323472Y-31013472D01* 582 | X26292786Y-31059397D01* 583 | X25512184Y-31840000D01* 584 | X25450761Y-31840000D01* 585 | X25193482Y-31946568D01* 586 | X24996568Y-32143482D01* 587 | X24890000Y-32400761D01* 588 | X13210000Y-32400761D01* 589 | X13210000Y-24471142D01* 590 | X17980000Y-24471142D01* 591 | X17980000Y-24888858D01* 592 | X18139853Y-25274777D01* 593 | X18435223Y-25570147D01* 594 | X18821142Y-25730000D01* 595 | X19238858Y-25730000D01* 596 | X19624777Y-25570147D01* 597 | X19920147Y-25274777D01* 598 | X20080000Y-24888858D01* 599 | X20080000Y-24471142D01* 600 | X19920147Y-24085223D01* 601 | X19624777Y-23789853D01* 602 | X19238858Y-23630000D01* 603 | X18821142Y-23630000D01* 604 | X18435223Y-23789853D01* 605 | X18139853Y-24085223D01* 606 | X17980000Y-24471142D01* 607 | X13210000Y-24471142D01* 608 | X13210000Y-19591142D01* 609 | X17980000Y-19591142D01* 610 | X17980000Y-20008858D01* 611 | X18139853Y-20394777D01* 612 | X18435223Y-20690147D01* 613 | X18821142Y-20850000D01* 614 | X19238858Y-20850000D01* 615 | X19624777Y-20690147D01* 616 | X19920147Y-20394777D01* 617 | X20080000Y-20008858D01* 618 | X20080000Y-19591142D01* 619 | X19920147Y-19205223D01* 620 | X19624777Y-18909853D01* 621 | X19238858Y-18750000D01* 622 | X18821142Y-18750000D01* 623 | X18435223Y-18909853D01* 624 | X18139853Y-19205223D01* 625 | X17980000Y-19591142D01* 626 | X13210000Y-19591142D01* 627 | X13210000Y-13330000D01* 628 | X56690000Y-13330000D01* 629 | X56690001Y-36390000D01* 630 | X56690001Y-36390000D01* 631 | G37* 632 | X56690001Y-36390000D02* 633 | X34879950Y-36390000D01* 634 | X35044950Y-36225000D01* 635 | X40528143Y-36225000D01* 636 | X40570000Y-36233326D01* 637 | X40611857Y-36225000D01* 638 | X40611858Y-36225000D01* 639 | X40735827Y-36200341D01* 640 | X40876408Y-36106408D01* 641 | X40900121Y-36070919D01* 642 | X50240923Y-26730118D01* 643 | X50276408Y-26706408D01* 644 | X50370341Y-26565827D01* 645 | X50395000Y-26441858D01* 646 | X50395000Y-26441857D01* 647 | X50403326Y-26400001D01* 648 | X50395000Y-26358145D01* 649 | X50395000Y-24777992D01* 650 | X50418707Y-24773276D01* 651 | X50799103Y-24519103D01* 652 | X51053276Y-24138707D01* 653 | X51142529Y-23690000D01* 654 | X51337471Y-23690000D01* 655 | X51426724Y-24138707D01* 656 | X51680897Y-24519103D01* 657 | X52061293Y-24773276D01* 658 | X52396739Y-24840000D01* 659 | X52623261Y-24840000D01* 660 | X52958707Y-24773276D01* 661 | X53339103Y-24519103D01* 662 | X53593276Y-24138707D01* 663 | X53682529Y-23690000D01* 664 | X53877471Y-23690000D01* 665 | X53966724Y-24138707D01* 666 | X54220897Y-24519103D01* 667 | X54601293Y-24773276D01* 668 | X54936739Y-24840000D01* 669 | X55163261Y-24840000D01* 670 | X55498707Y-24773276D01* 671 | X55879103Y-24519103D01* 672 | X56133276Y-24138707D01* 673 | X56222529Y-23690000D01* 674 | X56133276Y-23241293D01* 675 | X55879103Y-22860897D01* 676 | X55498707Y-22606724D01* 677 | X55163261Y-22540000D01* 678 | X54936739Y-22540000D01* 679 | X54601293Y-22606724D01* 680 | X54220897Y-22860897D01* 681 | X53966724Y-23241293D01* 682 | X53877471Y-23690000D01* 683 | X53682529Y-23690000D01* 684 | X53593276Y-23241293D01* 685 | X53339103Y-22860897D01* 686 | X52958707Y-22606724D01* 687 | X52623261Y-22540000D01* 688 | X52396739Y-22540000D01* 689 | X52061293Y-22606724D01* 690 | X51680897Y-22860897D01* 691 | X51426724Y-23241293D01* 692 | X51337471Y-23690000D01* 693 | X51142529Y-23690000D01* 694 | X51053276Y-23241293D01* 695 | X50799103Y-22860897D01* 696 | X50418707Y-22606724D01* 697 | X50083261Y-22540000D01* 698 | X49856739Y-22540000D01* 699 | X49521293Y-22606724D01* 700 | X49140897Y-22860897D01* 701 | X48886724Y-23241293D01* 702 | X48797471Y-23690000D01* 703 | X48886724Y-24138707D01* 704 | X49140897Y-24519103D01* 705 | X49521293Y-24773276D01* 706 | X49545001Y-24777992D01* 707 | X49545000Y-26223959D01* 708 | X40393960Y-35375000D01* 709 | X35024950Y-35375000D01* 710 | X34866518Y-35216568D01* 711 | X34609239Y-35110000D01* 712 | X34330761Y-35110000D01* 713 | X34073482Y-35216568D01* 714 | X33876568Y-35413482D01* 715 | X33770000Y-35670761D01* 716 | X33770000Y-35949239D01* 717 | X33876568Y-36206518D01* 718 | X34060050Y-36390000D01* 719 | X13210000Y-36390000D01* 720 | X13210000Y-34990761D01* 721 | X26330000Y-34990761D01* 722 | X26330000Y-35269239D01* 723 | X26436568Y-35526518D01* 724 | X26633482Y-35723432D01* 725 | X26890761Y-35830000D01* 726 | X27169239Y-35830000D01* 727 | X27426518Y-35723432D01* 728 | X27623432Y-35526518D01* 729 | X27730000Y-35269239D01* 730 | X27730000Y-35031040D01* 731 | X28946041Y-33815000D01* 732 | X40938143Y-33815000D01* 733 | X40980000Y-33823326D01* 734 | X41021857Y-33815000D01* 735 | X41021858Y-33815000D01* 736 | X41145827Y-33790341D01* 737 | X41286408Y-33696408D01* 738 | X41310121Y-33660919D01* 739 | X48880923Y-26090118D01* 740 | X48916408Y-26066408D01* 741 | X49010341Y-25925827D01* 742 | X49035000Y-25801858D01* 743 | X49035000Y-25801857D01* 744 | X49043326Y-25760001D01* 745 | X49035000Y-25718145D01* 746 | X49035000Y-25674950D01* 747 | X49203432Y-25506518D01* 748 | X49310000Y-25249239D01* 749 | X49310000Y-24970761D01* 750 | X49203432Y-24713482D01* 751 | X49006518Y-24516568D01* 752 | X48749239Y-24410000D01* 753 | X48470761Y-24410000D01* 754 | X48278903Y-24489470D01* 755 | X48513276Y-24138707D01* 756 | X48602529Y-23690000D01* 757 | X48513276Y-23241293D01* 758 | X48259103Y-22860897D01* 759 | X47878707Y-22606724D01* 760 | X47543261Y-22540000D01* 761 | X47316739Y-22540000D01* 762 | X46981293Y-22606724D01* 763 | X46623952Y-22845492D01* 764 | X46480683Y-22534612D01* 765 | X45917452Y-22013833D01* 766 | X45348709Y-21778262D01* 767 | X45044000Y-22010044D01* 768 | X45044000Y-23536000D01* 769 | X45064000Y-23536000D01* 770 | X45064000Y-23844000D01* 771 | X45044000Y-23844000D01* 772 | X45044000Y-25369956D01* 773 | X45348709Y-25601738D01* 774 | X45917452Y-25366167D01* 775 | X46480683Y-24845388D01* 776 | X46623952Y-24534508D01* 777 | X46981293Y-24773276D01* 778 | X47316739Y-24840000D01* 779 | X47543261Y-24840000D01* 780 | X47878707Y-24773276D01* 781 | X48113976Y-24616074D01* 782 | X48016568Y-24713482D01* 783 | X47910000Y-24970761D01* 784 | X47910000Y-25249239D01* 785 | X48016568Y-25506518D01* 786 | X48139505Y-25629455D01* 787 | X40803960Y-32965000D01* 788 | X28811857Y-32965000D01* 789 | X28770000Y-32956674D01* 790 | X28728142Y-32965000D01* 791 | X28604173Y-32989659D01* 792 | X28463592Y-33083592D01* 793 | X28439881Y-33119078D01* 794 | X27128960Y-34430000D01* 795 | X26890761Y-34430000D01* 796 | X26633482Y-34536568D01* 797 | X26436568Y-34733482D01* 798 | X26330000Y-34990761D01* 799 | X13210000Y-34990761D01* 800 | X13210000Y-32400761D01* 801 | X24890000Y-32400761D01* 802 | X24890000Y-32679239D01* 803 | X24996568Y-32936518D01* 804 | X25193482Y-33133432D01* 805 | X25450761Y-33240000D01* 806 | X25729239Y-33240000D01* 807 | X25986518Y-33133432D01* 808 | X26183432Y-32936518D01* 809 | X26290000Y-32679239D01* 810 | X26290000Y-32617816D01* 811 | X26947817Y-31960000D01* 812 | X33890050Y-31960000D01* 813 | X33933482Y-32003432D01* 814 | X34190761Y-32110000D01* 815 | X34469239Y-32110000D01* 816 | X34726518Y-32003432D01* 817 | X34923432Y-31806518D01* 818 | X35030000Y-31549239D01* 819 | X35030000Y-31270761D01* 820 | X34923432Y-31013482D01* 821 | X34880000Y-30970050D01* 822 | X34880000Y-28957816D01* 823 | X36347817Y-27490000D01* 824 | X36409239Y-27490000D01* 825 | X36666518Y-27383432D01* 826 | X36863432Y-27186518D01* 827 | X36970000Y-26929239D01* 828 | X36970000Y-26867816D01* 829 | X39597817Y-24240000D01* 830 | X41194123Y-24240000D01* 831 | X41194123Y-24540000D01* 832 | X41217407Y-24657054D01* 833 | X41283712Y-24756288D01* 834 | X41382946Y-24822593D01* 835 | X41500000Y-24845877D01* 836 | X43200000Y-24845877D01* 837 | X43291183Y-24827739D01* 838 | X43299317Y-24845388D01* 839 | X43862548Y-25366167D01* 840 | X44431291Y-25601738D01* 841 | X44736000Y-25369956D01* 842 | X44736000Y-23844000D01* 843 | X44716000Y-23844000D01* 844 | X44716000Y-23536000D01* 845 | X44736000Y-23536000D01* 846 | X44736000Y-22010044D01* 847 | X44431291Y-21778262D01* 848 | X43862548Y-22013833D01* 849 | X43299317Y-22534612D01* 850 | X43291183Y-22552261D01* 851 | X43200000Y-22534123D01* 852 | X41500000Y-22534123D01* 853 | X41382946Y-22557407D01* 854 | X41283712Y-22623712D01* 855 | X41217407Y-22722946D01* 856 | X41194123Y-22840000D01* 857 | X41194123Y-23140000D01* 858 | X39424168Y-23140000D01* 859 | X39369999Y-23129225D01* 860 | X39315830Y-23140000D01* 861 | X39155401Y-23171911D01* 862 | X38973472Y-23293472D01* 863 | X38942786Y-23339397D01* 864 | X36192184Y-26090000D01* 865 | X36130761Y-26090000D01* 866 | X35937760Y-26169943D01* 867 | X32540604Y-22772788D01* 868 | X32404599Y-22681912D01* 869 | X32326284Y-22666334D01* 870 | X32266518Y-22606568D01* 871 | X32009239Y-22500000D01* 872 | X31730761Y-22500000D01* 873 | X31473482Y-22606568D01* 874 | X31430050Y-22650000D01* 875 | X28667817Y-22650000D01* 876 | X27500000Y-21482184D01* 877 | X27500000Y-21420761D01* 878 | X27393432Y-21163482D01* 879 | X27196518Y-20966568D01* 880 | X26939239Y-20860000D01* 881 | X26660761Y-20860000D01* 882 | X26403482Y-20966568D01* 883 | X26206568Y-21163482D01* 884 | X26100000Y-21420761D01* 885 | X26100000Y-21699239D01* 886 | X26206568Y-21956518D01* 887 | X26403482Y-22153432D01* 888 | X26660761Y-22260000D01* 889 | X26722184Y-22260000D01* 890 | X28012786Y-23550603D01* 891 | X28043472Y-23596528D01* 892 | X28183273Y-23689940D01* 893 | X28225399Y-23718088D01* 894 | X28225400Y-23718088D01* 895 | X28225401Y-23718089D01* 896 | X28385830Y-23750000D01* 897 | X28439999Y-23760775D01* 898 | X28494168Y-23750000D01* 899 | X31320001Y-23750000D01* 900 | X31320000Y-25362183D01* 901 | X28452184Y-28230000D01* 902 | X28390761Y-28230000D01* 903 | X28133482Y-28336568D01* 904 | X27936568Y-28533482D01* 905 | X27830000Y-28790761D01* 906 | X27830000Y-29069239D01* 907 | X27936568Y-29326518D01* 908 | X28133482Y-29523432D01* 909 | X28390761Y-29630000D01* 910 | X28669239Y-29630000D01* 911 | X28926518Y-29523432D01* 912 | X29123432Y-29326518D01* 913 | X29230000Y-29069239D01* 914 | X29230000Y-29007816D01* 915 | X32220603Y-26017214D01* 916 | X32266528Y-25986528D01* 917 | X32388089Y-25804599D01* 918 | X32420000Y-25644170D01* 919 | X32420000Y-25644169D01* 920 | X32430775Y-25590001D01* 921 | X32420000Y-25535832D01* 922 | X32420000Y-24207816D01* 923 | X35247183Y-27035000D01* 924 | X33979398Y-28302786D01* 925 | X33933473Y-28333472D01* 926 | X33902788Y-28379396D01* 927 | X33811912Y-28515401D01* 928 | X33769225Y-28730000D01* 929 | X33780001Y-28784174D01* 930 | X33780000Y-30860000D01* 931 | X26774168Y-30860000D01* 932 | X26719999Y-30849225D01* 933 | X26665830Y-30860000D01* 934 | X26505401Y-30891911D01* 935 | X26505400Y-30891912D01* 936 | X26505399Y-30891912D01* 937 | X26463273Y-30920060D01* 938 | X26323472Y-31013472D01* 939 | X26292786Y-31059397D01* 940 | X25512184Y-31840000D01* 941 | X25450761Y-31840000D01* 942 | X25193482Y-31946568D01* 943 | X24996568Y-32143482D01* 944 | X24890000Y-32400761D01* 945 | X13210000Y-32400761D01* 946 | X13210000Y-24471142D01* 947 | X17980000Y-24471142D01* 948 | X17980000Y-24888858D01* 949 | X18139853Y-25274777D01* 950 | X18435223Y-25570147D01* 951 | X18821142Y-25730000D01* 952 | X19238858Y-25730000D01* 953 | X19624777Y-25570147D01* 954 | X19920147Y-25274777D01* 955 | X20080000Y-24888858D01* 956 | X20080000Y-24471142D01* 957 | X19920147Y-24085223D01* 958 | X19624777Y-23789853D01* 959 | X19238858Y-23630000D01* 960 | X18821142Y-23630000D01* 961 | X18435223Y-23789853D01* 962 | X18139853Y-24085223D01* 963 | X17980000Y-24471142D01* 964 | X13210000Y-24471142D01* 965 | X13210000Y-19591142D01* 966 | X17980000Y-19591142D01* 967 | X17980000Y-20008858D01* 968 | X18139853Y-20394777D01* 969 | X18435223Y-20690147D01* 970 | X18821142Y-20850000D01* 971 | X19238858Y-20850000D01* 972 | X19624777Y-20690147D01* 973 | X19920147Y-20394777D01* 974 | X20080000Y-20008858D01* 975 | X20080000Y-19591142D01* 976 | X19920147Y-19205223D01* 977 | X19624777Y-18909853D01* 978 | X19238858Y-18750000D01* 979 | X18821142Y-18750000D01* 980 | X18435223Y-18909853D01* 981 | X18139853Y-19205223D01* 982 | X17980000Y-19591142D01* 983 | X13210000Y-19591142D01* 984 | X13210000Y-13330000D01* 985 | X56690000Y-13330000D01* 986 | X56690001Y-36390000D01* 987 | G04 #@! TD* 988 | M02* 989 | -------------------------------------------------------------------------------- /pcb/gerber/snes_dejitter-B.Mask.gbs: -------------------------------------------------------------------------------- 1 | G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3* 2 | G04 #@! TF.CreationDate,2018-08-01T23:56:54+03:00* 3 | G04 #@! TF.ProjectId,snes_dejitter,736E65735F64656A69747465722E6B69,rev?* 4 | G04 #@! TF.SameCoordinates,Original* 5 | G04 #@! TF.FileFunction,Soldermask,Bot* 6 | G04 #@! TF.FilePolarity,Negative* 7 | %FSLAX46Y46*% 8 | G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* 9 | G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Wed Aug 1 23:56:54 2018* 10 | %MOMM*% 11 | %LPD*% 12 | G01* 13 | G04 APERTURE LIST* 14 | %ADD10C,1.500000*% 15 | %ADD11R,1.700000X1.700000*% 16 | %ADD12O,1.700000X1.700000*% 17 | G04 APERTURE END LIST* 18 | D10* 19 | G04 #@! TO.C,Y1* 20 | X19030000Y-24680000D03* 21 | X19030000Y-19800000D03* 22 | G04 #@! TD* 23 | D11* 24 | G04 #@! TO.C,J1* 25 | X42350000Y-23690000D03* 26 | D12* 27 | X44890000Y-23690000D03* 28 | X47430000Y-23690000D03* 29 | X49970000Y-23690000D03* 30 | X52510000Y-23690000D03* 31 | X55050000Y-23690000D03* 32 | G04 #@! TD* 33 | M02* 34 | -------------------------------------------------------------------------------- /pcb/gerber/snes_dejitter-B.Paste.gbp: -------------------------------------------------------------------------------- 1 | G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3* 2 | G04 #@! TF.CreationDate,2018-08-01T23:56:54+03:00* 3 | G04 #@! TF.ProjectId,snes_dejitter,736E65735F64656A69747465722E6B69,rev?* 4 | G04 #@! TF.SameCoordinates,Original* 5 | G04 #@! TF.FileFunction,Paste,Bot* 6 | G04 #@! TF.FilePolarity,Positive* 7 | %FSLAX46Y46*% 8 | G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* 9 | G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Wed Aug 1 23:56:54 2018* 10 | %MOMM*% 11 | %LPD*% 12 | G01* 13 | G04 APERTURE LIST* 14 | G04 APERTURE END LIST* 15 | M02* 16 | -------------------------------------------------------------------------------- /pcb/gerber/snes_dejitter-B.SilkS.gbo: -------------------------------------------------------------------------------- 1 | G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3* 2 | G04 #@! TF.CreationDate,2018-08-01T23:56:54+03:00* 3 | G04 #@! TF.ProjectId,snes_dejitter,736E65735F64656A69747465722E6B69,rev?* 4 | G04 #@! TF.SameCoordinates,Original* 5 | G04 #@! TF.FileFunction,Legend,Bot* 6 | G04 #@! TF.FilePolarity,Positive* 7 | %FSLAX46Y46*% 8 | G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* 9 | G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Wed Aug 1 23:56:54 2018* 10 | %MOMM*% 11 | %LPD*% 12 | G01* 13 | G04 APERTURE LIST* 14 | G04 APERTURE END LIST* 15 | M02* 16 | -------------------------------------------------------------------------------- /pcb/gerber/snes_dejitter-Edge.Cuts.gm1: -------------------------------------------------------------------------------- 1 | G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3* 2 | G04 #@! TF.CreationDate,2018-08-01T23:56:54+03:00* 3 | G04 #@! TF.ProjectId,snes_dejitter,736E65735F64656A69747465722E6B69,rev?* 4 | G04 #@! TF.SameCoordinates,Original* 5 | G04 #@! TF.FileFunction,Profile,NP* 6 | %FSLAX46Y46*% 7 | G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* 8 | G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Wed Aug 1 23:56:54 2018* 9 | %MOMM*% 10 | %LPD*% 11 | G01* 12 | G04 APERTURE LIST* 13 | %ADD10C,0.100000*% 14 | G04 APERTURE END LIST* 15 | D10* 16 | X57000000Y-13020000D02* 17 | X57000000Y-36700000D01* 18 | X12900000Y-36700000D02* 19 | X12900000Y-13020000D01* 20 | X57000000Y-36700000D02* 21 | X12900000Y-36700000D01* 22 | X12900000Y-13020000D02* 23 | X57000000Y-13020000D01* 24 | M02* 25 | -------------------------------------------------------------------------------- /pcb/gerber/snes_dejitter-F.Mask.gts: -------------------------------------------------------------------------------- 1 | G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3* 2 | G04 #@! TF.CreationDate,2018-08-01T23:56:54+03:00* 3 | G04 #@! TF.ProjectId,snes_dejitter,736E65735F64656A69747465722E6B69,rev?* 4 | G04 #@! TF.SameCoordinates,Original* 5 | G04 #@! TF.FileFunction,Soldermask,Top* 6 | G04 #@! TF.FilePolarity,Negative* 7 | %FSLAX46Y46*% 8 | G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* 9 | G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Wed Aug 1 23:56:54 2018* 10 | %MOMM*% 11 | %LPD*% 12 | G01* 13 | G04 APERTURE LIST* 14 | %ADD10R,1.400000X0.450000*% 15 | %ADD11R,1.143000X0.635000*% 16 | %ADD12R,1.000000X1.000000*% 17 | %ADD13R,0.635000X1.143000*% 18 | %ADD14R,0.800000X1.900000*% 19 | %ADD15C,1.500000*% 20 | %ADD16R,2.500000X1.250000*% 21 | %ADD17R,0.550000X1.700000*% 22 | %ADD18R,1.700000X0.550000*% 23 | %ADD19R,1.700000X1.700000*% 24 | %ADD20O,1.700000X1.700000*% 25 | G04 APERTURE END LIST* 26 | D10* 27 | G04 #@! TO.C,D1* 28 | X37700000Y-14230000D03* 29 | X34900000Y-14230000D03* 30 | G04 #@! TD* 31 | D11* 32 | G04 #@! TO.C,R15* 33 | X21560000Y-33868000D03* 34 | X21560000Y-35392000D03* 35 | G04 #@! TD* 36 | D12* 37 | G04 #@! TO.C,JP4* 38 | X39610000Y-15350000D03* 39 | X39610000Y-14050000D03* 40 | G04 #@! TD* 41 | D11* 42 | G04 #@! TO.C,C1* 43 | X18990000Y-15422000D03* 44 | X18990000Y-13898000D03* 45 | G04 #@! TD* 46 | D13* 47 | G04 #@! TO.C,C2* 48 | X36008000Y-35780000D03* 49 | X37532000Y-35780000D03* 50 | G04 #@! TD* 51 | D11* 52 | G04 #@! TO.C,C3* 53 | X24120000Y-32008000D03* 54 | X24120000Y-33532000D03* 55 | G04 #@! TD* 56 | G04 #@! TO.C,C4* 57 | X41460000Y-28228000D03* 58 | X41460000Y-29752000D03* 59 | G04 #@! TD* 60 | G04 #@! TO.C,C5* 61 | X14280000Y-24432000D03* 62 | X14280000Y-22908000D03* 63 | G04 #@! TD* 64 | G04 #@! TO.C,C6* 65 | X14270000Y-19978000D03* 66 | X14270000Y-21502000D03* 67 | G04 #@! TD* 68 | D13* 69 | G04 #@! TO.C,C7* 70 | X33338000Y-18900000D03* 71 | X34862000Y-18900000D03* 72 | G04 #@! TD* 73 | G04 #@! TO.C,C8* 74 | X52552000Y-35390000D03* 75 | X51028000Y-35390000D03* 76 | G04 #@! TD* 77 | D14* 78 | G04 #@! TO.C,Q1* 79 | X45470000Y-33180000D03* 80 | X47370000Y-33180000D03* 81 | X46420000Y-30180000D03* 82 | G04 #@! TD* 83 | D13* 84 | G04 #@! TO.C,R1* 85 | X28508000Y-35790000D03* 86 | X30032000Y-35790000D03* 87 | G04 #@! TD* 88 | G04 #@! TO.C,R2* 89 | X33312000Y-35790000D03* 90 | X31788000Y-35790000D03* 91 | G04 #@! TD* 92 | D11* 93 | G04 #@! TO.C,R3* 94 | X34050000Y-17292000D03* 95 | X34050000Y-15768000D03* 96 | G04 #@! TD* 97 | D13* 98 | G04 #@! TO.C,R4* 99 | X30872000Y-18910000D03* 100 | X29348000Y-18910000D03* 101 | G04 #@! TD* 102 | D11* 103 | G04 #@! TO.C,R6* 104 | X14290000Y-28112000D03* 105 | X14290000Y-26588000D03* 106 | G04 #@! TD* 107 | G04 #@! TO.C,R7* 108 | X23760000Y-24942000D03* 109 | X23760000Y-23418000D03* 110 | G04 #@! TD* 111 | G04 #@! TO.C,R8* 112 | X23760000Y-21292000D03* 113 | X23760000Y-19768000D03* 114 | G04 #@! TD* 115 | G04 #@! TO.C,R9* 116 | X43460000Y-30018000D03* 117 | X43460000Y-31542000D03* 118 | G04 #@! TD* 119 | G04 #@! TO.C,R10* 120 | X41450000Y-31878000D03* 121 | X41450000Y-33402000D03* 122 | G04 #@! TD* 123 | G04 #@! TO.C,R11* 124 | X49350000Y-33788000D03* 125 | X49350000Y-35312000D03* 126 | G04 #@! TD* 127 | D13* 128 | G04 #@! TO.C,R12* 129 | X52552000Y-33550000D03* 130 | X51028000Y-33550000D03* 131 | G04 #@! TD* 132 | G04 #@! TO.C,R13* 133 | X43122000Y-26570000D03* 134 | X41598000Y-26570000D03* 135 | G04 #@! TD* 136 | G04 #@! TO.C,R14* 137 | X48148000Y-27850000D03* 138 | X49672000Y-27850000D03* 139 | G04 #@! TD* 140 | D15* 141 | G04 #@! TO.C,Y1* 142 | X19030000Y-24680000D03* 143 | X19030000Y-19800000D03* 144 | G04 #@! TD* 145 | D16* 146 | G04 #@! TO.C,J2* 147 | X14340000Y-15840000D03* 148 | G04 #@! TD* 149 | G04 #@! TO.C,J10* 150 | X55550000Y-31790000D03* 151 | G04 #@! TD* 152 | G04 #@! TO.C,J9* 153 | X55550000Y-27080000D03* 154 | G04 #@! TD* 155 | G04 #@! TO.C,J8* 156 | X55550000Y-29000000D03* 157 | G04 #@! TD* 158 | G04 #@! TO.C,J7* 159 | X14350000Y-30090000D03* 160 | G04 #@! TD* 161 | G04 #@! TO.C,J6* 162 | X14350000Y-33810000D03* 163 | G04 #@! TD* 164 | G04 #@! TO.C,J5* 165 | X14350000Y-31950000D03* 166 | G04 #@! TD* 167 | G04 #@! TO.C,J4* 168 | X14350000Y-35690000D03* 169 | G04 #@! TD* 170 | G04 #@! TO.C,J3* 171 | X14340000Y-14000000D03* 172 | G04 #@! TD* 173 | D17* 174 | G04 #@! TO.C,U1* 175 | X28510000Y-33170000D03* 176 | X29310000Y-33170000D03* 177 | X30110000Y-33170000D03* 178 | X30910000Y-33170000D03* 179 | X31710000Y-33170000D03* 180 | X32510000Y-33170000D03* 181 | X33310000Y-33170000D03* 182 | X34110000Y-33170000D03* 183 | X34910000Y-33170000D03* 184 | X35710000Y-33170000D03* 185 | X36510000Y-33170000D03* 186 | D18* 187 | X38310000Y-31370000D03* 188 | X38310000Y-30570000D03* 189 | X38310000Y-29770000D03* 190 | X38310000Y-28970000D03* 191 | X38310000Y-28170000D03* 192 | X38310000Y-27370000D03* 193 | X38310000Y-26570000D03* 194 | X38310000Y-25770000D03* 195 | X38310000Y-24970000D03* 196 | X38310000Y-24170000D03* 197 | X38310000Y-23370000D03* 198 | D17* 199 | X36510000Y-21570000D03* 200 | X35710000Y-21570000D03* 201 | X34910000Y-21570000D03* 202 | X34110000Y-21570000D03* 203 | X33310000Y-21570000D03* 204 | X32510000Y-21570000D03* 205 | X31710000Y-21570000D03* 206 | X30910000Y-21570000D03* 207 | X30110000Y-21570000D03* 208 | X29310000Y-21570000D03* 209 | X28510000Y-21570000D03* 210 | D18* 211 | X26710000Y-23370000D03* 212 | X26710000Y-24170000D03* 213 | X26710000Y-24970000D03* 214 | X26710000Y-25770000D03* 215 | X26710000Y-26570000D03* 216 | X26710000Y-27370000D03* 217 | X26710000Y-28170000D03* 218 | X26710000Y-28970000D03* 219 | X26710000Y-29770000D03* 220 | X26710000Y-30570000D03* 221 | X26710000Y-31370000D03* 222 | G04 #@! TD* 223 | D19* 224 | G04 #@! TO.C,J1* 225 | X42350000Y-23690000D03* 226 | D20* 227 | X44890000Y-23690000D03* 228 | X47430000Y-23690000D03* 229 | X49970000Y-23690000D03* 230 | X52510000Y-23690000D03* 231 | X55050000Y-23690000D03* 232 | G04 #@! TD* 233 | D12* 234 | G04 #@! TO.C,JP2* 235 | X46300000Y-26550000D03* 236 | X46300000Y-27850000D03* 237 | G04 #@! TD* 238 | G04 #@! TO.C,JP3* 239 | X54910000Y-33750000D03* 240 | X54910000Y-35050000D03* 241 | G04 #@! TD* 242 | M02* 243 | -------------------------------------------------------------------------------- /pcb/gerber/snes_dejitter-F.Paste.gtp: -------------------------------------------------------------------------------- 1 | G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3* 2 | G04 #@! TF.CreationDate,2018-08-01T23:56:54+03:00* 3 | G04 #@! TF.ProjectId,snes_dejitter,736E65735F64656A69747465722E6B69,rev?* 4 | G04 #@! TF.SameCoordinates,Original* 5 | G04 #@! TF.FileFunction,Paste,Top* 6 | G04 #@! TF.FilePolarity,Positive* 7 | %FSLAX46Y46*% 8 | G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* 9 | G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Wed Aug 1 23:56:54 2018* 10 | %MOMM*% 11 | %LPD*% 12 | G01* 13 | G04 APERTURE LIST* 14 | %ADD10R,1.400000X0.450000*% 15 | %ADD11R,1.143000X0.635000*% 16 | %ADD12R,0.635000X1.143000*% 17 | %ADD13R,0.800000X1.900000*% 18 | %ADD14R,0.550000X1.700000*% 19 | %ADD15R,1.700000X0.550000*% 20 | G04 APERTURE END LIST* 21 | D10* 22 | G04 #@! TO.C,D1* 23 | X37700000Y-14230000D03* 24 | X34900000Y-14230000D03* 25 | G04 #@! TD* 26 | D11* 27 | G04 #@! TO.C,R15* 28 | X21560000Y-33868000D03* 29 | X21560000Y-35392000D03* 30 | G04 #@! TD* 31 | G04 #@! TO.C,C1* 32 | X18990000Y-15422000D03* 33 | X18990000Y-13898000D03* 34 | G04 #@! TD* 35 | D12* 36 | G04 #@! TO.C,C2* 37 | X36008000Y-35780000D03* 38 | X37532000Y-35780000D03* 39 | G04 #@! TD* 40 | D11* 41 | G04 #@! TO.C,C3* 42 | X24120000Y-32008000D03* 43 | X24120000Y-33532000D03* 44 | G04 #@! TD* 45 | G04 #@! TO.C,C4* 46 | X41460000Y-28228000D03* 47 | X41460000Y-29752000D03* 48 | G04 #@! TD* 49 | G04 #@! TO.C,C5* 50 | X14280000Y-24432000D03* 51 | X14280000Y-22908000D03* 52 | G04 #@! TD* 53 | G04 #@! TO.C,C6* 54 | X14270000Y-19978000D03* 55 | X14270000Y-21502000D03* 56 | G04 #@! TD* 57 | D12* 58 | G04 #@! TO.C,C7* 59 | X33338000Y-18900000D03* 60 | X34862000Y-18900000D03* 61 | G04 #@! TD* 62 | G04 #@! TO.C,C8* 63 | X52552000Y-35390000D03* 64 | X51028000Y-35390000D03* 65 | G04 #@! TD* 66 | D13* 67 | G04 #@! TO.C,Q1* 68 | X45470000Y-33180000D03* 69 | X47370000Y-33180000D03* 70 | X46420000Y-30180000D03* 71 | G04 #@! TD* 72 | D12* 73 | G04 #@! TO.C,R1* 74 | X28508000Y-35790000D03* 75 | X30032000Y-35790000D03* 76 | G04 #@! TD* 77 | G04 #@! TO.C,R2* 78 | X33312000Y-35790000D03* 79 | X31788000Y-35790000D03* 80 | G04 #@! TD* 81 | D11* 82 | G04 #@! TO.C,R3* 83 | X34050000Y-17292000D03* 84 | X34050000Y-15768000D03* 85 | G04 #@! TD* 86 | D12* 87 | G04 #@! TO.C,R4* 88 | X30872000Y-18910000D03* 89 | X29348000Y-18910000D03* 90 | G04 #@! TD* 91 | D11* 92 | G04 #@! TO.C,R6* 93 | X14290000Y-28112000D03* 94 | X14290000Y-26588000D03* 95 | G04 #@! TD* 96 | G04 #@! TO.C,R7* 97 | X23760000Y-24942000D03* 98 | X23760000Y-23418000D03* 99 | G04 #@! TD* 100 | G04 #@! TO.C,R8* 101 | X23760000Y-21292000D03* 102 | X23760000Y-19768000D03* 103 | G04 #@! TD* 104 | G04 #@! TO.C,R9* 105 | X43460000Y-30018000D03* 106 | X43460000Y-31542000D03* 107 | G04 #@! TD* 108 | G04 #@! TO.C,R10* 109 | X41450000Y-31878000D03* 110 | X41450000Y-33402000D03* 111 | G04 #@! TD* 112 | G04 #@! TO.C,R11* 113 | X49350000Y-33788000D03* 114 | X49350000Y-35312000D03* 115 | G04 #@! TD* 116 | D12* 117 | G04 #@! TO.C,R12* 118 | X52552000Y-33550000D03* 119 | X51028000Y-33550000D03* 120 | G04 #@! TD* 121 | G04 #@! TO.C,R13* 122 | X43122000Y-26570000D03* 123 | X41598000Y-26570000D03* 124 | G04 #@! TD* 125 | G04 #@! TO.C,R14* 126 | X48148000Y-27850000D03* 127 | X49672000Y-27850000D03* 128 | G04 #@! TD* 129 | D14* 130 | G04 #@! TO.C,U1* 131 | X28510000Y-33170000D03* 132 | X29310000Y-33170000D03* 133 | X30110000Y-33170000D03* 134 | X30910000Y-33170000D03* 135 | X31710000Y-33170000D03* 136 | X32510000Y-33170000D03* 137 | X33310000Y-33170000D03* 138 | X34110000Y-33170000D03* 139 | X34910000Y-33170000D03* 140 | X35710000Y-33170000D03* 141 | X36510000Y-33170000D03* 142 | D15* 143 | X38310000Y-31370000D03* 144 | X38310000Y-30570000D03* 145 | X38310000Y-29770000D03* 146 | X38310000Y-28970000D03* 147 | X38310000Y-28170000D03* 148 | X38310000Y-27370000D03* 149 | X38310000Y-26570000D03* 150 | X38310000Y-25770000D03* 151 | X38310000Y-24970000D03* 152 | X38310000Y-24170000D03* 153 | X38310000Y-23370000D03* 154 | D14* 155 | X36510000Y-21570000D03* 156 | X35710000Y-21570000D03* 157 | X34910000Y-21570000D03* 158 | X34110000Y-21570000D03* 159 | X33310000Y-21570000D03* 160 | X32510000Y-21570000D03* 161 | X31710000Y-21570000D03* 162 | X30910000Y-21570000D03* 163 | X30110000Y-21570000D03* 164 | X29310000Y-21570000D03* 165 | X28510000Y-21570000D03* 166 | D15* 167 | X26710000Y-23370000D03* 168 | X26710000Y-24170000D03* 169 | X26710000Y-24970000D03* 170 | X26710000Y-25770000D03* 171 | X26710000Y-26570000D03* 172 | X26710000Y-27370000D03* 173 | X26710000Y-28170000D03* 174 | X26710000Y-28970000D03* 175 | X26710000Y-29770000D03* 176 | X26710000Y-30570000D03* 177 | X26710000Y-31370000D03* 178 | G04 #@! TD* 179 | M02* 180 | -------------------------------------------------------------------------------- /pcb/gerber/snes_dejitter.drl: -------------------------------------------------------------------------------- 1 | M48 2 | INCH,TZ 3 | T1C0.0157 4 | T2C0.0315 5 | T3C0.0394 6 | % 7 | G90 8 | G05 9 | T1 10 | X5870Y-6937 11 | X6280Y-7311 12 | X6299Y-9102 13 | X6303Y-8437 14 | X6362Y-10437 15 | X6720Y-5843 16 | X6909Y-12949 17 | X6913Y-13681 18 | X6925Y-12248 19 | X7531Y-11319 20 | X7587Y-12276 21 | X7598Y-12941 22 | X7602Y-13697 23 | X8921Y-12693 24 | X9118Y-6949 25 | X10075Y-12811 26 | X10551Y-8488 27 | X10642Y-13831 28 | X11232Y-11390 29 | X11350Y-9559 30 | X11425Y-6264 31 | X12091Y-9886 32 | X12094Y-11776 33 | X12531Y-10858 34 | X12547Y-9134 35 | X13118Y-10283 36 | X13413Y-9217 37 | X13516Y-12366 38 | X13559Y-10661 39 | X13571Y-14098 40 | X13940Y-6226 41 | X14181Y-7421 42 | X14181Y-9232 43 | X14197Y-9799 44 | X14280Y-10547 45 | X14319Y-11244 46 | X14346Y-11850 47 | X15744Y-11083 48 | X15803Y-12122 49 | X17276Y-14012 50 | X17480Y-10882 51 | X17988Y-13984 52 | X18650Y-13980 53 | X19138Y-9886 54 | X19728Y-11980 55 | X20386Y-11980 56 | X20606Y-10043 57 | X20980Y-11957 58 | X21453Y-9984 59 | X22177Y-14169 60 | T2 61 | X7492Y-7795 62 | X7492Y-9717 63 | T3 64 | X16673Y-9327 65 | X17673Y-9327 66 | X18673Y-9327 67 | X19673Y-9327 68 | X20673Y-9327 69 | X21673Y-9327 70 | T0 71 | M30 72 | -------------------------------------------------------------------------------- /pcb/snes_dejitter.net: -------------------------------------------------------------------------------- 1 | (export (version D) 2 | (design 3 | (source /home/markus/Code/snes_dejitter/pcb/snes_dejitter.sch) 4 | (date "2018-07-29T11:24:37 EEST") 5 | (tool "Eeschema 5.0.0-rc2+dfsg1-3") 6 | (sheet (number 1) (name /) (tstamps /) 7 | (title_block 8 | (title snes_dejitter) 9 | (company) 10 | (rev 1.2) 11 | (date 2018-04-22) 12 | (source snes_dejitter.sch) 13 | (comment (number 1) (value "")) 14 | (comment (number 2) (value "")) 15 | (comment (number 3) (value "")) 16 | (comment (number 4) (value ""))))) 17 | (components 18 | (comp (ref J1) 19 | (value JTAG_CONN) 20 | (footprint custom_components:JTAG_Header_Angled_1x06_Pitch2.54mm) 21 | (libsource (lib Connector) (part Conn_01x06_Male)) 22 | (sheetpath (names /) (tstamps /)) 23 | (tstamp 5A3036D5)) 24 | (comp (ref J5) 25 | (value CSYNC_i) 26 | (footprint custom_components:SMD_PAD) 27 | (libsource (lib Connector) (part Test_Point)) 28 | (sheetpath (names /) (tstamps /)) 29 | (tstamp 5A303913)) 30 | (comp (ref C2) 31 | (value 0.1u) 32 | (footprint custom_components:SM0603_Capa_libcms) 33 | (libsource (lib Device) (part C_Small)) 34 | (sheetpath (names /) (tstamps /)) 35 | (tstamp 5A304BC8)) 36 | (comp (ref C1) 37 | (value 10u) 38 | (footprint custom_components:SM0603_Capa_libcms) 39 | (libsource (lib Device) (part C_Small)) 40 | (sheetpath (names /) (tstamps /)) 41 | (tstamp 5A305073)) 42 | (comp (ref C4) 43 | (value 0.1u) 44 | (footprint custom_components:SM0603_Capa_libcms) 45 | (libsource (lib Device) (part C_Small)) 46 | (sheetpath (names /) (tstamps /)) 47 | (tstamp 5A3051C6)) 48 | (comp (ref C7) 49 | (value 0.1u) 50 | (footprint custom_components:SM0603_Capa_libcms) 51 | (libsource (lib Device) (part C_Small)) 52 | (sheetpath (names /) (tstamps /)) 53 | (tstamp 5A3052F9)) 54 | (comp (ref J3) 55 | (value GND) 56 | (footprint custom_components:SMD_PAD) 57 | (libsource (lib Connector) (part Test_Point)) 58 | (sheetpath (names /) (tstamps /)) 59 | (tstamp 5A3055EE)) 60 | (comp (ref J2) 61 | (value 5V) 62 | (footprint custom_components:SMD_PAD) 63 | (libsource (lib Connector) (part Test_Point)) 64 | (sheetpath (names /) (tstamps /)) 65 | (tstamp 5A30570B)) 66 | (comp (ref Y1) 67 | (value 21.477272MHz) 68 | (footprint Crystals:Crystal_HC49-U_Vertical) 69 | (libsource (lib Device) (part Crystal_Small)) 70 | (sheetpath (names /) (tstamps /)) 71 | (tstamp 5A305982)) 72 | (comp (ref C5) 73 | (value 12p) 74 | (footprint custom_components:SM0603_Capa_libcms) 75 | (libsource (lib Device) (part C_Small)) 76 | (sheetpath (names /) (tstamps /)) 77 | (tstamp 5A305A9D)) 78 | (comp (ref C6) 79 | (value 12p) 80 | (footprint custom_components:SM0603_Capa_libcms) 81 | (libsource (lib Device) (part C_Small)) 82 | (sheetpath (names /) (tstamps /)) 83 | (tstamp 5A305B28)) 84 | (comp (ref R8) 85 | (value 1k) 86 | (footprint custom_components:SM0603_Resistor_libcms) 87 | (libsource (lib Device) (part R_Small)) 88 | (sheetpath (names /) (tstamps /)) 89 | (tstamp 5A305D66)) 90 | (comp (ref R7) 91 | (value 1M) 92 | (footprint custom_components:SM0603_Resistor_libcms) 93 | (libsource (lib Device) (part R_Small)) 94 | (sheetpath (names /) (tstamps /)) 95 | (tstamp 5A305EA9)) 96 | (comp (ref J6) 97 | (value MCLK_EXT_i) 98 | (footprint custom_components:SMD_PAD) 99 | (libsource (lib Connector) (part Test_Point)) 100 | (sheetpath (names /) (tstamps /)) 101 | (tstamp 5A306796)) 102 | (comp (ref J7) 103 | (value CLK_SEL_i) 104 | (footprint custom_components:SMD_PAD) 105 | (libsource (lib Connector) (part Test_Point)) 106 | (sheetpath (names /) (tstamps /)) 107 | (tstamp 5A306A50)) 108 | (comp (ref J9) 109 | (value MCLK_o) 110 | (footprint custom_components:SMD_PAD) 111 | (libsource (lib Connector) (part Test_Point)) 112 | (sheetpath (names /) (tstamps /)) 113 | (tstamp 5A3098E2)) 114 | (comp (ref Q1) 115 | (value 2SC2412) 116 | (footprint TO_SOT_Packages_SMD:SOT-23_Handsoldering) 117 | (libsource (lib Transistor_BJT) (part BC847)) 118 | (sheetpath (names /) (tstamps /)) 119 | (tstamp 5A309B8D)) 120 | (comp (ref R11) 121 | (value 300) 122 | (footprint custom_components:SM0603_Resistor_libcms) 123 | (libsource (lib Device) (part R)) 124 | (sheetpath (names /) (tstamps /)) 125 | (tstamp 5A309D32)) 126 | (comp (ref R12) 127 | (value 100) 128 | (footprint custom_components:SM0603_Resistor_libcms) 129 | (libsource (lib Device) (part R)) 130 | (sheetpath (names /) (tstamps /)) 131 | (tstamp 5A309EE5)) 132 | (comp (ref J10) 133 | (value CSYNC_o) 134 | (footprint custom_components:SMD_PAD) 135 | (libsource (lib Connector) (part Test_Point)) 136 | (sheetpath (names /) (tstamps /)) 137 | (tstamp 5A30A5C5)) 138 | (comp (ref C8) 139 | (value 330p) 140 | (footprint custom_components:SM0603_Capa_libcms) 141 | (libsource (lib Device) (part C_Small)) 142 | (sheetpath (names /) (tstamps /)) 143 | (tstamp 5A30D1B3)) 144 | (comp (ref R6) 145 | (value 10k) 146 | (footprint custom_components:SM0603_Resistor_libcms) 147 | (libsource (lib Device) (part R_Small)) 148 | (sheetpath (names /) (tstamps /)) 149 | (tstamp 5A3541E2)) 150 | (comp (ref C3) 151 | (value 0.1u) 152 | (footprint custom_components:SM0603_Capa_libcms) 153 | (libsource (lib Device) (part C_Small)) 154 | (sheetpath (names /) (tstamps /)) 155 | (tstamp 5A354AC5)) 156 | (comp (ref R9) 157 | (value 1k) 158 | (footprint custom_components:SM0603_Resistor_libcms) 159 | (libsource (lib Device) (part R_Small)) 160 | (sheetpath (names /) (tstamps /)) 161 | (tstamp 5A3553FB)) 162 | (comp (ref R10) 163 | (value 5.6k) 164 | (footprint custom_components:SM0603_Resistor_libcms) 165 | (libsource (lib Device) (part R_Small)) 166 | (sheetpath (names /) (tstamps /)) 167 | (tstamp 5A355640)) 168 | (comp (ref R4) 169 | (value 1k) 170 | (footprint custom_components:SM0603_Resistor_libcms) 171 | (libsource (lib Device) (part R_Small)) 172 | (sheetpath (names /) (tstamps /)) 173 | (tstamp 5A356677)) 174 | (comp (ref R5) 175 | (value 5.6k) 176 | (footprint custom_components:SM0603_Resistor_libcms) 177 | (libsource (lib Device) (part R_Small)) 178 | (sheetpath (names /) (tstamps /)) 179 | (tstamp 5A35667D)) 180 | (comp (ref U1) 181 | (value ATF1502AS-7AX44) 182 | (footprint custom_components:TQFP-44_10x10mm_Pitch0.8mm_Handsoldering) 183 | (datasheet DOCUMENTATION) 184 | (libsource (lib custom_components) (part ATF1502AS-7AX44)) 185 | (sheetpath (names /) (tstamps /)) 186 | (tstamp 5A35B089)) 187 | (comp (ref R1) 188 | (value 10k) 189 | (footprint custom_components:SM0603_Resistor_libcms) 190 | (libsource (lib Device) (part R_Small)) 191 | (sheetpath (names /) (tstamps /)) 192 | (tstamp 5A3BE8DB)) 193 | (comp (ref R2) 194 | (value 10k) 195 | (footprint custom_components:SM0603_Resistor_libcms) 196 | (libsource (lib Device) (part R_Small)) 197 | (sheetpath (names /) (tstamps /)) 198 | (tstamp 5A3BE9C9)) 199 | (comp (ref R3) 200 | (value 10k) 201 | (footprint custom_components:SM0603_Resistor_libcms) 202 | (libsource (lib Device) (part R_Small)) 203 | (sheetpath (names /) (tstamps /)) 204 | (tstamp 5A3BEA28)) 205 | (comp (ref R14) 206 | (value 300) 207 | (footprint custom_components:SM0603_Resistor_libcms) 208 | (libsource (lib Device) (part R)) 209 | (sheetpath (names /) (tstamps /)) 210 | (tstamp 5A3BF142)) 211 | (comp (ref R13) 212 | (value 39) 213 | (footprint custom_components:SM0603_Resistor_libcms) 214 | (libsource (lib Device) (part R)) 215 | (sheetpath (names /) (tstamps /)) 216 | (tstamp 5A3BF2C5)) 217 | (comp (ref J4) 218 | (value GND) 219 | (footprint custom_components:SMD_PAD) 220 | (libsource (lib Connector) (part Test_Point)) 221 | (sheetpath (names /) (tstamps /)) 222 | (tstamp 5A3C5CE2)) 223 | (comp (ref J8) 224 | (value GND) 225 | (footprint custom_components:SMD_PAD) 226 | (libsource (lib Connector) (part Test_Point)) 227 | (sheetpath (names /) (tstamps /)) 228 | (tstamp 5A3C5F44)) 229 | (comp (ref JP3) 230 | (value Jumper_NO_Small) 231 | (footprint custom_components:SMD_JUMPER) 232 | (libsource (lib Device) (part Jumper_NO_Small)) 233 | (sheetpath (names /) (tstamps /)) 234 | (tstamp 5ADC4EC6)) 235 | (comp (ref JP2) 236 | (value Jumper_NO_Small) 237 | (footprint custom_components:SMD_JUMPER) 238 | (libsource (lib Device) (part Jumper_NO_Small)) 239 | (sheetpath (names /) (tstamps /)) 240 | (tstamp 5ADC57AE)) 241 | (comp (ref JP4) 242 | (value Jumper_NO_Small) 243 | (footprint custom_components:SMD_JUMPER) 244 | (libsource (lib Device) (part Jumper_NO_Small)) 245 | (sheetpath (names /) (tstamps /)) 246 | (tstamp 5B5E6343)) 247 | (comp (ref R15) 248 | (value 10k) 249 | (footprint custom_components:SM0603_Resistor_libcms) 250 | (libsource (lib Device) (part R_Small)) 251 | (sheetpath (names /) (tstamps /)) 252 | (tstamp 5B5E8E16))) 253 | (libparts 254 | (libpart (lib Connector) (part Conn_01x06_Male) 255 | (description "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)") 256 | (docs ~) 257 | (footprints 258 | (fp Connector*:*_1x??_*)) 259 | (fields 260 | (field (name Reference) J) 261 | (field (name Value) Conn_01x06_Male)) 262 | (pins 263 | (pin (num 1) (name Pin_1) (type passive)) 264 | (pin (num 2) (name Pin_2) (type passive)) 265 | (pin (num 3) (name Pin_3) (type passive)) 266 | (pin (num 4) (name Pin_4) (type passive)) 267 | (pin (num 5) (name Pin_5) (type passive)) 268 | (pin (num 6) (name Pin_6) (type passive)))) 269 | (libpart (lib Connector) (part Test_Point) 270 | (description "test point") 271 | (docs ~) 272 | (footprints 273 | (fp Pin*) 274 | (fp Test*)) 275 | (fields 276 | (field (name Reference) TP) 277 | (field (name Value) Test_Point)) 278 | (pins 279 | (pin (num 1) (name 1) (type passive)))) 280 | (libpart (lib Device) (part C_Small) 281 | (description "Unpolarized capacitor") 282 | (docs ~) 283 | (footprints 284 | (fp C_*)) 285 | (fields 286 | (field (name Reference) C) 287 | (field (name Value) C_Small)) 288 | (pins 289 | (pin (num 1) (name ~) (type passive)) 290 | (pin (num 2) (name ~) (type passive)))) 291 | (libpart (lib Device) (part Crystal_Small) 292 | (description "Two pin crystal, small symbol") 293 | (docs ~) 294 | (footprints 295 | (fp Crystal*)) 296 | (fields 297 | (field (name Reference) Y) 298 | (field (name Value) Crystal_Small)) 299 | (pins 300 | (pin (num 1) (name 1) (type passive)) 301 | (pin (num 2) (name 2) (type passive)))) 302 | (libpart (lib Device) (part Jumper_NO_Small) 303 | (description "Jumper, normally open") 304 | (docs ~) 305 | (fields 306 | (field (name Reference) JP) 307 | (field (name Value) Jumper_NO_Small)) 308 | (pins 309 | (pin (num 1) (name 1) (type passive)) 310 | (pin (num 2) (name 2) (type passive)))) 311 | (libpart (lib Device) (part R) 312 | (description Resistor) 313 | (docs ~) 314 | (footprints 315 | (fp R_*)) 316 | (fields 317 | (field (name Reference) R) 318 | (field (name Value) R)) 319 | (pins 320 | (pin (num 1) (name ~) (type passive)) 321 | (pin (num 2) (name ~) (type passive)))) 322 | (libpart (lib Device) (part R_Small) 323 | (description "Resistor, small symbol") 324 | (docs ~) 325 | (footprints 326 | (fp R_*)) 327 | (fields 328 | (field (name Reference) R) 329 | (field (name Value) R_Small)) 330 | (pins 331 | (pin (num 1) (name ~) (type passive)) 332 | (pin (num 2) (name ~) (type passive)))) 333 | (libpart (lib Transistor_BJT) (part BC817) 334 | (aliases 335 | (alias BC818) 336 | (alias BC847) 337 | (alias BC848) 338 | (alias BC849) 339 | (alias BC850) 340 | (alias MMBT3904) 341 | (alias MMBT5550L) 342 | (alias MMBT5551L)) 343 | (description "0.8A Ic, 45V Vce, NPN Transistor, SOT-23") 344 | (docs http://www.fairchildsemi.com/ds/BC/BC817.pdf) 345 | (footprints 346 | (fp SOT?23*)) 347 | (fields 348 | (field (name Reference) Q) 349 | (field (name Value) BC817) 350 | (field (name Footprint) Package_TO_SOT_SMD:SOT-23)) 351 | (pins 352 | (pin (num 1) (name B) (type input)) 353 | (pin (num 2) (name E) (type passive)) 354 | (pin (num 3) (name C) (type passive)))) 355 | (libpart (lib custom_components) (part ATF1502AS-7AX44) 356 | (fields 357 | (field (name Reference) U) 358 | (field (name Value) ATF1502AS-7AX44) 359 | (field (name Footprint) MODULE) 360 | (field (name Datasheet) DOCUMENTATION)) 361 | (pins 362 | (pin (num 1) (name TDI) (type input)) 363 | (pin (num 2) (name IO2) (type BiDi)) 364 | (pin (num 3) (name IO3) (type BiDi)) 365 | (pin (num 4) (name GND) (type power_in)) 366 | (pin (num 5) (name IO5) (type BiDi)) 367 | (pin (num 6) (name IO6) (type BiDi)) 368 | (pin (num 7) (name TMS) (type input)) 369 | (pin (num 8) (name IO8) (type BiDi)) 370 | (pin (num 9) (name VCC) (type power_in)) 371 | (pin (num 10) (name IO10) (type BiDi)) 372 | (pin (num 11) (name IO11) (type BiDi)) 373 | (pin (num 12) (name IO12) (type BiDi)) 374 | (pin (num 13) (name IO13) (type BiDi)) 375 | (pin (num 14) (name IO14) (type BiDi)) 376 | (pin (num 15) (name IO15) (type BiDi)) 377 | (pin (num 16) (name GND) (type power_in)) 378 | (pin (num 17) (name VCC) (type power_in)) 379 | (pin (num 18) (name IO18) (type BiDi)) 380 | (pin (num 19) (name IO19) (type BiDi)) 381 | (pin (num 20) (name IO20) (type BiDi)) 382 | (pin (num 21) (name IO21) (type BiDi)) 383 | (pin (num 22) (name IO22) (type BiDi)) 384 | (pin (num 23) (name IO23) (type BiDi)) 385 | (pin (num 24) (name GND) (type power_in)) 386 | (pin (num 25) (name IO25) (type BiDi)) 387 | (pin (num 26) (name TCK) (type input)) 388 | (pin (num 27) (name IO27) (type BiDi)) 389 | (pin (num 28) (name IO28) (type BiDi)) 390 | (pin (num 29) (name VCC) (type power_in)) 391 | (pin (num 30) (name IO30) (type BiDi)) 392 | (pin (num 31) (name IO31) (type BiDi)) 393 | (pin (num 32) (name TDO) (type output)) 394 | (pin (num 33) (name IO33) (type BiDi)) 395 | (pin (num 34) (name IO34) (type BiDi)) 396 | (pin (num 35) (name IO35) (type BiDi)) 397 | (pin (num 36) (name GND) (type power_in)) 398 | (pin (num 37) (name GCLK1/I) (type input)) 399 | (pin (num 38) (name OE1/I) (type input)) 400 | (pin (num 39) (name GCLR/I) (type input)) 401 | (pin (num 40) (name GCLK2/OE2/I) (type input)) 402 | (pin (num 41) (name VCC) (type power_in)) 403 | (pin (num 42) (name IO42) (type BiDi)) 404 | (pin (num 43) (name IO43) (type BiDi)) 405 | (pin (num 44) (name IO44) (type BiDi))))) 406 | (libraries 407 | (library (logical Connector) 408 | (uri /usr/share/kicad/library/Connector.lib)) 409 | (library (logical Device) 410 | (uri /usr/share/kicad/library/Device.lib)) 411 | (library (logical Transistor_BJT) 412 | (uri /usr/share/kicad/library/Transistor_BJT.lib)) 413 | (library (logical custom_components) 414 | (uri /home/markus/Code/snes_dejitter/pcb/custom_components.lib))) 415 | (nets 416 | (net (code 1) (name "Net-(U1-Pad21)") 417 | (node (ref U1) (pin 21))) 418 | (net (code 2) (name "Net-(U1-Pad33)") 419 | (node (ref U1) (pin 33))) 420 | (net (code 3) (name "Net-(U1-Pad23)") 421 | (node (ref U1) (pin 23))) 422 | (net (code 4) (name "Net-(U1-Pad13)") 423 | (node (ref U1) (pin 13))) 424 | (net (code 5) (name "Net-(U1-Pad42)") 425 | (node (ref U1) (pin 42))) 426 | (net (code 6) (name "Net-(U1-Pad22)") 427 | (node (ref U1) (pin 22))) 428 | (net (code 7) (name "Net-(U1-Pad12)") 429 | (node (ref U1) (pin 12))) 430 | (net (code 8) (name "Net-(U1-Pad31)") 431 | (node (ref U1) (pin 31))) 432 | (net (code 9) (name "Net-(U1-Pad43)") 433 | (node (ref U1) (pin 43))) 434 | (net (code 10) (name "Net-(U1-Pad11)") 435 | (node (ref U1) (pin 11))) 436 | (net (code 11) (name "Net-(U1-Pad30)") 437 | (node (ref U1) (pin 30))) 438 | (net (code 12) (name "Net-(U1-Pad20)") 439 | (node (ref U1) (pin 20))) 440 | (net (code 13) (name "Net-(U1-Pad10)") 441 | (node (ref U1) (pin 10))) 442 | (net (code 14) (name "Net-(U1-Pad8)") 443 | (node (ref U1) (pin 8))) 444 | (net (code 15) (name "Net-(U1-Pad19)") 445 | (node (ref U1) (pin 19))) 446 | (net (code 16) (name "Net-(U1-Pad28)") 447 | (node (ref U1) (pin 28))) 448 | (net (code 17) (name "Net-(U1-Pad27)") 449 | (node (ref U1) (pin 27))) 450 | (net (code 18) (name "Net-(U1-Pad6)") 451 | (node (ref U1) (pin 6))) 452 | (net (code 19) (name "Net-(U1-Pad35)") 453 | (node (ref U1) (pin 35))) 454 | (net (code 20) (name "Net-(U1-Pad25)") 455 | (node (ref U1) (pin 25))) 456 | (net (code 21) (name "Net-(U1-Pad44)") 457 | (node (ref U1) (pin 44))) 458 | (net (code 22) (name "Net-(U1-Pad14)") 459 | (node (ref U1) (pin 14))) 460 | (net (code 23) (name "Net-(Q1-Pad1)") 461 | (node (ref R10) (pin 1)) 462 | (node (ref R9) (pin 2)) 463 | (node (ref Q1) (pin 1))) 464 | (net (code 24) (name GND) 465 | (node (ref J3) (pin 1)) 466 | (node (ref C7) (pin 2)) 467 | (node (ref R1) (pin 2)) 468 | (node (ref R2) (pin 2)) 469 | (node (ref R3) (pin 2)) 470 | (node (ref R14) (pin 2)) 471 | (node (ref C3) (pin 2)) 472 | (node (ref R11) (pin 2)) 473 | (node (ref R6) (pin 2)) 474 | (node (ref C8) (pin 2)) 475 | (node (ref C2) (pin 2)) 476 | (node (ref C6) (pin 2)) 477 | (node (ref C1) (pin 2)) 478 | (node (ref C4) (pin 2)) 479 | (node (ref J1) (pin 2)) 480 | (node (ref C5) (pin 2)) 481 | (node (ref R15) (pin 2)) 482 | (node (ref U1) (pin 36)) 483 | (node (ref U1) (pin 16)) 484 | (node (ref J4) (pin 1)) 485 | (node (ref U1) (pin 24)) 486 | (node (ref J8) (pin 1)) 487 | (node (ref R10) (pin 2)) 488 | (node (ref R5) (pin 2)) 489 | (node (ref U1) (pin 4))) 490 | (net (code 25) (name /DVDD5V) 491 | (node (ref C4) (pin 1)) 492 | (node (ref C2) (pin 1)) 493 | (node (ref C7) (pin 1)) 494 | (node (ref U1) (pin 9)) 495 | (node (ref C3) (pin 1)) 496 | (node (ref U1) (pin 41)) 497 | (node (ref J1) (pin 1)) 498 | (node (ref C1) (pin 1)) 499 | (node (ref Q1) (pin 3)) 500 | (node (ref U1) (pin 17)) 501 | (node (ref U1) (pin 29)) 502 | (node (ref J2) (pin 1))) 503 | (net (code 26) (name /MCLK_EXT_i) 504 | (node (ref R15) (pin 1)) 505 | (node (ref U1) (pin 40)) 506 | (node (ref J6) (pin 1))) 507 | (net (code 27) (name "Net-(U1-Pad5)") 508 | (node (ref U1) (pin 5))) 509 | (net (code 28) (name "Net-(U1-Pad3)") 510 | (node (ref U1) (pin 3))) 511 | (net (code 29) (name "Net-(U1-Pad2)") 512 | (node (ref U1) (pin 2))) 513 | (net (code 30) (name "Net-(J1-Pad6)") 514 | (node (ref R4) (pin 2)) 515 | (node (ref JP4) (pin 2)) 516 | (node (ref R5) (pin 1)) 517 | (node (ref J1) (pin 6))) 518 | (net (code 31) (name "Net-(C5-Pad1)") 519 | (node (ref C5) (pin 1)) 520 | (node (ref Y1) (pin 1)) 521 | (node (ref U1) (pin 37)) 522 | (node (ref R7) (pin 1))) 523 | (net (code 32) (name "Net-(JP2-Pad2)") 524 | (node (ref R14) (pin 1)) 525 | (node (ref JP2) (pin 2))) 526 | (net (code 33) (name "Net-(J9-Pad1)") 527 | (node (ref J9) (pin 1)) 528 | (node (ref JP2) (pin 1)) 529 | (node (ref R13) (pin 1))) 530 | (net (code 34) (name "Net-(C8-Pad1)") 531 | (node (ref JP3) (pin 2)) 532 | (node (ref C8) (pin 1))) 533 | (net (code 35) (name "Net-(J10-Pad1)") 534 | (node (ref R12) (pin 1)) 535 | (node (ref J10) (pin 1)) 536 | (node (ref JP3) (pin 1))) 537 | (net (code 36) (name /TDI) 538 | (node (ref U1) (pin 1)) 539 | (node (ref J1) (pin 5)) 540 | (node (ref R1) (pin 1))) 541 | (net (code 37) (name /CSYNC_o) 542 | (node (ref R9) (pin 1)) 543 | (node (ref U1) (pin 15))) 544 | (net (code 38) (name /GCLK_o) 545 | (node (ref R13) (pin 2)) 546 | (node (ref U1) (pin 18))) 547 | (net (code 39) (name /TDO) 548 | (node (ref U1) (pin 32)) 549 | (node (ref JP4) (pin 1)) 550 | (node (ref R4) (pin 1))) 551 | (net (code 40) (name /TMS) 552 | (node (ref J1) (pin 4)) 553 | (node (ref R2) (pin 1)) 554 | (node (ref U1) (pin 7))) 555 | (net (code 41) (name /TCK) 556 | (node (ref U1) (pin 26)) 557 | (node (ref J1) (pin 3)) 558 | (node (ref R3) (pin 1))) 559 | (net (code 42) (name "Net-(C6-Pad1)") 560 | (node (ref C6) (pin 1)) 561 | (node (ref Y1) (pin 2)) 562 | (node (ref R8) (pin 2))) 563 | (net (code 43) (name "Net-(R7-Pad2)") 564 | (node (ref R7) (pin 2)) 565 | (node (ref U1) (pin 34)) 566 | (node (ref R8) (pin 1))) 567 | (net (code 44) (name /CSYNC_i) 568 | (node (ref J5) (pin 1)) 569 | (node (ref U1) (pin 39))) 570 | (net (code 45) (name /MCLK_SEL_i) 571 | (node (ref U1) (pin 38)) 572 | (node (ref J7) (pin 1)) 573 | (node (ref R6) (pin 1))) 574 | (net (code 46) (name "Net-(Q1-Pad2)") 575 | (node (ref R11) (pin 1)) 576 | (node (ref Q1) (pin 2)) 577 | (node (ref R12) (pin 2))))) -------------------------------------------------------------------------------- /pcb/snes_dejitter.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/pcb/snes_dejitter.pdf -------------------------------------------------------------------------------- /pcb/snes_dejitter.pro: -------------------------------------------------------------------------------- 1 | update=2018-07-29T10:56:43 EEST 2 | version=1 3 | last_client=kicad 4 | [pcbnew] 5 | version=1 6 | LastNetListRead= 7 | UseCmpFile=1 8 | PadDrill=0.600000000000 9 | PadDrillOvalY=0.600000000000 10 | PadSizeH=1.500000000000 11 | PadSizeV=1.500000000000 12 | PcbTextSizeV=1.500000000000 13 | PcbTextSizeH=1.500000000000 14 | PcbTextThickness=0.300000000000 15 | ModuleTextSizeV=1.000000000000 16 | ModuleTextSizeH=1.000000000000 17 | ModuleTextSizeThickness=0.150000000000 18 | SolderMaskClearance=0.000000000000 19 | SolderMaskMinWidth=0.000000000000 20 | DrawSegmentWidth=0.200000000000 21 | BoardOutlineThickness=0.100000000000 22 | ModuleOutlineThickness=0.150000000000 23 | [cvpcb] 24 | version=1 25 | NetIExt=net 26 | [general] 27 | version=1 28 | [schematic_editor] 29 | version=1 30 | PageLayoutDescrFile= 31 | PlotDirectoryName=./ 32 | SubpartIdSeparator=0 33 | SubpartFirstId=65 34 | NetFmtName= 35 | SpiceForceRefPrefix=0 36 | SpiceUseNetNumbers=0 37 | LabSize=60 38 | [eeschema] 39 | version=1 40 | LibDir= 41 | -------------------------------------------------------------------------------- /pcb/snes_dejitter.sch: -------------------------------------------------------------------------------- 1 | EESchema Schematic File Version 4 2 | LIBS:snes_dejitter-cache 3 | EELAYER 26 0 4 | EELAYER END 5 | $Descr A4 11693 8268 6 | encoding utf-8 7 | Sheet 1 1 8 | Title "snes_dejitter" 9 | Date "2018-08-01" 10 | Rev "1.3" 11 | Comp "" 12 | Comment1 "" 13 | Comment2 "" 14 | Comment3 "" 15 | Comment4 "" 16 | $EndDescr 17 | $Comp 18 | L Connector:Conn_01x06_Male J1 19 | U 1 1 5A3036D5 20 | P 900 1100 21 | F 0 "J1" H 900 1400 50 0000 C CNN 22 | F 1 "JTAG_CONN" H 900 700 50 0000 C CNN 23 | F 2 "custom_components:JTAG_Header_Angled_1x06_Pitch2.54mm" H 900 1100 50 0001 C CNN 24 | F 3 "" H 900 1100 50 0001 C CNN 25 | 1 900 1100 26 | 1 0 0 -1 27 | $EndComp 28 | Wire Wire Line 29 | 1100 900 1750 900 30 | $Comp 31 | L power:GND #PWR01 32 | U 1 1 5A30382B 33 | P 1750 1000 34 | F 0 "#PWR01" H 1750 750 50 0001 C CNN 35 | F 1 "GND" H 1750 850 50 0000 C CNN 36 | F 2 "" H 1750 1000 50 0001 C CNN 37 | F 3 "" H 1750 1000 50 0001 C CNN 38 | 1 1750 1000 39 | 0 -1 -1 0 40 | $EndComp 41 | Wire Wire Line 42 | 1100 1100 1600 1100 43 | Text Label 1200 1100 0 60 ~ 0 44 | TCK 45 | Wire Wire Line 46 | 1100 1200 1400 1200 47 | Text Label 1200 1200 0 60 ~ 0 48 | TMS 49 | Wire Wire Line 50 | 1100 1300 1200 1300 51 | Text Label 1200 1300 0 60 ~ 0 52 | TDI 53 | Text Label 2200 1200 0 60 ~ 0 54 | TDO 55 | Wire Wire Line 56 | 1100 1000 1750 1000 57 | Text Label 1500 900 0 60 ~ 0 58 | DVDD5V 59 | $Comp 60 | L Connector:Test_Point J5 61 | U 1 1 5A303913 62 | P 1150 2550 63 | F 0 "J5" H 1150 2820 50 0001 C CNN 64 | F 1 "CSYNC_i" V 1150 2900 50 0000 C CNN 65 | F 2 "custom_components:SMD_PAD" H 1350 2550 50 0001 C CNN 66 | F 3 "" H 1350 2550 50 0001 C CNN 67 | 1 1150 2550 68 | 0 -1 -1 0 69 | $EndComp 70 | Text Label 3700 2600 0 60 ~ 0 71 | TDI 72 | Wire Wire Line 73 | 1600 2550 1150 2550 74 | Text Label 1200 2550 0 60 ~ 0 75 | CSYNC_i 76 | $Comp 77 | L Device:C_Small C2 78 | U 1 1 5A304BC8 79 | P 3300 3500 80 | F 0 "C2" H 3310 3570 50 0000 L CNN 81 | F 1 "0.1u" H 3310 3420 50 0000 L CNN 82 | F 2 "custom_components:SM0603_Capa_libcms" H 3300 3500 50 0001 C CNN 83 | F 3 "" H 3300 3500 50 0001 C CNN 84 | 1 3300 3500 85 | 1 0 0 -1 86 | $EndComp 87 | $Comp 88 | L power:GND #PWR02 89 | U 1 1 5A304D1B 90 | P 3300 3600 91 | F 0 "#PWR02" H 3300 3350 50 0001 C CNN 92 | F 1 "GND" H 3300 3450 50 0000 C CNN 93 | F 2 "" H 3300 3600 50 0001 C CNN 94 | F 3 "" H 3300 3600 50 0001 C CNN 95 | 1 3300 3600 96 | 1 0 0 -1 97 | $EndComp 98 | $Comp 99 | L power:GND #PWR03 100 | U 1 1 5A304D6C 101 | P 3900 2900 102 | F 0 "#PWR03" H 3900 2650 50 0001 C CNN 103 | F 1 "GND" H 3900 2750 50 0000 C CNN 104 | F 2 "" H 3900 2900 50 0001 C CNN 105 | F 3 "" H 3900 2900 50 0001 C CNN 106 | 1 3900 2900 107 | 0 1 1 0 108 | $EndComp 109 | $Comp 110 | L Device:C_Small C1 111 | U 1 1 5A305073 112 | P 1900 4400 113 | F 0 "C1" H 1910 4470 50 0000 L CNN 114 | F 1 "10u" H 1910 4320 50 0000 L CNN 115 | F 2 "custom_components:SM0603_Capa_libcms" H 1900 4400 50 0001 C CNN 116 | F 3 "" H 1900 4400 50 0001 C CNN 117 | 1 1900 4400 118 | 1 0 0 -1 119 | $EndComp 120 | $Comp 121 | L power:GND #PWR04 122 | U 1 1 5A30507A 123 | P 1900 4500 124 | F 0 "#PWR04" H 1900 4250 50 0001 C CNN 125 | F 1 "GND" H 1900 4350 50 0000 C CNN 126 | F 2 "" H 1900 4500 50 0001 C CNN 127 | F 3 "" H 1900 4500 50 0001 C CNN 128 | 1 1900 4500 129 | 1 0 0 -1 130 | $EndComp 131 | $Comp 132 | L Device:C_Small C4 133 | U 1 1 5A3051C6 134 | P 5100 4800 135 | F 0 "C4" H 5110 4870 50 0000 L CNN 136 | F 1 "0.1u" H 5110 4720 50 0000 L CNN 137 | F 2 "custom_components:SM0603_Capa_libcms" H 5100 4800 50 0001 C CNN 138 | F 3 "" H 5100 4800 50 0001 C CNN 139 | 1 5100 4800 140 | 1 0 0 -1 141 | $EndComp 142 | $Comp 143 | L power:GND #PWR05 144 | U 1 1 5A3051CD 145 | P 5100 4900 146 | F 0 "#PWR05" H 5100 4650 50 0001 C CNN 147 | F 1 "GND" H 5100 4750 50 0000 C CNN 148 | F 2 "" H 5100 4900 50 0001 C CNN 149 | F 3 "" H 5100 4900 50 0001 C CNN 150 | 1 5100 4900 151 | 1 0 0 -1 152 | $EndComp 153 | Wire Wire Line 154 | 6350 3300 6100 3300 155 | Text Label 6150 3300 0 60 ~ 0 156 | TCK 157 | $Comp 158 | L power:GND #PWR06 159 | U 1 1 5A305288 160 | P 4900 4200 161 | F 0 "#PWR06" H 4900 3950 50 0001 C CNN 162 | F 1 "GND" H 4900 4050 50 0000 C CNN 163 | F 2 "" H 4900 4200 50 0001 C CNN 164 | F 3 "" H 4900 4200 50 0001 C CNN 165 | 1 4900 4200 166 | 1 0 0 -1 167 | $EndComp 168 | $Comp 169 | L Device:C_Small C7 170 | U 1 1 5A3052F9 171 | P 6550 3100 172 | F 0 "C7" H 6560 3170 50 0000 L CNN 173 | F 1 "0.1u" H 6560 3020 50 0000 L CNN 174 | F 2 "custom_components:SM0603_Capa_libcms" H 6550 3100 50 0001 C CNN 175 | F 3 "" H 6550 3100 50 0001 C CNN 176 | 1 6550 3100 177 | 1 0 0 -1 178 | $EndComp 179 | $Comp 180 | L power:GND #PWR07 181 | U 1 1 5A305300 182 | P 6550 3200 183 | F 0 "#PWR07" H 6550 2950 50 0001 C CNN 184 | F 1 "GND" H 6550 3050 50 0000 C CNN 185 | F 2 "" H 6550 3200 50 0001 C CNN 186 | F 3 "" H 6550 3200 50 0001 C CNN 187 | 1 6550 3200 188 | 1 0 0 -1 189 | $EndComp 190 | Wire Wire Line 191 | 3900 3200 3650 3200 192 | Text Label 3700 3200 0 60 ~ 0 193 | TMS 194 | Wire Wire Line 195 | 6300 2700 6100 2700 196 | Text Label 6150 2700 0 60 ~ 0 197 | TDO 198 | $Comp 199 | L Connector:Test_Point J3 200 | U 1 1 5A3055EE 201 | P 1100 4600 202 | F 0 "J3" H 1100 4870 50 0001 C CNN 203 | F 1 "GND" H 1100 4800 50 0000 C CNN 204 | F 2 "custom_components:SMD_PAD" H 1300 4600 50 0001 C CNN 205 | F 3 "" H 1300 4600 50 0001 C CNN 206 | 1 1100 4600 207 | 0 -1 -1 0 208 | $EndComp 209 | Wire Wire Line 210 | 1550 4600 1100 4600 211 | $Comp 212 | L power:GND #PWR08 213 | U 1 1 5A3056B2 214 | P 1550 4600 215 | F 0 "#PWR08" H 1550 4350 50 0001 C CNN 216 | F 1 "GND" H 1550 4450 50 0000 C CNN 217 | F 2 "" H 1550 4600 50 0001 C CNN 218 | F 3 "" H 1550 4600 50 0001 C CNN 219 | 1 1550 4600 220 | 1 0 0 -1 221 | $EndComp 222 | $Comp 223 | L Connector:Test_Point J2 224 | U 1 1 5A30570B 225 | P 1100 4300 226 | F 0 "J2" H 1100 4570 50 0001 C CNN 227 | F 1 "5V" H 1100 4500 50 0000 C CNN 228 | F 2 "custom_components:SMD_PAD" H 1300 4300 50 0001 C CNN 229 | F 3 "" H 1300 4300 50 0001 C CNN 230 | 1 1100 4300 231 | 0 -1 -1 0 232 | $EndComp 233 | Wire Wire Line 234 | 1100 4300 1550 4300 235 | Text Label 1150 4300 0 60 ~ 0 236 | DVDD5V 237 | $Comp 238 | L Device:Crystal_Small Y1 239 | U 1 1 5A305982 240 | P 6150 1300 241 | F 0 "Y1" H 6150 1400 50 0000 C CNN 242 | F 1 "21.477272MHz" H 6150 1200 50 0000 C CNN 243 | F 2 "Crystals:Crystal_HC49-U_Vertical" H 6150 1300 50 0001 C CNN 244 | F 3 "" H 6150 1300 50 0001 C CNN 245 | 1 6150 1300 246 | 1 0 0 -1 247 | $EndComp 248 | $Comp 249 | L Device:C_Small C5 250 | U 1 1 5A305A9D 251 | P 5950 1600 252 | F 0 "C5" H 5960 1670 50 0000 L CNN 253 | F 1 "12p" H 5960 1520 50 0000 L CNN 254 | F 2 "custom_components:SM0603_Capa_libcms" H 5950 1600 50 0001 C CNN 255 | F 3 "" H 5950 1600 50 0001 C CNN 256 | 1 5950 1600 257 | 1 0 0 -1 258 | $EndComp 259 | $Comp 260 | L Device:C_Small C6 261 | U 1 1 5A305B28 262 | P 6300 1600 263 | F 0 "C6" H 6310 1670 50 0000 L CNN 264 | F 1 "12p" H 6310 1520 50 0000 L CNN 265 | F 2 "custom_components:SM0603_Capa_libcms" H 6300 1600 50 0001 C CNN 266 | F 3 "" H 6300 1600 50 0001 C CNN 267 | 1 6300 1600 268 | 1 0 0 -1 269 | $EndComp 270 | Wire Wire Line 271 | 5950 1300 6050 1300 272 | Wire Wire Line 273 | 6250 1300 6300 1300 274 | Wire Wire Line 275 | 6300 1300 6300 1500 276 | $Comp 277 | L power:GND #PWR09 278 | U 1 1 5A305CCE 279 | P 5950 1700 280 | F 0 "#PWR09" H 5950 1450 50 0001 C CNN 281 | F 1 "GND" H 5950 1550 50 0000 C CNN 282 | F 2 "" H 5950 1700 50 0001 C CNN 283 | F 3 "" H 5950 1700 50 0001 C CNN 284 | 1 5950 1700 285 | 1 0 0 -1 286 | $EndComp 287 | $Comp 288 | L power:GND #PWR010 289 | U 1 1 5A305D09 290 | P 6300 1700 291 | F 0 "#PWR010" H 6300 1450 50 0001 C CNN 292 | F 1 "GND" H 6300 1550 50 0000 C CNN 293 | F 2 "" H 6300 1700 50 0001 C CNN 294 | F 3 "" H 6300 1700 50 0001 C CNN 295 | 1 6300 1700 296 | 1 0 0 -1 297 | $EndComp 298 | $Comp 299 | L Device:R_Small R8 300 | U 1 1 5A305D66 301 | P 6300 1200 302 | F 0 "R8" H 6330 1220 50 0000 L CNN 303 | F 1 "1k" H 6330 1160 50 0000 L CNN 304 | F 2 "custom_components:SM0603_Resistor_libcms" H 6300 1200 50 0001 C CNN 305 | F 3 "" H 6300 1200 50 0001 C CNN 306 | 1 6300 1200 307 | 1 0 0 -1 308 | $EndComp 309 | $Comp 310 | L Device:R_Small R7 311 | U 1 1 5A305EA9 312 | P 6150 1100 313 | F 0 "R7" H 6180 1120 50 0000 L CNN 314 | F 1 "1M" H 6180 1060 50 0000 L CNN 315 | F 2 "custom_components:SM0603_Resistor_libcms" H 6150 1100 50 0001 C CNN 316 | F 3 "" H 6150 1100 50 0001 C CNN 317 | 1 6150 1100 318 | 0 -1 -1 0 319 | $EndComp 320 | Wire Wire Line 321 | 5200 1100 5950 1100 322 | Connection ~ 5950 1300 323 | Wire Wire Line 324 | 6250 1100 6300 1100 325 | Connection ~ 5950 1100 326 | Wire Wire Line 327 | 5500 900 6300 900 328 | Wire Wire Line 329 | 6300 900 6300 1100 330 | $Comp 331 | L Connector:Test_Point J6 332 | U 1 1 5A306796 333 | P 1150 2750 334 | F 0 "J6" H 1150 3020 50 0001 C CNN 335 | F 1 "MCLK_EXT_i" V 1150 3150 50 0000 C CNN 336 | F 2 "custom_components:SMD_PAD" H 1350 2750 50 0001 C CNN 337 | F 3 "" H 1350 2750 50 0001 C CNN 338 | 1 1150 2750 339 | 0 -1 -1 0 340 | $EndComp 341 | Wire Wire Line 342 | 1600 2750 1150 2750 343 | Text Label 1200 2750 0 60 ~ 0 344 | MCLK_EXT_i 345 | $Comp 346 | L Connector:Test_Point J7 347 | U 1 1 5A306A50 348 | P 1150 2950 349 | F 0 "J7" H 1150 3220 50 0001 C CNN 350 | F 1 "CLK_SEL_i" V 1150 3350 50 0000 C CNN 351 | F 2 "custom_components:SMD_PAD" H 1350 2950 50 0001 C CNN 352 | F 3 "" H 1350 2950 50 0001 C CNN 353 | 1 1150 2950 354 | 0 -1 -1 0 355 | $EndComp 356 | Text Label 1200 2950 0 60 ~ 0 357 | MCLK_SEL_i 358 | Wire Notes Line 359 | 500 2650 2350 2650 360 | Wire Notes Line 361 | 500 3050 2350 3050 362 | Wire Notes Line 363 | 500 3050 500 2650 364 | Text Notes 500 3450 0 60 ~ 0 365 | Optional for 50Hz mode:\n MCLK_EXT_i -> 21.28137MHz from S-CLK (PAL 3-CHIP)\n or 17.73MHz from ext. source (1-CHIP+DFO)\n CLK_SEL_i -> PALMODE 366 | Wire Wire Line 367 | 1800 2950 1150 2950 368 | Wire Notes Line 369 | 2350 3050 2350 2650 370 | Wire Notes Line 371 | 5700 850 6650 850 372 | Wire Notes Line 373 | 6650 850 6650 1950 374 | Wire Notes Line 375 | 6650 1950 5700 1950 376 | Wire Notes Line 377 | 5700 1950 5700 850 378 | $Comp 379 | L power:PWR_FLAG #FLG011 380 | U 1 1 5A309155 381 | P 1550 4300 382 | F 0 "#FLG011" H 1550 4375 50 0001 C CNN 383 | F 1 "PWR_FLAG" H 1550 4450 50 0000 C CNN 384 | F 2 "" H 1550 4300 50 0001 C CNN 385 | F 3 "" H 1550 4300 50 0001 C CNN 386 | 1 1550 4300 387 | 1 0 0 -1 388 | $EndComp 389 | $Comp 390 | L Connector:Test_Point J9 391 | U 1 1 5A3098E2 392 | P 9400 2450 393 | F 0 "J9" H 9400 2720 50 0001 C CNN 394 | F 1 "MCLK_o" V 9400 2800 50 0000 C CNN 395 | F 2 "custom_components:SMD_PAD" H 9600 2450 50 0001 C CNN 396 | F 3 "" H 9600 2450 50 0001 C CNN 397 | 1 9400 2450 398 | 0 1 1 0 399 | $EndComp 400 | Wire Wire Line 401 | 7350 2850 8100 2850 402 | $Comp 403 | L Transistor_BJT:BC847 Q1 404 | U 1 1 5A309B8D 405 | P 8450 3150 406 | F 0 "Q1" H 8650 3225 50 0000 L CNN 407 | F 1 "2SC2412" H 8650 3150 50 0000 L CNN 408 | F 2 "TO_SOT_Packages_SMD:SOT-23_Handsoldering" H 8650 3075 50 0001 L CIN 409 | F 3 "" H 8450 3150 50 0001 L CNN 410 | 1 8450 3150 411 | 1 0 0 -1 412 | $EndComp 413 | $Comp 414 | L Device:R R11 415 | U 1 1 5A309D32 416 | P 8550 3550 417 | F 0 "R11" V 8630 3550 50 0000 C CNN 418 | F 1 "300" V 8550 3550 50 0000 C CNN 419 | F 2 "custom_components:SM0603_Resistor_libcms" V 8480 3550 50 0001 C CNN 420 | F 3 "" H 8550 3550 50 0001 C CNN 421 | 1 8550 3550 422 | 1 0 0 -1 423 | $EndComp 424 | $Comp 425 | L Device:R R12 426 | U 1 1 5A309EE5 427 | P 8800 3400 428 | F 0 "R12" V 8880 3400 50 0000 C CNN 429 | F 1 "100" V 8800 3400 50 0000 C CNN 430 | F 2 "custom_components:SM0603_Resistor_libcms" V 8730 3400 50 0001 C CNN 431 | F 3 "" H 8800 3400 50 0001 C CNN 432 | 1 8800 3400 433 | 0 1 1 0 434 | $EndComp 435 | Wire Wire Line 436 | 8550 3400 8550 3350 437 | Wire Wire Line 438 | 8650 3400 8550 3400 439 | $Comp 440 | L power:GND #PWR012 441 | U 1 1 5A30A1A7 442 | P 8550 3700 443 | F 0 "#PWR012" H 8550 3450 50 0001 C CNN 444 | F 1 "GND" H 8550 3550 50 0000 C CNN 445 | F 2 "" H 8550 3700 50 0001 C CNN 446 | F 3 "" H 8550 3700 50 0001 C CNN 447 | 1 8550 3700 448 | 1 0 0 -1 449 | $EndComp 450 | Wire Wire Line 451 | 9000 2950 8550 2950 452 | Text Label 8600 2950 0 60 ~ 0 453 | DVDD5V 454 | $Comp 455 | L Connector:Test_Point J10 456 | U 1 1 5A30A5C5 457 | P 9400 3400 458 | F 0 "J10" H 9400 3670 50 0001 C CNN 459 | F 1 "CSYNC_o" V 9400 3750 50 0000 C CNN 460 | F 2 "custom_components:SMD_PAD" H 9600 3400 50 0001 C CNN 461 | F 3 "" H 9600 3400 50 0001 C CNN 462 | 1 9400 3400 463 | 0 1 1 0 464 | $EndComp 465 | Wire Wire Line 466 | 8950 3400 9100 3400 467 | NoConn ~ 3900 3300 468 | NoConn ~ 3900 3000 469 | NoConn ~ 3900 3100 470 | NoConn ~ 3900 3500 471 | NoConn ~ 3900 3600 472 | NoConn ~ 5200 4200 473 | NoConn ~ 5300 4200 474 | NoConn ~ 5400 4200 475 | NoConn ~ 5500 4200 476 | NoConn ~ 4500 4200 477 | NoConn ~ 4600 4200 478 | NoConn ~ 4700 4200 479 | Text Notes 5700 2250 0 60 ~ 0 480 | Primary clock circuit.\nCan be omitted if only\nMCLK_EXT input is used 481 | NoConn ~ 4500 2000 482 | NoConn ~ 4600 2000 483 | NoConn ~ 4700 2000 484 | NoConn ~ 6100 2800 485 | NoConn ~ 5400 2000 486 | $Comp 487 | L power:PWR_FLAG #FLG013 488 | U 1 1 5A30CB8E 489 | P 1550 4600 490 | F 0 "#FLG013" H 1550 4675 50 0001 C CNN 491 | F 1 "PWR_FLAG" H 1550 4750 50 0000 C CNN 492 | F 2 "" H 1550 4600 50 0001 C CNN 493 | F 3 "" H 1550 4600 50 0001 C CNN 494 | 1 1550 4600 495 | 1 0 0 -1 496 | $EndComp 497 | $Comp 498 | L Device:C_Small C8 499 | U 1 1 5A30D1B3 500 | P 9100 3800 501 | F 0 "C8" H 9110 3870 50 0000 L CNN 502 | F 1 "330p" H 9110 3720 50 0000 L CNN 503 | F 2 "custom_components:SM0603_Capa_libcms" H 9100 3800 50 0001 C CNN 504 | F 3 "" H 9100 3800 50 0001 C CNN 505 | 1 9100 3800 506 | 1 0 0 -1 507 | $EndComp 508 | $Comp 509 | L power:GND #PWR014 510 | U 1 1 5A30D36F 511 | P 9100 3900 512 | F 0 "#PWR014" H 9100 3650 50 0001 C CNN 513 | F 1 "GND" H 9100 3750 50 0000 C CNN 514 | F 2 "" H 9100 3900 50 0001 C CNN 515 | F 3 "" H 9100 3900 50 0001 C CNN 516 | 1 9100 3900 517 | 1 0 0 -1 518 | $EndComp 519 | Wire Wire Line 520 | 9100 3500 9100 3400 521 | Connection ~ 9100 3400 522 | Wire Wire Line 523 | 3650 2600 3900 2600 524 | Wire Wire Line 525 | 5100 2000 5100 1200 526 | Text Label 5100 1950 1 60 ~ 0 527 | MCLK_SEL_i 528 | $Comp 529 | L Device:R_Small R6 530 | U 1 1 5A3541E2 531 | P 5000 1200 532 | F 0 "R6" H 5030 1220 50 0000 L CNN 533 | F 1 "10k" H 5030 1160 50 0000 L CNN 534 | F 2 "custom_components:SM0603_Resistor_libcms" H 5000 1200 50 0001 C CNN 535 | F 3 "" H 5000 1200 50 0001 C CNN 536 | 1 5000 1200 537 | 0 1 1 0 538 | $EndComp 539 | $Comp 540 | L power:GND #PWR015 541 | U 1 1 5A3542B7 542 | P 4900 1200 543 | F 0 "#PWR015" H 4900 950 50 0001 C CNN 544 | F 1 "GND" H 4900 1050 50 0000 C CNN 545 | F 2 "" H 4900 1200 50 0001 C CNN 546 | F 3 "" H 4900 1200 50 0001 C CNN 547 | 1 4900 1200 548 | 0 1 1 0 549 | $EndComp 550 | Connection ~ 5100 1200 551 | Wire Wire Line 552 | 5000 2000 5000 1450 553 | Text Label 5000 1950 1 60 ~ 0 554 | CSYNC_i 555 | Wire Wire Line 556 | 3300 3400 3900 3400 557 | Text Label 3400 3400 0 60 ~ 0 558 | DVDD5V 559 | $Comp 560 | L Device:C_Small C3 561 | U 1 1 5A354AC5 562 | P 4200 1900 563 | F 0 "C3" H 4210 1970 50 0000 L CNN 564 | F 1 "0.1u" H 4210 1820 50 0000 L CNN 565 | F 2 "custom_components:SM0603_Capa_libcms" H 4200 1900 50 0001 C CNN 566 | F 3 "" H 4200 1900 50 0001 C CNN 567 | 1 4200 1900 568 | 1 0 0 -1 569 | $EndComp 570 | $Comp 571 | L power:GND #PWR016 572 | U 1 1 5A354ACB 573 | P 4200 2000 574 | F 0 "#PWR016" H 4200 1750 50 0001 C CNN 575 | F 1 "GND" H 4200 1850 50 0000 C CNN 576 | F 2 "" H 4200 2000 50 0001 C CNN 577 | F 3 "" H 4200 2000 50 0001 C CNN 578 | 1 4200 2000 579 | 1 0 0 -1 580 | $EndComp 581 | Wire Wire Line 582 | 4200 1800 4800 1800 583 | Text Label 4300 1800 0 60 ~ 0 584 | DVDD5V 585 | Wire Wire Line 586 | 4800 1800 4800 2000 587 | $Comp 588 | L power:GND #PWR017 589 | U 1 1 5A354B6A 590 | P 5300 2000 591 | F 0 "#PWR017" H 5300 1750 50 0001 C CNN 592 | F 1 "GND" H 5300 1850 50 0000 C CNN 593 | F 2 "" H 5300 2000 50 0001 C CNN 594 | F 3 "" H 5300 2000 50 0001 C CNN 595 | 1 5300 2000 596 | -1 0 0 1 597 | $EndComp 598 | Text Label 4900 1950 1 60 ~ 0 599 | MCLK_EXT_i 600 | Connection ~ 1550 4300 601 | Wire Wire Line 602 | 6550 3000 6100 3000 603 | Text Label 6150 3000 0 60 ~ 0 604 | DVDD5V 605 | $Comp 606 | L Device:R_Small R9 607 | U 1 1 5A3553FB 608 | P 8100 2950 609 | F 0 "R9" H 8130 2970 50 0000 L CNN 610 | F 1 "1k" H 8130 2910 50 0000 L CNN 611 | F 2 "custom_components:SM0603_Resistor_libcms" H 8100 2950 50 0001 C CNN 612 | F 3 "" H 8100 2950 50 0001 C CNN 613 | 1 8100 2950 614 | 1 0 0 -1 615 | $EndComp 616 | $Comp 617 | L Device:R_Small R10 618 | U 1 1 5A355640 619 | P 8100 3250 620 | F 0 "R10" H 8130 3270 50 0000 L CNN 621 | F 1 "5.6k" H 8130 3210 50 0000 L CNN 622 | F 2 "custom_components:SM0603_Resistor_libcms" H 8100 3250 50 0001 C CNN 623 | F 3 "" H 8100 3250 50 0001 C CNN 624 | 1 8100 3250 625 | 1 0 0 -1 626 | $EndComp 627 | Wire Wire Line 628 | 8100 3050 8100 3150 629 | Wire Wire Line 630 | 8100 3150 8250 3150 631 | $Comp 632 | L power:GND #PWR018 633 | U 1 1 5A35578B 634 | P 8100 3350 635 | F 0 "#PWR018" H 8100 3100 50 0001 C CNN 636 | F 1 "GND" H 8100 3200 50 0000 C CNN 637 | F 2 "" H 8100 3350 50 0001 C CNN 638 | F 3 "" H 8100 3350 50 0001 C CNN 639 | 1 8100 3350 640 | 1 0 0 -1 641 | $EndComp 642 | NoConn ~ 6100 3600 643 | NoConn ~ 6100 3400 644 | NoConn ~ 6100 3200 645 | NoConn ~ 6100 3100 646 | $Comp 647 | L power:GND #PWR019 648 | U 1 1 5A3558E1 649 | P 6100 3500 650 | F 0 "#PWR019" H 6100 3250 50 0001 C CNN 651 | F 1 "GND" H 6100 3350 50 0000 C CNN 652 | F 2 "" H 6100 3500 50 0001 C CNN 653 | F 3 "" H 6100 3500 50 0001 C CNN 654 | 1 6100 3500 655 | 0 -1 -1 0 656 | $EndComp 657 | Wire Wire Line 658 | 5950 1100 5950 1300 659 | Wire Wire Line 660 | 5200 2000 5200 1100 661 | Wire Wire Line 662 | 5500 900 5500 2000 663 | $Comp 664 | L Device:R_Small R4 665 | U 1 1 5A356677 666 | P 2050 1200 667 | F 0 "R4" H 2080 1220 50 0000 L CNN 668 | F 1 "39" H 2080 1160 50 0000 L CNN 669 | F 2 "custom_components:SM0603_Resistor_libcms" H 2050 1200 50 0001 C CNN 670 | F 3 "" H 2050 1200 50 0001 C CNN 671 | 1 2050 1200 672 | 0 -1 -1 0 673 | $EndComp 674 | $Comp 675 | L power:GND #PWR020 676 | U 1 1 5A356685 677 | P 1950 1700 678 | F 0 "#PWR020" H 1950 1450 50 0001 C CNN 679 | F 1 "GND" H 1950 1550 50 0000 C CNN 680 | F 2 "" H 1950 1700 50 0001 C CNN 681 | F 3 "" H 1950 1700 50 0001 C CNN 682 | 1 1950 1700 683 | 1 0 0 -1 684 | $EndComp 685 | $Comp 686 | L custom_components:ATF1502AS-7AX44 U1 687 | U 1 1 5A35B089 688 | P 5000 3100 689 | F 0 "U1" H 5000 3000 50 0000 C CNN 690 | F 1 "ATF1502AS-7AX44" H 5000 3200 50 0000 C CNN 691 | F 2 "custom_components:TQFP-44_10x10mm_Pitch0.8mm_Handsoldering" H 5000 3100 50 0001 C CNN 692 | F 3 "DOCUMENTATION" H 5000 3100 50 0001 C CNN 693 | 1 5000 3100 694 | 1 0 0 -1 695 | $EndComp 696 | NoConn ~ 3900 2700 697 | NoConn ~ 3900 2800 698 | NoConn ~ 6100 2600 699 | NoConn ~ 6100 2900 700 | Text Label 5100 4700 0 60 ~ 0 701 | DVDD5V 702 | Wire Wire Line 703 | 5100 4200 5100 4550 704 | Text Label 5100 4550 1 60 ~ 0 705 | GCLK_o 706 | Wire Wire Line 707 | 5000 4200 5000 4700 708 | Wire Wire Line 709 | 5000 4700 5450 4700 710 | Wire Wire Line 711 | 4800 4200 4800 4700 712 | Wire Wire Line 713 | 8400 2450 8950 2450 714 | Text Label 8550 2450 0 60 ~ 0 715 | GCLK_o 716 | Text Label 4800 4600 1 60 ~ 0 717 | CSYNC_o 718 | Text Label 7450 2850 0 60 ~ 0 719 | CSYNC_o 720 | $Comp 721 | L Device:R_Small R1 722 | U 1 1 5A3BE8DB 723 | P 1200 1600 724 | F 0 "R1" H 1230 1620 50 0000 L CNN 725 | F 1 "10k" H 1230 1560 50 0000 L CNN 726 | F 2 "custom_components:SM0603_Resistor_libcms" H 1200 1600 50 0001 C CNN 727 | F 3 "" H 1200 1600 50 0001 C CNN 728 | 1 1200 1600 729 | 1 0 0 -1 730 | $EndComp 731 | $Comp 732 | L Device:R_Small R2 733 | U 1 1 5A3BE9C9 734 | P 1400 1600 735 | F 0 "R2" H 1430 1620 50 0000 L CNN 736 | F 1 "10k" H 1430 1560 50 0000 L CNN 737 | F 2 "custom_components:SM0603_Resistor_libcms" H 1400 1600 50 0001 C CNN 738 | F 3 "" H 1400 1600 50 0001 C CNN 739 | 1 1400 1600 740 | 1 0 0 -1 741 | $EndComp 742 | $Comp 743 | L Device:R_Small R3 744 | U 1 1 5A3BEA28 745 | P 1600 1600 746 | F 0 "R3" H 1630 1620 50 0000 L CNN 747 | F 1 "10k" H 1630 1560 50 0000 L CNN 748 | F 2 "custom_components:SM0603_Resistor_libcms" H 1600 1600 50 0001 C CNN 749 | F 3 "" H 1600 1600 50 0001 C CNN 750 | 1 1600 1600 751 | 1 0 0 -1 752 | $EndComp 753 | Wire Wire Line 754 | 1200 1300 1200 1500 755 | Connection ~ 1200 1300 756 | Wire Wire Line 757 | 1400 1500 1400 1200 758 | Connection ~ 1400 1200 759 | Wire Wire Line 760 | 1600 1500 1600 1100 761 | Connection ~ 1600 1100 762 | $Comp 763 | L power:GND #PWR021 764 | U 1 1 5A3BEEA7 765 | P 1400 1700 766 | F 0 "#PWR021" H 1400 1450 50 0001 C CNN 767 | F 1 "GND" H 1400 1550 50 0000 C CNN 768 | F 2 "" H 1400 1700 50 0001 C CNN 769 | F 3 "" H 1400 1700 50 0001 C CNN 770 | 1 1400 1700 771 | 1 0 0 -1 772 | $EndComp 773 | $Comp 774 | L power:GND #PWR022 775 | U 1 1 5A3BEF00 776 | P 1600 1700 777 | F 0 "#PWR022" H 1600 1450 50 0001 C CNN 778 | F 1 "GND" H 1600 1550 50 0000 C CNN 779 | F 2 "" H 1600 1700 50 0001 C CNN 780 | F 3 "" H 1600 1700 50 0001 C CNN 781 | 1 1600 1700 782 | 1 0 0 -1 783 | $EndComp 784 | $Comp 785 | L power:GND #PWR023 786 | U 1 1 5A3BEF59 787 | P 1200 1700 788 | F 0 "#PWR023" H 1200 1450 50 0001 C CNN 789 | F 1 "GND" H 1200 1550 50 0000 C CNN 790 | F 2 "" H 1200 1700 50 0001 C CNN 791 | F 3 "" H 1200 1700 50 0001 C CNN 792 | 1 1200 1700 793 | 1 0 0 -1 794 | $EndComp 795 | $Comp 796 | L Device:R R14 797 | U 1 1 5A3BF142 798 | P 9250 2800 799 | F 0 "R14" V 9330 2800 50 0000 C CNN 800 | F 1 "300" V 9250 2800 50 0000 C CNN 801 | F 2 "custom_components:SM0603_Resistor_libcms" V 9180 2800 50 0001 C CNN 802 | F 3 "" H 9250 2800 50 0001 C CNN 803 | 1 9250 2800 804 | 1 0 0 -1 805 | $EndComp 806 | $Comp 807 | L Device:R R13 808 | U 1 1 5A3BF2C5 809 | P 9100 2450 810 | F 0 "R13" V 9180 2450 50 0000 C CNN 811 | F 1 "39" V 9100 2450 50 0000 C CNN 812 | F 2 "custom_components:SM0603_Resistor_libcms" V 9030 2450 50 0001 C CNN 813 | F 3 "" H 9100 2450 50 0001 C CNN 814 | 1 9100 2450 815 | 0 1 1 0 816 | $EndComp 817 | Wire Wire Line 818 | 9400 2450 9250 2450 819 | $Comp 820 | L power:GND #PWR024 821 | U 1 1 5A3BF40D 822 | P 9250 2950 823 | F 0 "#PWR024" H 9250 2700 50 0001 C CNN 824 | F 1 "GND" H 9250 2800 50 0000 C CNN 825 | F 2 "" H 9250 2950 50 0001 C CNN 826 | F 3 "" H 9250 2950 50 0001 C CNN 827 | 1 9250 2950 828 | 1 0 0 -1 829 | $EndComp 830 | $Comp 831 | L Connector:Test_Point J4 832 | U 1 1 5A3C5CE2 833 | P 1150 2350 834 | F 0 "J4" H 1150 2620 50 0001 C CNN 835 | F 1 "GND" H 1150 2550 50 0000 C CNN 836 | F 2 "custom_components:SMD_PAD" H 1350 2350 50 0001 C CNN 837 | F 3 "" H 1350 2350 50 0001 C CNN 838 | 1 1150 2350 839 | 0 -1 -1 0 840 | $EndComp 841 | $Comp 842 | L power:GND #PWR025 843 | U 1 1 5A3C5DE9 844 | P 1150 2350 845 | F 0 "#PWR025" H 1150 2100 50 0001 C CNN 846 | F 1 "GND" H 1150 2200 50 0000 C CNN 847 | F 2 "" H 1150 2350 50 0001 C CNN 848 | F 3 "" H 1150 2350 50 0001 C CNN 849 | 1 1150 2350 850 | 0 -1 -1 0 851 | $EndComp 852 | $Comp 853 | L Connector:Test_Point J8 854 | U 1 1 5A3C5F44 855 | P 9350 2250 856 | F 0 "J8" H 9350 2520 50 0001 C CNN 857 | F 1 "GND" H 9350 2450 50 0000 C CNN 858 | F 2 "custom_components:SMD_PAD" H 9550 2250 50 0001 C CNN 859 | F 3 "" H 9550 2250 50 0001 C CNN 860 | 1 9350 2250 861 | 0 1 1 0 862 | $EndComp 863 | $Comp 864 | L power:GND #PWR026 865 | U 1 1 5A3C5F4A 866 | P 9350 2250 867 | F 0 "#PWR026" H 9350 2000 50 0001 C CNN 868 | F 1 "GND" H 9350 2100 50 0000 C CNN 869 | F 2 "" H 9350 2250 50 0001 C CNN 870 | F 3 "" H 9350 2250 50 0001 C CNN 871 | 1 9350 2250 872 | 0 1 1 0 873 | $EndComp 874 | $Comp 875 | L Device:Jumper_NO_Small JP3 876 | U 1 1 5ADC4EC6 877 | P 9100 3600 878 | F 0 "JP3" H 9100 3680 50 0000 C CNN 879 | F 1 "Jumper_NO_Small" H 9110 3540 50 0001 C CNN 880 | F 2 "custom_components:SMD_JUMPER" H 9100 3600 50 0001 C CNN 881 | F 3 "" H 9100 3600 50 0001 C CNN 882 | 1 9100 3600 883 | 0 1 1 0 884 | $EndComp 885 | $Comp 886 | L Device:Jumper_NO_Small JP2 887 | U 1 1 5ADC57AE 888 | P 9250 2550 889 | F 0 "JP2" H 9250 2630 50 0000 C CNN 890 | F 1 "Jumper_NO_Small" H 9260 2490 50 0001 C CNN 891 | F 2 "custom_components:SMD_JUMPER" H 9250 2550 50 0001 C CNN 892 | F 3 "" H 9250 2550 50 0001 C CNN 893 | 1 9250 2550 894 | 0 1 1 0 895 | $EndComp 896 | Wire Wire Line 897 | 5950 1300 5950 1500 898 | Wire Wire Line 899 | 5950 1100 6050 1100 900 | Wire Wire Line 901 | 9100 3400 9400 3400 902 | Wire Wire Line 903 | 5100 1200 5100 1100 904 | Wire Wire Line 905 | 1550 4300 1900 4300 906 | Wire Wire Line 907 | 1200 1300 1650 1300 908 | Wire Wire Line 909 | 1400 1200 1700 1200 910 | Wire Wire Line 911 | 1600 1100 1750 1100 912 | $Comp 913 | L Device:Jumper_NO_Small JP4 914 | U 1 1 5B5E6343 915 | P 1950 1400 916 | F 0 "JP4" H 1950 1480 50 0000 C CNN 917 | F 1 "Jumper_NO_Small" H 1960 1340 50 0001 C CNN 918 | F 2 "custom_components:SMD_JUMPER" H 1950 1400 50 0001 C CNN 919 | F 3 "" H 1950 1400 50 0001 C CNN 920 | 1 1950 1400 921 | 0 1 1 0 922 | $EndComp 923 | $Comp 924 | L Device:R_Small R15 925 | U 1 1 5B5E8E16 926 | P 4800 1350 927 | F 0 "R15" H 4830 1370 50 0000 L CNN 928 | F 1 "10k" H 4830 1310 50 0000 L CNN 929 | F 2 "custom_components:SM0603_Resistor_libcms" H 4800 1350 50 0001 C CNN 930 | F 3 "" H 4800 1350 50 0001 C CNN 931 | 1 4800 1350 932 | 0 1 1 0 933 | $EndComp 934 | $Comp 935 | L power:GND #PWR0101 936 | U 1 1 5B5E8EA6 937 | P 4700 1350 938 | F 0 "#PWR0101" H 4700 1100 50 0001 C CNN 939 | F 1 "GND" H 4700 1200 50 0000 C CNN 940 | F 2 "" H 4700 1350 50 0001 C CNN 941 | F 3 "" H 4700 1350 50 0001 C CNN 942 | 1 4700 1350 943 | 0 1 1 0 944 | $EndComp 945 | Wire Wire Line 946 | 4900 1300 4900 1350 947 | Connection ~ 4900 1350 948 | Wire Wire Line 949 | 4900 1350 4900 2000 950 | $Comp 951 | L Device:D_Zener_Small D1 952 | U 1 1 5B6230FB 953 | P 1950 1600 954 | F 0 "D1" V 1904 1668 50 0000 L CNN 955 | F 1 "Zener_3v3" V 1995 1668 50 0000 L CNN 956 | F 2 "custom_components:SOD-323_Handsoldering" V 1950 1600 50 0001 C CNN 957 | F 3 "~" V 1950 1600 50 0001 C CNN 958 | 1 1950 1600 959 | 0 1 1 0 960 | $EndComp 961 | Wire Wire Line 962 | 1100 1400 1850 1400 963 | Wire Wire Line 964 | 1850 1400 1850 1200 965 | Wire Wire Line 966 | 1850 1200 1950 1200 967 | Wire Wire Line 968 | 1950 1300 1950 1200 969 | Connection ~ 1950 1200 970 | Wire Wire Line 971 | 2150 1200 2350 1200 972 | $EndSCHEMATC 973 | -------------------------------------------------------------------------------- /pcb/sym-lib-table: -------------------------------------------------------------------------------- 1 | (sym_lib_table 2 | (lib (name custom_components)(type Legacy)(uri ${KIPRJMOD}/custom_components.lib)(options "")(descr "")) 3 | ) 4 | -------------------------------------------------------------------------------- /pics/atmisp_chain.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/pics/atmisp_chain.png -------------------------------------------------------------------------------- /pics/atmisp_setup.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/pics/atmisp_setup.png -------------------------------------------------------------------------------- /pics/pof2jed.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/marqs85/snes_dejitter/89cbf2803fa6893fb8f962048c3f01c824fe4e73/pics/pof2jed.png -------------------------------------------------------------------------------- /rtl/snes_dejitter.v: -------------------------------------------------------------------------------- 1 | // MIT License 2 | // 3 | // Copyright (c) 2017-2018 Markus Hiienkari 4 | // 5 | // Permission is hereby granted, free of charge, to any person obtaining a copy 6 | // of this software and associated documentation files (the "Software"), to deal 7 | // in the Software without restriction, including without limitation the rights 8 | // to use, copy, modify, merge, publish, distribute, sublicense, and/or sell 9 | // copies of the Software, and to permit persons to whom the Software is 10 | // furnished to do so, subject to the following conditions: 11 | // 12 | // The above copyright notice and this permission notice shall be included in all 13 | // copies or substantial portions of the Software. 14 | // 15 | // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 16 | // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 17 | // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 18 | // AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 19 | // LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, 20 | // OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE 21 | // SOFTWARE. 22 | // 23 | 24 | `define EDGE_SENSITIVE_CLKEN 25 | 26 | module snes_dejitter( 27 | input MCLK_XTAL_i, //NTSC master clock source: 21.477272MHz from oscillator circuit 28 | input MCLK_EXT_i, //PAL master clock source: 21.28137MHz (3-CHIP) or 17.73MHz (1-CHIP) from external source 29 | input MCLK_SEL_i, //Output clock/csync mode: De-jitter/NTSC (0), Bypass/PAL (1) 30 | input CSYNC_i, 31 | output MCLK_XTAL_o, 32 | output GCLK_o, 33 | output CSYNC_o, 34 | output reg SC_o 35 | ); 36 | 37 | wire mclk_ntsc = MCLK_XTAL_i; 38 | wire mclk_ntsc_dejitter = mclk_ntsc & gclk_en; 39 | wire mclk_pal = MCLK_EXT_i; 40 | 41 | assign GCLK_o = MCLK_SEL_i ? mclk_pal : mclk_ntsc_dejitter; 42 | assign CSYNC_o = MCLK_SEL_i ? CSYNC_i : csync_dejitter; 43 | 44 | assign MCLK_XTAL_o = ~MCLK_XTAL_i; 45 | 46 | reg [10:0] h_cnt; 47 | reg [2:0] g_cyc; 48 | reg csync_prev; 49 | reg csync_dejitter; 50 | reg gclk_en; 51 | reg [1:0] sc_ctr; 52 | 53 | 54 | always @(posedge mclk_ntsc) begin 55 | if ((h_cnt >= 1024) && (csync_prev==1'b1) && (CSYNC_i==1'b0)) begin 56 | h_cnt <= 0; 57 | if (h_cnt == 340*4-1) 58 | g_cyc <= 4; 59 | else 60 | csync_dejitter <= CSYNC_i; 61 | end else begin 62 | h_cnt <= h_cnt + 1'b1; 63 | if (g_cyc > 0) 64 | g_cyc <= g_cyc - 1'b1; 65 | if (g_cyc <= 1) 66 | csync_dejitter <= CSYNC_i; 67 | end 68 | 69 | csync_prev <= CSYNC_i; 70 | end 71 | 72 | always @(posedge mclk_ntsc) begin 73 | if (sc_ctr == 2'h2) begin 74 | sc_ctr <= 2'h0; 75 | SC_o <= ~SC_o; 76 | end else begin 77 | sc_ctr <= sc_ctr + 2'h1; 78 | end 79 | end 80 | 81 | `ifdef EDGE_SENSITIVE_CLKEN 82 | //Update clock gate enable signal on negative edge 83 | always @(negedge mclk_ntsc) begin 84 | gclk_en <= (g_cyc == 0); 85 | end 86 | `else 87 | //ATF1502AS macrocells support D latch mode, 88 | //enabling level sensitive update of gclk_en during negative phase 89 | always @(*) begin 90 | if (!mclk_ntsc) 91 | gclk_en <= (g_cyc == 0); 92 | end 93 | `endif 94 | 95 | endmodule 96 | -------------------------------------------------------------------------------- /snes_dejitter.qpf: -------------------------------------------------------------------------------- 1 | # -------------------------------------------------------------------------- # 2 | # 3 | # Copyright (C) 1991-2013 Altera Corporation 4 | # Your use of Altera Corporation's design tools, logic functions 5 | # and other software and tools, and its AMPP partner logic 6 | # functions, and any output files from any of the foregoing 7 | # (including device programming or simulation files), and any 8 | # associated documentation or information are expressly subject 9 | # to the terms and conditions of the Altera Program License 10 | # Subscription Agreement, Altera MegaCore Function License 11 | # Agreement, or other applicable license agreement, including, 12 | # without limitation, that your use is for the sole purpose of 13 | # programming logic devices manufactured by Altera and sold by 14 | # Altera or its authorized distributors. Please refer to the 15 | # applicable agreement for further details. 16 | # 17 | # -------------------------------------------------------------------------- # 18 | # 19 | # Quartus II 64-Bit 20 | # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 21 | # Date created = 01:40:55 December 16, 2017 22 | # 23 | # -------------------------------------------------------------------------- # 24 | 25 | QUARTUS_VERSION = "13.0" 26 | DATE = "01:40:55 December 16, 2017" 27 | 28 | # Revisions 29 | 30 | PROJECT_REVISION = "snes_dejitter" 31 | -------------------------------------------------------------------------------- /snes_dejitter.qsf: -------------------------------------------------------------------------------- 1 | # -------------------------------------------------------------------------- # 2 | # 3 | # Copyright (C) 1991-2013 Altera Corporation 4 | # Your use of Altera Corporation's design tools, logic functions 5 | # and other software and tools, and its AMPP partner logic 6 | # functions, and any output files from any of the foregoing 7 | # (including device programming or simulation files), and any 8 | # associated documentation or information are expressly subject 9 | # to the terms and conditions of the Altera Program License 10 | # Subscription Agreement, Altera MegaCore Function License 11 | # Agreement, or other applicable license agreement, including, 12 | # without limitation, that your use is for the sole purpose of 13 | # programming logic devices manufactured by Altera and sold by 14 | # Altera or its authorized distributors. Please refer to the 15 | # applicable agreement for further details. 16 | # 17 | # -------------------------------------------------------------------------- # 18 | # 19 | # Quartus II 64-Bit 20 | # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 21 | # Date created = 01:40:55 December 16, 2017 22 | # 23 | # -------------------------------------------------------------------------- # 24 | # 25 | # Notes: 26 | # 27 | # 1) The default values for assignments are stored in the file: 28 | # snes_dejitter_assignment_defaults.qdf 29 | # If this file doesn't exist, see file: 30 | # assignment_defaults.qdf 31 | # 32 | # 2) Altera recommends that you do not modify this file. This 33 | # file is updated automatically by the Quartus II software 34 | # and any changes you make may be lost or overwritten. 35 | # 36 | # -------------------------------------------------------------------------- # 37 | 38 | 39 | set_global_assignment -name FAMILY MAX7000S 40 | set_global_assignment -name DEVICE "EPM7032STC44-7" 41 | set_global_assignment -name TOP_LEVEL_ENTITY snes_dejitter 42 | set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" 43 | set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:40:55 DECEMBER 16, 2017" 44 | set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" 45 | set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files 46 | set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" 47 | set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 48 | set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 49 | set_global_assignment -name MAX7000_DEVICE_IO_STANDARD TTL 50 | set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP 51 | set_global_assignment -name DEVICE_FILTER_PIN_COUNT 44 52 | set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7 53 | set_location_assignment PIN_37 -to MCLK_XTAL_i 54 | set_location_assignment PIN_40 -to MCLK_EXT_i 55 | set_location_assignment PIN_34 -to MCLK_XTAL_o 56 | set_location_assignment PIN_39 -to CSYNC_i 57 | set_location_assignment PIN_38 -to MCLK_SEL_i 58 | set_location_assignment PIN_18 -to GCLK_o 59 | set_location_assignment PIN_15 -to CSYNC_o 60 | set_location_assignment PIN_11 -to SC_o 61 | 62 | set_global_assignment -name VERILOG_FILE rtl/snes_dejitter.v 63 | -------------------------------------------------------------------------------- /snes_dejitter.sdc: -------------------------------------------------------------------------------- 1 | create_clock -period 21.477272MHz -name mclk_ntsc [get_ports MCLK_XTAL_i] 2 | create_generated_clock -source [get_ports MCLK_XTAL_i] -name gclk_ntsc [get_ports GCLK_o] 3 | 4 | create_clock -period 21.28137MHz -name mclk_pal [get_ports MCLK_EXT_i] 5 | create_generated_clock -source [get_ports MCLK_EXT_i] -name gclk_pal [get_ports GCLK_o] -add 6 | 7 | set_clock_groups -exclusive -group {mclk_ntsc gclk_ntsc} -group {mclk_pal gclk_pal} 8 | 9 | # CSYNC_i is launched on falling edge of GCLK_o 10 | set_input_delay 3 -clock gclk_ntsc -clock_fall [get_ports CSYNC_i] 11 | set_false_path -from [get_ports CSYNC_i] -to [get_clocks {mclk_pal gclk_pal}] 12 | 13 | # Constrain feedthrough path timing to 10ns 14 | set_max_delay 10 -to [get_ports MCLK_XTAL_o] 15 | set_max_delay 10 -from [get_ports {MCLK_XTAL_i MCLK_EXT_i}] -to [get_ports GCLK_o] 16 | 17 | # Ignore timing of the following signals 18 | set_false_path -from [get_ports {MCLK_SEL_i}] 19 | set_false_path -to [get_ports {CSYNC_o SC_o}] 20 | --------------------------------------------------------------------------------