├── .gitignore ├── LICENSE ├── README.md ├── alpha ├── disclaimer ├── ex_stage.v ├── id_stage.v ├── if_stage.v ├── mem_stage.v ├── pipeline.v ├── regfile.v ├── sys_defs.vh └── wb_stage.v ├── dlx ├── alu.v ├── alu_control.v ├── bypass_ex.v ├── bypass_id.v ├── cpu.v ├── decode.v ├── dislaimer ├── dlx_defs.v ├── ff.v ├── globals.v ├── quick_compare.v ├── regfile.v └── wb_control.v ├── fpu ├── TODO ├── fpu.xml ├── rtl │ ├── dfuncs.h │ ├── fp_add64.v │ ├── fp_add64_post_comb.v │ ├── fp_busy_generator_comb.v │ ├── fp_denorm.v │ ├── fp_div64.v │ ├── fp_div64_post_comb.v │ ├── fp_div64_to_64.v │ ├── fp_divide_result_selector_comb.v │ ├── fp_divider_selector_comb.v │ ├── fp_ex.v │ ├── fp_lead0.v │ ├── fp_lead0_p0.v │ ├── fp_lead0_p1.v │ ├── fp_mult64.v │ ├── fp_mult64_post_comb.v │ ├── fp_normalize.v │ ├── fp_normalize_fix.v │ ├── fp_normalize_fp.v │ ├── fp_op_predec.v │ ├── fp_propagate_div.v │ ├── fp_propagate_nan_comb.v │ ├── fp_result_queue.v │ ├── fp_shift0.v │ ├── fp_shift1.v │ ├── fp_sqrt64.v │ ├── fp_sqrt64_post_comb.v │ ├── fpu.v │ ├── register.v │ ├── scoore_fpu.h │ ├── scoore_fpu.v │ ├── stage.v │ └── stage_flop_retry.v ├── tests │ ├── SoftFloat │ │ ├── Makefile │ │ ├── README.txt │ │ ├── SoftFloat-history.txt │ │ ├── SoftFloat-source.txt │ │ ├── SoftFloat.txt │ │ ├── bigendian │ │ │ ├── milieu.h │ │ │ ├── softfloat-specialize │ │ │ └── softfloat.h │ │ ├── fputest.cpp │ │ ├── littleendian │ │ │ ├── milieu.h │ │ │ ├── softfloat-specialize │ │ │ └── softfloat.h │ │ ├── pdouble.cpp │ │ ├── softfloat-macros │ │ ├── softfloat.cpp │ │ ├── timesoftfloat.cpp │ │ └── timesoftfloat.txt │ ├── fpu_tb.cpp │ ├── fpu_tb.tab │ └── fpu_tb.v └── ucli.key ├── mor1kx ├── LICENSE ├── README.pod ├── bench │ └── verilog │ │ ├── mor1kx_monitor.v │ │ └── mor1kx_traceport_monitor.v ├── doc │ ├── Makefile │ ├── docbook-xsl.css │ ├── docbook.xsl │ ├── gen-docinfo.pl │ └── mor1kx.asciidoc └── rtl │ └── verilog │ ├── mor1kx-defines.v │ ├── mor1kx-sprs.v │ ├── mor1kx.v │ ├── mor1kx_branch_prediction.v │ ├── mor1kx_branch_predictor_saturation_counter.v │ ├── mor1kx_branch_predictor_simple.v │ ├── mor1kx_bus_if_wb32.v │ ├── mor1kx_cache_lru.v │ ├── mor1kx_cfgrs.v │ ├── mor1kx_cpu.v │ ├── mor1kx_cpu_cappuccino.v │ ├── mor1kx_cpu_espresso.v │ ├── mor1kx_cpu_prontoespresso.v │ ├── mor1kx_ctrl_cappuccino.v │ ├── mor1kx_ctrl_espresso.v │ ├── mor1kx_ctrl_prontoespresso.v │ ├── mor1kx_dcache.v │ ├── mor1kx_decode.v │ ├── mor1kx_decode_execute_cappuccino.v │ ├── mor1kx_dmmu.v │ ├── mor1kx_execute_alu.v │ ├── mor1kx_execute_ctrl_cappuccino.v │ ├── mor1kx_fetch_cappuccino.v │ ├── mor1kx_fetch_espresso.v │ ├── mor1kx_fetch_prontoespresso.v │ ├── mor1kx_fetch_tcm_prontoespresso.v │ ├── mor1kx_icache.v │ ├── mor1kx_immu.v │ ├── mor1kx_lsu_cappuccino.v │ ├── mor1kx_lsu_espresso.v │ ├── mor1kx_pic.v │ ├── mor1kx_rf_cappuccino.v │ ├── mor1kx_rf_espresso.v │ ├── mor1kx_simple_dpram_sclk.v │ ├── mor1kx_store_buffer.v │ ├── mor1kx_ticktimer.v │ ├── mor1kx_true_dpram_sclk.v │ ├── mor1kx_utils.vh │ ├── mor1kx_wb_mux_cappuccino.v │ ├── mor1kx_wb_mux_espresso.v │ └── pfpu32 │ ├── pfpu32_addsub.v │ ├── pfpu32_cmp.v │ ├── pfpu32_f2i.v │ ├── pfpu32_i2f.v │ ├── pfpu32_muldiv.v │ ├── pfpu32_rnd.v │ └── pfpu32_top.v ├── or1200 ├── LICENSE ├── bench │ ├── README │ ├── or1200_monitor.v │ └── or1200_monitor_defines.v ├── doc │ ├── Makefile │ ├── docbook-xsl.css │ ├── docbook.xsl │ ├── gen-docinfo.pl │ ├── img │ │ ├── addr_translation.gif │ │ ├── core_arch.gif │ │ ├── core_interfaces.gif │ │ ├── cpu_fpu_dsp.gif │ │ ├── data_cache_diag.gif │ │ ├── debug_unit_diag.gif │ │ ├── dev_interface_cycles.gif │ │ ├── inst_cache_diag.gif │ │ ├── inst_mmu_diag.gif │ │ ├── interrupt_controller.gif │ │ ├── or_family.gif │ │ ├── powerup_seq.gif │ │ ├── powerup_seq_gatedclk.gif │ │ ├── tlb_diag.gif │ │ ├── watchpoint_trigger.gif │ │ ├── wb_block_read.gif │ │ ├── wb_compatible.png │ │ ├── wb_read.gif │ │ ├── wb_rw.gif │ │ └── wb_write.gif │ ├── openrisc1200_spec.doc │ ├── openrisc1200_spec.odt │ ├── openrisc1200_spec.pdf │ ├── openrisc1200_spec.txt │ ├── openrisc1200_spec_0.7_jp.doc │ ├── openrisc1200_spec_0.7_jp.pdf │ ├── openrisc1200_supplementary_prm.odt │ ├── openrisc1200_supplementary_prm.pdf │ └── preprocess.pl ├── lib │ └── README ├── lint │ ├── bin │ │ ├── README │ │ └── run_lint │ ├── log │ │ └── README │ └── run │ │ └── README ├── rtl │ └── verilog │ │ ├── or1200_alu.v │ │ ├── or1200_amultp2_32x32.v │ │ ├── or1200_cfgr.v │ │ ├── or1200_cpu.v │ │ ├── or1200_ctrl.v │ │ ├── or1200_dc_fsm.v │ │ ├── or1200_dc_ram.v │ │ ├── or1200_dc_tag.v │ │ ├── or1200_dc_top.v │ │ ├── or1200_defines.v │ │ ├── or1200_dmmu_tlb.v │ │ ├── or1200_dmmu_top.v │ │ ├── or1200_dpram.v │ │ ├── or1200_dpram_256x32.v │ │ ├── or1200_dpram_32x32.v │ │ ├── or1200_du.v │ │ ├── or1200_except.v │ │ ├── or1200_fpu.v │ │ ├── or1200_fpu_addsub.v │ │ ├── or1200_fpu_arith.v │ │ ├── or1200_fpu_div.v │ │ ├── or1200_fpu_fcmp.v │ │ ├── or1200_fpu_intfloat_conv.v │ │ ├── or1200_fpu_intfloat_conv_except.v │ │ ├── or1200_fpu_mul.v │ │ ├── or1200_fpu_post_norm_addsub.v │ │ ├── or1200_fpu_post_norm_div.v │ │ ├── or1200_fpu_post_norm_intfloat_conv.v │ │ ├── or1200_fpu_post_norm_mul.v │ │ ├── or1200_fpu_pre_norm_addsub.v │ │ ├── or1200_fpu_pre_norm_div.v │ │ ├── or1200_fpu_pre_norm_mul.v │ │ ├── or1200_freeze.v │ │ ├── or1200_genpc.v │ │ ├── or1200_gmultp2_32x32.v │ │ ├── or1200_ic_fsm.v │ │ ├── or1200_ic_ram.v │ │ ├── or1200_ic_tag.v │ │ ├── or1200_ic_top.v │ │ ├── or1200_if.v │ │ ├── or1200_immu_tlb.v │ │ ├── or1200_immu_top.v │ │ ├── or1200_iwb_biu.v │ │ ├── or1200_lsu.v │ │ ├── or1200_mem2reg.v │ │ ├── or1200_mult_mac.v │ │ ├── or1200_operandmuxes.v │ │ ├── or1200_pic.v │ │ ├── or1200_pm.v │ │ ├── or1200_qmem_top.v │ │ ├── or1200_reg2mem.v │ │ ├── or1200_rf.v │ │ ├── or1200_rfram_generic.v │ │ ├── or1200_sb.v │ │ ├── or1200_sb_fifo.v │ │ ├── or1200_spram.v │ │ ├── or1200_spram_1024x32.v │ │ ├── or1200_spram_1024x32_bw.v │ │ ├── or1200_spram_1024x8.v │ │ ├── or1200_spram_128x32.v │ │ ├── or1200_spram_2048x32.v │ │ ├── or1200_spram_2048x32_bw.v │ │ ├── or1200_spram_2048x8.v │ │ ├── or1200_spram_256x21.v │ │ ├── or1200_spram_32_bw.v │ │ ├── or1200_spram_32x24.v │ │ ├── or1200_spram_512x20.v │ │ ├── or1200_spram_64x14.v │ │ ├── or1200_spram_64x22.v │ │ ├── or1200_spram_64x24.v │ │ ├── or1200_sprs.v │ │ ├── or1200_top.v │ │ ├── or1200_tpram_32x32.v │ │ ├── or1200_tt.v │ │ ├── or1200_wb_biu.v │ │ ├── or1200_wbmux.v │ │ ├── or1200_xcv_ram32x8d.v │ │ └── timescale.v ├── sim │ └── README └── syn │ └── synopsys │ ├── bin │ ├── README │ ├── read_design.inc │ ├── run_syn │ └── top.scr │ ├── log │ └── README │ ├── out │ └── README │ └── run │ └── README ├── scripts ├── Makefile.config ├── Makefile.targets ├── quartus │ ├── Makefile │ ├── alpha_files.tcl │ ├── base_synth.tcl │ ├── dlx_files.tcl │ ├── fpu_files.tcl │ ├── incr_synth.tcl │ ├── mor1kx_files.tcl │ ├── or1200_files.tcl │ ├── prologe.tcl │ └── timing.tcl ├── vivado │ ├── Makefile │ ├── alpha_files.tcl │ ├── base_synth.tcl │ ├── dlx_files.tcl │ ├── fpu_files.tcl │ ├── incr_synth.tcl │ ├── mor1kx_files.tcl │ └── or1200_files.tcl └── yosys │ ├── Makefile │ ├── alpha_files.tcl │ ├── base_synth.ys │ ├── dlx_files.tcl │ ├── fpu_files.tcl │ ├── mor1kx_files.tcl │ └── or1200_files.tcl └── yosys ├── .travis.yml ├── CHANGELOG ├── CodeOfConduct ├── CodingReadme ├── LICENSE ├── Makefile ├── README.md ├── abc ├── CMakeLists.txt ├── Makefile ├── abc.rc ├── abcexe.dsp ├── abclib.dsp ├── abcspace.dsw ├── arch_flags.c ├── copyright.txt ├── depends.sh ├── i10.aig ├── lib │ ├── pthread.h │ ├── sched.h │ ├── semaphore.h │ ├── x64 │ │ ├── pthreadVC2.dll │ │ └── pthreadVC2.lib │ └── x86 │ │ ├── pthreadVC2.dll │ │ └── pthreadVC2.lib ├── readme.md ├── readmeaig └── src │ ├── aig │ ├── aig │ │ ├── aig.h │ │ ├── aigCanon.c │ │ ├── aigCheck.c │ │ ├── aigCuts.c │ │ ├── aigDfs.c │ │ ├── aigDoms.c │ │ ├── aigDup.c │ │ ├── aigFact.c │ │ ├── aigFanout.c │ │ ├── aigFrames.c │ │ ├── aigInter.c │ │ ├── aigJust.c │ │ ├── aigMan.c │ │ ├── aigMem.c │ │ ├── aigMffc.c │ │ ├── aigObj.c │ │ ├── aigOper.c │ │ ├── aigOrder.c │ │ ├── aigPack.c │ │ ├── aigPart.c │ │ ├── aigPartReg.c │ │ ├── aigPartSat.c │ │ ├── aigRepar.c │ │ ├── aigRepr.c │ │ ├── aigRet.c │ │ ├── aigRetF.c │ │ ├── aigScl.c │ │ ├── aigShow.c │ │ ├── aigSplit.c │ │ ├── aigTable.c │ │ ├── aigTest.c │ │ ├── aigTiming.c │ │ ├── aigTruth.c │ │ ├── aigTsim.c │ │ ├── aigUtil.c │ │ ├── aigWin.c │ │ ├── aig_.c │ │ └── module.make │ ├── gia │ │ ├── gia.c │ │ ├── gia.h │ │ ├── giaAgi.c │ │ ├── giaAig.c │ │ ├── giaAig.h │ │ ├── giaAiger.c │ │ ├── giaAigerExt.c │ │ ├── giaBalAig.c │ │ ├── giaBalLut.c │ │ ├── giaBalMap.c │ │ ├── giaBidec.c │ │ ├── giaCCof.c │ │ ├── giaCSat.c │ │ ├── giaCSatOld.c │ │ ├── giaCTas.c │ │ ├── giaCTas2.c │ │ ├── giaCex.c │ │ ├── giaClp.c │ │ ├── giaCof.c │ │ ├── giaCone.c │ │ ├── giaConstr.c │ │ ├── giaDfs.c │ │ ├── giaDup.c │ │ ├── giaEdge.c │ │ ├── giaEmbed.c │ │ ├── giaEnable.c │ │ ├── giaEquiv.c │ │ ├── giaEra.c │ │ ├── giaEra2.c │ │ ├── giaEsop.c │ │ ├── giaFalse.c │ │ ├── giaFanout.c │ │ ├── giaForce.c │ │ ├── giaFrames.c │ │ ├── giaFront.c │ │ ├── giaFx.c │ │ ├── giaGiarf.c │ │ ├── giaGig.c │ │ ├── giaGlitch.c │ │ ├── giaHash.c │ │ ├── giaHcd.c │ │ ├── giaIf.c │ │ ├── giaIff.c │ │ ├── giaIso.c │ │ ├── giaIso2.c │ │ ├── giaIso3.c │ │ ├── giaJf.c │ │ ├── giaKf.c │ │ ├── giaLf.c │ │ ├── giaMan.c │ │ ├── giaMem.c │ │ ├── giaMf.c │ │ ├── giaMffc.c │ │ ├── giaMfs.c │ │ ├── giaMini.c │ │ ├── giaMuxes.c │ │ ├── giaNf.c │ │ ├── giaOf.c │ │ ├── giaPack.c │ │ ├── giaPat.c │ │ ├── giaPf.c │ │ ├── giaProp.c │ │ ├── giaQbf.c │ │ ├── giaResub.c │ │ ├── giaRetime.c │ │ ├── giaRex.c │ │ ├── giaSat.c │ │ ├── giaSatEdge.c │ │ ├── giaSatLE.c │ │ ├── giaSatLut.c │ │ ├── giaSatMap.c │ │ ├── giaSatoko.c │ │ ├── giaScl.c │ │ ├── giaScript.c │ │ ├── giaShow.c │ │ ├── giaShrink.c │ │ ├── giaShrink6.c │ │ ├── giaShrink7.c │ │ ├── giaSim.c │ │ ├── giaSim2.c │ │ ├── giaSort.c │ │ ├── giaSpeedup.c │ │ ├── giaSplit.c │ │ ├── giaStg.c │ │ ├── giaStr.c │ │ ├── giaSupMin.c │ │ ├── giaSweep.c │ │ ├── giaSweeper.c │ │ ├── giaSwitch.c │ │ ├── giaTim.c │ │ ├── giaTis.c │ │ ├── giaTruth.c │ │ ├── giaTsim.c │ │ ├── giaUtil.c │ │ └── module.make │ ├── hop │ │ ├── cudd2.c │ │ ├── cudd2.h │ │ ├── hop.h │ │ ├── hopBalance.c │ │ ├── hopCheck.c │ │ ├── hopDfs.c │ │ ├── hopMan.c │ │ ├── hopMem.c │ │ ├── hopObj.c │ │ ├── hopOper.c │ │ ├── hopTable.c │ │ ├── hopTruth.c │ │ ├── hopUtil.c │ │ ├── hop_.c │ │ └── module.make │ ├── ioa │ │ ├── ioa.h │ │ ├── ioaReadAig.c │ │ ├── ioaUtil.c │ │ ├── ioaWriteAig.c │ │ └── module.make │ ├── ivy │ │ ├── attr.h │ │ ├── ivy.h │ │ ├── ivyBalance.c │ │ ├── ivyCanon.c │ │ ├── ivyCheck.c │ │ ├── ivyCut.c │ │ ├── ivyCutTrav.c │ │ ├── ivyDfs.c │ │ ├── ivyDsd.c │ │ ├── ivyFanout.c │ │ ├── ivyFastMap.c │ │ ├── ivyFraig.c │ │ ├── ivyHaig.c │ │ ├── ivyMan.c │ │ ├── ivyMem.c │ │ ├── ivyMulti.c │ │ ├── ivyMulti8.c │ │ ├── ivyObj.c │ │ ├── ivyOper.c │ │ ├── ivyResyn.c │ │ ├── ivyRwr.c │ │ ├── ivyRwrAlg.c │ │ ├── ivySeq.c │ │ ├── ivyShow.c │ │ ├── ivyTable.c │ │ ├── ivyUtil.c │ │ ├── ivy_.c │ │ └── module.make │ ├── miniaig │ │ ├── abcapis.h │ │ ├── miniaig.h │ │ ├── minilut.h │ │ └── module.make │ └── saig │ │ ├── module.make │ │ ├── saig.h │ │ ├── saigCone.c │ │ ├── saigConstr.c │ │ ├── saigConstr2.c │ │ ├── saigDual.c │ │ ├── saigDup.c │ │ ├── saigGlaCba.c │ │ ├── saigGlaPba.c │ │ ├── saigGlaPba2.c │ │ ├── saigInd.c │ │ ├── saigIoa.c │ │ ├── saigIso.c │ │ ├── saigIsoFast.c │ │ ├── saigIsoSlow.c │ │ ├── saigMiter.c │ │ ├── saigOutDec.c │ │ ├── saigPhase.c │ │ ├── saigRefSat.c │ │ ├── saigRetFwd.c │ │ ├── saigRetMin.c │ │ ├── saigRetStep.c │ │ ├── saigScl.c │ │ ├── saigSimFast.c │ │ ├── saigSimMv.c │ │ ├── saigSimSeq.c │ │ ├── saigStrSim.c │ │ ├── saigSwitch.c │ │ ├── saigSynch.c │ │ ├── saigTempor.c │ │ ├── saigTrans.c │ │ ├── saigUnfold2.c │ │ ├── saigWnd.c │ │ └── saig_.c │ ├── base │ ├── abc │ │ ├── abc.h │ │ ├── abcAig.c │ │ ├── abcBarBuf.c │ │ ├── abcBlifMv.c │ │ ├── abcCheck.c │ │ ├── abcDfs.c │ │ ├── abcFanOrder.c │ │ ├── abcFanio.c │ │ ├── abcFunc.c │ │ ├── abcHie.c │ │ ├── abcHieCec.c │ │ ├── abcHieGia.c │ │ ├── abcHieNew.c │ │ ├── abcInt.h │ │ ├── abcLatch.c │ │ ├── abcLib.c │ │ ├── abcMinBase.c │ │ ├── abcNames.c │ │ ├── abcNetlist.c │ │ ├── abcNtk.c │ │ ├── abcObj.c │ │ ├── abcRefs.c │ │ ├── abcShow.c │ │ ├── abcSop.c │ │ ├── abcUtil.c │ │ ├── abc_.c │ │ └── module.make │ ├── abci │ │ ├── abc.c │ │ ├── abcAttach.c │ │ ├── abcAuto.c │ │ ├── abcBalance.c │ │ ├── abcBidec.c │ │ ├── abcBm.c │ │ ├── abcBmc.c │ │ ├── abcCas.c │ │ ├── abcCascade.c │ │ ├── abcCollapse.c │ │ ├── abcCut.c │ │ ├── abcDar.c │ │ ├── abcDarUnfold2.c │ │ ├── abcDebug.c │ │ ├── abcDec.c │ │ ├── abcDetect.c │ │ ├── abcDress.c │ │ ├── abcDress2.c │ │ ├── abcDress3.c │ │ ├── abcDsd.c │ │ ├── abcEspresso.c │ │ ├── abcExact.c │ │ ├── abcExtract.c │ │ ├── abcFlop.c │ │ ├── abcFpga.c │ │ ├── abcFpgaFast.c │ │ ├── abcFraig.c │ │ ├── abcFx.c │ │ ├── abcFxu.c │ │ ├── abcGen.c │ │ ├── abcHaig.c │ │ ├── abcIf.c │ │ ├── abcIfMux.c │ │ ├── abcIfif.c │ │ ├── abcIvy.c │ │ ├── abcLog.c │ │ ├── abcLut.c │ │ ├── abcLutmin.c │ │ ├── abcMap.c │ │ ├── abcMeasure.c │ │ ├── abcMerge.c │ │ ├── abcMffc.c │ │ ├── abcMfs.c │ │ ├── abcMini.c │ │ ├── abcMiter.c │ │ ├── abcMulti.c │ │ ├── abcMv.c │ │ ├── abcNpn.c │ │ ├── abcNpnSave.c │ │ ├── abcNtbdd.c │ │ ├── abcOdc.c │ │ ├── abcOrder.c │ │ ├── abcPart.c │ │ ├── abcPlace.c │ │ ├── abcPrint.c │ │ ├── abcProve.c │ │ ├── abcQbf.c │ │ ├── abcQuant.c │ │ ├── abcReach.c │ │ ├── abcRec3.c │ │ ├── abcReconv.c │ │ ├── abcRefactor.c │ │ ├── abcRenode.c │ │ ├── abcReorder.c │ │ ├── abcRestruct.c │ │ ├── abcResub.c │ │ ├── abcRewrite.c │ │ ├── abcRpo.c │ │ ├── abcRr.c │ │ ├── abcSat.c │ │ ├── abcSaucy.c │ │ ├── abcScorr.c │ │ ├── abcSense.c │ │ ├── abcSpeedup.c │ │ ├── abcStrash.c │ │ ├── abcSweep.c │ │ ├── abcSymm.c │ │ ├── abcTim.c │ │ ├── abcTiming.c │ │ ├── abcUnate.c │ │ ├── abcUnreach.c │ │ ├── abcVerify.c │ │ ├── abcXsim.c │ │ ├── abciUnfold2.c │ │ ├── fahout_cut.c │ │ └── module.make │ ├── bac │ │ ├── bac.c │ │ ├── bac.h │ │ ├── bacBac.c │ │ ├── bacBlast.c │ │ ├── bacCom.c │ │ ├── bacLib.c │ │ ├── bacNtk.c │ │ ├── bacOper.c │ │ ├── bacPrs.h │ │ ├── bacPrsBuild.c │ │ ├── bacPrsTrans.c │ │ ├── bacPtr.c │ │ ├── bacPtrAbc.c │ │ ├── bacReadBlif.c │ │ ├── bacReadSmt.c │ │ ├── bacReadVer.c │ │ ├── bacWriteBlif.c │ │ ├── bacWriteSmt.c │ │ ├── bacWriteVer.c │ │ └── module.make │ ├── cba │ │ ├── cba.c │ │ ├── cba.h │ │ ├── cbaBlast.c │ │ ├── cbaCba.c │ │ ├── cbaCom.c │ │ ├── cbaNtk.c │ │ ├── cbaPrs.h │ │ ├── cbaReadBlif.c │ │ ├── cbaReadVer.c │ │ ├── cbaTypes.h │ │ ├── cbaWriteBlif.c │ │ ├── cbaWriteVer.c │ │ └── module.make │ ├── cmd │ │ ├── cmd.c │ │ ├── cmd.h │ │ ├── cmdAlias.c │ │ ├── cmdApi.c │ │ ├── cmdAuto.c │ │ ├── cmdFlag.c │ │ ├── cmdHist.c │ │ ├── cmdInt.h │ │ ├── cmdLoad.c │ │ ├── cmdPlugin.c │ │ ├── cmdStarter.c │ │ ├── cmdUtils.c │ │ └── module.make │ ├── exor │ │ ├── exor.c │ │ ├── exor.h │ │ ├── exorBits.c │ │ ├── exorCubes.c │ │ ├── exorLink.c │ │ ├── exorList.c │ │ ├── exorUtil.c │ │ └── module.make │ ├── io │ │ ├── io.c │ │ ├── ioAbc.h │ │ ├── ioInt.h │ │ ├── ioJson.c │ │ ├── ioReadAiger.c │ │ ├── ioReadBaf.c │ │ ├── ioReadBblif.c │ │ ├── ioReadBench.c │ │ ├── ioReadBlif.c │ │ ├── ioReadBlifAig.c │ │ ├── ioReadBlifMv.c │ │ ├── ioReadDsd.c │ │ ├── ioReadEdif.c │ │ ├── ioReadEqn.c │ │ ├── ioReadPla.c │ │ ├── ioReadPlaMo.c │ │ ├── ioReadVerilog.c │ │ ├── ioUtil.c │ │ ├── ioWriteAiger.c │ │ ├── ioWriteBaf.c │ │ ├── ioWriteBblif.c │ │ ├── ioWriteBench.c │ │ ├── ioWriteBlif.c │ │ ├── ioWriteBlifMv.c │ │ ├── ioWriteBook.c │ │ ├── ioWriteCnf.c │ │ ├── ioWriteDot.c │ │ ├── ioWriteEqn.c │ │ ├── ioWriteGml.c │ │ ├── ioWriteList.c │ │ ├── ioWritePla.c │ │ ├── ioWriteSmv.c │ │ ├── ioWriteVerilog.c │ │ └── module.make │ ├── main │ │ ├── libSupport.c │ │ ├── main.c │ │ ├── main.h │ │ ├── mainFrame.c │ │ ├── mainInit.c │ │ ├── mainInt.h │ │ ├── mainLib.c │ │ ├── mainMC.c │ │ ├── mainReal.c │ │ ├── mainUtils.c │ │ └── module.make │ ├── pla │ │ ├── module.make │ │ ├── pla.c │ │ ├── pla.h │ │ ├── plaCom.c │ │ ├── plaFxch.c │ │ ├── plaHash.c │ │ ├── plaMan.c │ │ ├── plaMerge.c │ │ ├── plaRead.c │ │ ├── plaSimple.c │ │ └── plaWrite.c │ ├── test │ │ ├── module.make │ │ └── test.c │ ├── ver │ │ ├── module.make │ │ ├── ver.h │ │ ├── verCore.c │ │ ├── verFormula.c │ │ ├── verParse.c │ │ ├── verStream.c │ │ ├── verWords.c │ │ └── ver_.c │ └── wlc │ │ ├── module.make │ │ ├── wlc.c │ │ ├── wlc.h │ │ ├── wlcAbc.c │ │ ├── wlcAbs.c │ │ ├── wlcAbs2.c │ │ ├── wlcBlast.c │ │ ├── wlcCom.c │ │ ├── wlcJson.c │ │ ├── wlcNtk.c │ │ ├── wlcReadSmt.c │ │ ├── wlcReadVer.c │ │ ├── wlcShow.c │ │ ├── wlcSim.c │ │ ├── wlcStdin.c │ │ ├── wlcUif.c │ │ ├── wlcWin.c │ │ └── wlcWriteVer.c │ ├── bdd │ ├── bbr │ │ ├── bbr.h │ │ ├── bbrCex.c │ │ ├── bbrImage.c │ │ ├── bbrNtbdd.c │ │ ├── bbrReach.c │ │ ├── bbr_.c │ │ └── module.make │ ├── cas │ │ ├── cas.h │ │ ├── casCore.c │ │ ├── casDec.c │ │ └── module.make │ ├── cudd │ │ ├── Makefile │ │ ├── cuBdd.make │ │ ├── cudd.h │ │ ├── cudd.make │ │ ├── cuddAPI.c │ │ ├── cuddAddAbs.c │ │ ├── cuddAddApply.c │ │ ├── cuddAddFind.c │ │ ├── cuddAddInv.c │ │ ├── cuddAddIte.c │ │ ├── cuddAddNeg.c │ │ ├── cuddAddWalsh.c │ │ ├── cuddAndAbs.c │ │ ├── cuddAnneal.c │ │ ├── cuddApa.c │ │ ├── cuddApprox.c │ │ ├── cuddBddAbs.c │ │ ├── cuddBddCorr.c │ │ ├── cuddBddIte.c │ │ ├── cuddBridge.c │ │ ├── cuddCache.c │ │ ├── cuddCheck.c │ │ ├── cuddClip.c │ │ ├── cuddCof.c │ │ ├── cuddCompose.c │ │ ├── cuddDecomp.c │ │ ├── cuddEssent.c │ │ ├── cuddExact.c │ │ ├── cuddExport.c │ │ ├── cuddGenCof.c │ │ ├── cuddGenetic.c │ │ ├── cuddGroup.c │ │ ├── cuddHarwell.c │ │ ├── cuddInit.c │ │ ├── cuddInt.h │ │ ├── cuddInteract.c │ │ ├── cuddLCache.c │ │ ├── cuddLevelQ.c │ │ ├── cuddLinear.c │ │ ├── cuddLiteral.c │ │ ├── cuddMatMult.c │ │ ├── cuddPriority.c │ │ ├── cuddRead.c │ │ ├── cuddRef.c │ │ ├── cuddReorder.c │ │ ├── cuddSat.c │ │ ├── cuddSign.c │ │ ├── cuddSolve.c │ │ ├── cuddSplit.c │ │ ├── cuddSubsetHB.c │ │ ├── cuddSubsetSP.c │ │ ├── cuddSymmetry.c │ │ ├── cuddTable.c │ │ ├── cuddUtil.c │ │ ├── cuddWindow.c │ │ ├── cuddZddCount.c │ │ ├── cuddZddFuncs.c │ │ ├── cuddZddGroup.c │ │ ├── cuddZddIsop.c │ │ ├── cuddZddLin.c │ │ ├── cuddZddMisc.c │ │ ├── cuddZddPort.c │ │ ├── cuddZddReord.c │ │ ├── cuddZddSetop.c │ │ ├── cuddZddSymm.c │ │ ├── cuddZddUtil.c │ │ ├── license │ │ ├── module.make │ │ ├── r7x8.1.mat │ │ ├── r7x8.1.out │ │ └── testcudd.c │ ├── dsd │ │ ├── dsd.h │ │ ├── dsdApi.c │ │ ├── dsdCheck.c │ │ ├── dsdInt.h │ │ ├── dsdLocal.c │ │ ├── dsdMan.c │ │ ├── dsdProc.c │ │ ├── dsdTree.c │ │ └── module.make │ ├── epd │ │ ├── epd.c │ │ ├── epd.h │ │ └── module.make │ ├── extrab │ │ ├── extraBdd.h │ │ ├── extraBddAuto.c │ │ ├── extraBddCas.c │ │ ├── extraBddImage.c │ │ ├── extraBddKmap.c │ │ ├── extraBddMisc.c │ │ ├── extraBddSymm.c │ │ ├── extraBddThresh.c │ │ ├── extraBddTime.c │ │ ├── extraBddUnate.c │ │ └── module.make │ ├── llb │ │ ├── llb.c │ │ ├── llb.h │ │ ├── llb1Cluster.c │ │ ├── llb1Constr.c │ │ ├── llb1Core.c │ │ ├── llb1Group.c │ │ ├── llb1Hint.c │ │ ├── llb1Man.c │ │ ├── llb1Matrix.c │ │ ├── llb1Pivot.c │ │ ├── llb1Reach.c │ │ ├── llb1Sched.c │ │ ├── llb2Bad.c │ │ ├── llb2Core.c │ │ ├── llb2Driver.c │ │ ├── llb2Dump.c │ │ ├── llb2Flow.c │ │ ├── llb2Image.c │ │ ├── llb3Image.c │ │ ├── llb3Nonlin.c │ │ ├── llb4Cex.c │ │ ├── llb4Cluster.c │ │ ├── llb4Image.c │ │ ├── llb4Map.c │ │ ├── llb4Nonlin.c │ │ ├── llb4Sweep.c │ │ ├── llbInt.h │ │ └── module.make │ ├── mtr │ │ ├── module.make │ │ ├── mtr.h │ │ ├── mtrBasic.c │ │ ├── mtrGroup.c │ │ └── mtrInt.h │ └── reo │ │ ├── module.make │ │ ├── reo.h │ │ ├── reoApi.c │ │ ├── reoCore.c │ │ ├── reoProfile.c │ │ ├── reoShuffle.c │ │ ├── reoSift.c │ │ ├── reoSwap.c │ │ ├── reoTest.c │ │ ├── reoTransfer.c │ │ └── reoUnits.c │ ├── bool │ ├── bdc │ │ ├── bdc.h │ │ ├── bdcCore.c │ │ ├── bdcDec.c │ │ ├── bdcInt.h │ │ ├── bdcSpfd.c │ │ ├── bdcTable.c │ │ ├── bdc_.c │ │ └── module.make │ ├── dec │ │ ├── dec.h │ │ ├── decAbc.c │ │ ├── decFactor.c │ │ ├── decMan.c │ │ ├── decPrint.c │ │ ├── decUtil.c │ │ └── module.make │ ├── deco │ │ ├── deco.h │ │ └── module.make │ ├── kit │ │ ├── cloud.c │ │ ├── cloud.h │ │ ├── kit.h │ │ ├── kitAig.c │ │ ├── kitBdd.c │ │ ├── kitCloud.c │ │ ├── kitDec.c │ │ ├── kitDsd.c │ │ ├── kitFactor.c │ │ ├── kitGraph.c │ │ ├── kitHop.c │ │ ├── kitIsop.c │ │ ├── kitPerm.c │ │ ├── kitPla.c │ │ ├── kitSop.c │ │ ├── kitTruth.c │ │ ├── kit_.c │ │ └── module.make │ ├── lucky │ │ ├── lucky.c │ │ ├── lucky.h │ │ ├── luckyFast16.c │ │ ├── luckyFast6.c │ │ ├── luckyInt.h │ │ ├── luckyRead.c │ │ ├── luckySimple.c │ │ ├── luckySwap.c │ │ ├── luckySwapIJ.c │ │ └── module.make │ ├── rpo │ │ ├── literal.h │ │ ├── module.make │ │ ├── rpo.c │ │ └── rpo.h │ └── rsb │ │ ├── module.make │ │ ├── rsb.h │ │ ├── rsbDec6.c │ │ ├── rsbInt.h │ │ └── rsbMan.c │ ├── demo.c │ ├── generic.c │ ├── generic.h │ ├── map │ ├── amap │ │ ├── amap.h │ │ ├── amapCore.c │ │ ├── amapGraph.c │ │ ├── amapInt.h │ │ ├── amapLib.c │ │ ├── amapLiberty.c │ │ ├── amapMan.c │ │ ├── amapMatch.c │ │ ├── amapMerge.c │ │ ├── amapOutput.c │ │ ├── amapParse.c │ │ ├── amapPerm.c │ │ ├── amapRead.c │ │ ├── amapRule.c │ │ ├── amapUniq.c │ │ └── module.make │ ├── cov │ │ ├── cov.h │ │ ├── covBuild.c │ │ ├── covCore.c │ │ ├── covInt.h │ │ ├── covMan.c │ │ ├── covMinEsop.c │ │ ├── covMinMan.c │ │ ├── covMinSop.c │ │ ├── covMinUtil.c │ │ ├── covTest.c │ │ └── module.make │ ├── fpga │ │ ├── fpga.c │ │ ├── fpga.h │ │ ├── fpgaCore.c │ │ ├── fpgaCreate.c │ │ ├── fpgaCut.c │ │ ├── fpgaCutUtils.c │ │ ├── fpgaFanout.c │ │ ├── fpgaGENERIC.c │ │ ├── fpgaInt.h │ │ ├── fpgaLib.c │ │ ├── fpgaMatch.c │ │ ├── fpgaSwitch.c │ │ ├── fpgaTime.c │ │ ├── fpgaTruth.c │ │ ├── fpgaUtils.c │ │ ├── fpgaVec.c │ │ └── module.make │ ├── if │ │ ├── if.h │ │ ├── ifCache.c │ │ ├── ifCheck.c │ │ ├── ifCom.c │ │ ├── ifCore.c │ │ ├── ifCount.h │ │ ├── ifCut.c │ │ ├── ifData2.c │ │ ├── ifDec07.c │ │ ├── ifDec08.c │ │ ├── ifDec10.c │ │ ├── ifDec16.c │ │ ├── ifDec75.c │ │ ├── ifDelay.c │ │ ├── ifDsd.c │ │ ├── ifLibBox.c │ │ ├── ifLibLut.c │ │ ├── ifMan.c │ │ ├── ifMap.c │ │ ├── ifMatch2.c │ │ ├── ifReduce.c │ │ ├── ifSat.c │ │ ├── ifSelect.c │ │ ├── ifSeq.c │ │ ├── ifTest.c │ │ ├── ifTime.c │ │ ├── ifTruth.c │ │ ├── ifTune.c │ │ ├── ifUtil.c │ │ ├── if_.c │ │ └── module.make │ ├── mapper │ │ ├── mapper.c │ │ ├── mapper.h │ │ ├── mapperCanon.c │ │ ├── mapperCore.c │ │ ├── mapperCreate.c │ │ ├── mapperCut.c │ │ ├── mapperCutUtils.c │ │ ├── mapperFanout.c │ │ ├── mapperInt.h │ │ ├── mapperLib.c │ │ ├── mapperMatch.c │ │ ├── mapperRefs.c │ │ ├── mapperSuper.c │ │ ├── mapperSwitch.c │ │ ├── mapperTable.c │ │ ├── mapperTime.c │ │ ├── mapperTree.c │ │ ├── mapperTruth.c │ │ ├── mapperUtils.c │ │ ├── mapperVec.c │ │ └── module.make │ ├── mio │ │ ├── exp.h │ │ ├── mio.c │ │ ├── mio.h │ │ ├── mioApi.c │ │ ├── mioForm.c │ │ ├── mioFunc.c │ │ ├── mioInt.h │ │ ├── mioParse.c │ │ ├── mioRead.c │ │ ├── mioSop.c │ │ ├── mioUtils.c │ │ └── module.make │ ├── mpm │ │ ├── module.make │ │ ├── mpm.c │ │ ├── mpm.h │ │ ├── mpmAbc.c │ │ ├── mpmCore.c │ │ ├── mpmDsd.c │ │ ├── mpmGates.c │ │ ├── mpmInt.h │ │ ├── mpmLib.c │ │ ├── mpmMan.c │ │ ├── mpmMap.c │ │ ├── mpmMig.c │ │ ├── mpmMig.h │ │ ├── mpmPre.c │ │ ├── mpmTruth.c │ │ └── mpmUtil.c │ ├── scl │ │ ├── module.make │ │ ├── scl.c │ │ ├── scl.h │ │ ├── sclBufSize.c │ │ ├── sclBuffer.c │ │ ├── sclCon.h │ │ ├── sclDnsize.c │ │ ├── sclLib.h │ │ ├── sclLibScl.c │ │ ├── sclLibUtil.c │ │ ├── sclLiberty.c │ │ ├── sclLoad.c │ │ ├── sclSize.c │ │ ├── sclSize.h │ │ ├── sclTime.h │ │ ├── sclUpsize.c │ │ └── sclUtil.c │ └── super │ │ ├── module.make │ │ ├── super.c │ │ ├── super.h │ │ ├── superAnd.c │ │ ├── superGENERIC.c │ │ ├── superGate.c │ │ ├── superInt.h │ │ └── superWrite.c │ ├── misc │ ├── avl │ │ ├── avl.c │ │ ├── avl.doc │ │ └── avl.h │ ├── bar │ │ ├── bar.c │ │ ├── bar.h │ │ └── module.make │ ├── bbl │ │ ├── bblif.c │ │ ├── bblif.h │ │ └── module.make │ ├── bzlib │ │ ├── CHANGES │ │ ├── LICENSE │ │ ├── blocksort.c │ │ ├── bzip2.txt │ │ ├── bzlib.c │ │ ├── bzlib.h │ │ ├── bzlib_private.h │ │ ├── compress.c │ │ ├── crctable.c │ │ ├── decompress.c │ │ ├── huffman.c │ │ ├── link.txt │ │ ├── manual.html │ │ ├── module.make │ │ └── randtable.c │ ├── espresso │ │ ├── cofactor.c │ │ ├── cols.c │ │ ├── compl.c │ │ ├── contain.c │ │ ├── cubehack.c │ │ ├── cubestr.c │ │ ├── cvrin.c │ │ ├── cvrm.c │ │ ├── cvrmisc.c │ │ ├── cvrout.c │ │ ├── dominate.c │ │ ├── equiv.c │ │ ├── espresso.c │ │ ├── espresso.h │ │ ├── essen.c │ │ ├── exact.c │ │ ├── expand.c │ │ ├── gasp.c │ │ ├── gimpel.c │ │ ├── globals.c │ │ ├── hack.c │ │ ├── indep.c │ │ ├── irred.c │ │ ├── main.c │ │ ├── main.h │ │ ├── map.c │ │ ├── matrix.c │ │ ├── mincov.c │ │ ├── mincov.h │ │ ├── mincov_int.h │ │ ├── module.make │ │ ├── opo.c │ │ ├── pair.c │ │ ├── part.c │ │ ├── primes.c │ │ ├── reduce.c │ │ ├── rows.c │ │ ├── set.c │ │ ├── setc.c │ │ ├── sharp.c │ │ ├── sminterf.c │ │ ├── solution.c │ │ ├── sparse.c │ │ ├── sparse.h │ │ ├── sparse_int.h │ │ ├── unate.c │ │ ├── util_old.h │ │ └── verify.c │ ├── extra │ │ ├── extra.h │ │ ├── extraUtilBitMatrix.c │ │ ├── extraUtilCanon.c │ │ ├── extraUtilCube.c │ │ ├── extraUtilDsd.c │ │ ├── extraUtilEnum.c │ │ ├── extraUtilFile.c │ │ ├── extraUtilMemory.c │ │ ├── extraUtilMisc.c │ │ ├── extraUtilPerm.c │ │ ├── extraUtilPrime.c │ │ ├── extraUtilProgress.c │ │ ├── extraUtilReader.c │ │ ├── extraUtilSupp.c │ │ ├── extraUtilTruth.c │ │ ├── extraUtilUtil.c │ │ ├── extraZddTrunc.c │ │ └── module.make │ ├── hash │ │ ├── hash.h │ │ ├── hashFlt.h │ │ ├── hashGen.h │ │ ├── hashInt.h │ │ ├── hashPtr.h │ │ └── module.make │ ├── mem │ │ ├── mem.c │ │ ├── mem.h │ │ ├── mem2.h │ │ └── module.make │ ├── mvc │ │ ├── module.make │ │ ├── mvc.c │ │ ├── mvc.h │ │ ├── mvcApi.c │ │ ├── mvcCompare.c │ │ ├── mvcContain.c │ │ ├── mvcCover.c │ │ ├── mvcCube.c │ │ ├── mvcDivide.c │ │ ├── mvcDivisor.c │ │ ├── mvcList.c │ │ ├── mvcLits.c │ │ ├── mvcMan.c │ │ ├── mvcOpAlg.c │ │ ├── mvcOpBool.c │ │ ├── mvcPrint.c │ │ ├── mvcSort.c │ │ └── mvcUtils.c │ ├── nm │ │ ├── module.make │ │ ├── nm.h │ │ ├── nmApi.c │ │ ├── nmInt.h │ │ └── nmTable.c │ ├── parse │ │ ├── module.make │ │ ├── parse.h │ │ ├── parseCore.c │ │ ├── parseEqn.c │ │ ├── parseInt.h │ │ └── parseStack.c │ ├── st │ │ ├── module.make │ │ ├── st.c │ │ ├── st.h │ │ ├── stmm.c │ │ └── stmm.h │ ├── tim │ │ ├── module.make │ │ ├── tim.c │ │ ├── tim.h │ │ ├── timBox.c │ │ ├── timDump.c │ │ ├── timInt.h │ │ ├── timMan.c │ │ ├── timTime.c │ │ └── timTrav.c │ ├── util │ │ ├── abc_global.h │ │ ├── abc_namespaces.h │ │ ├── module.make │ │ ├── utilBridge.c │ │ ├── utilCex.c │ │ ├── utilCex.h │ │ ├── utilColor.c │ │ ├── utilDouble.h │ │ ├── utilFile.c │ │ ├── utilFloat.h │ │ ├── utilIsop.c │ │ ├── utilMem.c │ │ ├── utilMem.h │ │ ├── utilNam.c │ │ ├── utilNam.h │ │ ├── utilSignal.c │ │ ├── utilSignal.h │ │ ├── utilSort.c │ │ ├── utilTruth.h │ │ └── util_hack.h │ ├── vec │ │ ├── module.make │ │ ├── vec.h │ │ ├── vecAtt.h │ │ ├── vecBit.h │ │ ├── vecFlt.h │ │ ├── vecHash.h │ │ ├── vecHsh.h │ │ ├── vecInt.h │ │ ├── vecMem.h │ │ ├── vecPtr.h │ │ ├── vecQue.h │ │ ├── vecSet.h │ │ ├── vecStr.h │ │ ├── vecVec.h │ │ ├── vecWec.h │ │ └── vecWrd.h │ └── zlib │ │ ├── README │ │ ├── adler32.c │ │ ├── algorithm.txt │ │ ├── compress_.c │ │ ├── crc32.c │ │ ├── crc32.h │ │ ├── deflate.c │ │ ├── deflate.h │ │ ├── gzclose.c │ │ ├── gzguts.h │ │ ├── gzlib.c │ │ ├── gzread.c │ │ ├── gzwrite.c │ │ ├── infback.c │ │ ├── inffast.c │ │ ├── inffast.h │ │ ├── inffixed.h │ │ ├── inflate.c │ │ ├── inflate.h │ │ ├── inftrees.c │ │ ├── inftrees.h │ │ ├── license │ │ ├── link.txt │ │ ├── module.make │ │ ├── trees.c │ │ ├── trees.h │ │ ├── uncompr.c │ │ ├── zconf.h │ │ ├── zlib.h │ │ ├── zutil.c │ │ └── zutil.h │ ├── opt │ ├── cgt │ │ ├── cgt.h │ │ ├── cgtAig.c │ │ ├── cgtCore.c │ │ ├── cgtDecide.c │ │ ├── cgtInt.h │ │ ├── cgtMan.c │ │ ├── cgtSat.c │ │ └── module.make │ ├── csw │ │ ├── csw.h │ │ ├── cswCore.c │ │ ├── cswCut.c │ │ ├── cswInt.h │ │ ├── cswMan.c │ │ ├── cswTable.c │ │ ├── csw_.c │ │ └── module.make │ ├── cut │ │ ├── abcCut.c │ │ ├── cut.h │ │ ├── cutApi.c │ │ ├── cutCut.c │ │ ├── cutExpand.c │ │ ├── cutInt.h │ │ ├── cutList.h │ │ ├── cutMan.c │ │ ├── cutMerge.c │ │ ├── cutNode.c │ │ ├── cutOracle.c │ │ ├── cutPre22.c │ │ ├── cutSeq.c │ │ ├── cutTruth.c │ │ └── module.make │ ├── dar │ │ ├── dar.h │ │ ├── darBalance.c │ │ ├── darCore.c │ │ ├── darCut.c │ │ ├── darData.c │ │ ├── darInt.h │ │ ├── darLib.c │ │ ├── darMan.c │ │ ├── darPrec.c │ │ ├── darRefact.c │ │ ├── darResub.c │ │ ├── darScript.c │ │ ├── dar_.c │ │ └── module.make │ ├── dau │ │ ├── dau.c │ │ ├── dau.h │ │ ├── dauArray.c │ │ ├── dauCanon.c │ │ ├── dauCore.c │ │ ├── dauDivs.c │ │ ├── dauDsd.c │ │ ├── dauDsd2.c │ │ ├── dauEnum.c │ │ ├── dauGia.c │ │ ├── dauInt.h │ │ ├── dauMerge.c │ │ ├── dauNonDsd.c │ │ ├── dauTree.c │ │ └── module.make │ ├── dsc │ │ ├── dsc.c │ │ ├── dsc.h │ │ └── module.make │ ├── fret │ │ ├── fretFlow.c │ │ ├── fretInit.c │ │ ├── fretMain.c │ │ ├── fretTime.c │ │ ├── fretime.h │ │ └── module.make │ ├── fsim │ │ ├── fsim.h │ │ ├── fsimCore.c │ │ ├── fsimFront.c │ │ ├── fsimInt.h │ │ ├── fsimMan.c │ │ ├── fsimSim.c │ │ ├── fsimSwitch.c │ │ ├── fsimTsim.c │ │ └── module.make │ ├── fxch │ │ ├── Fxch.c │ │ ├── Fxch.h │ │ ├── FxchDiv.c │ │ ├── FxchMan.c │ │ ├── FxchSCHashTable.c │ │ └── module.make │ ├── fxu │ │ ├── fxu.c │ │ ├── fxu.h │ │ ├── fxuCreate.c │ │ ├── fxuHeapD.c │ │ ├── fxuHeapS.c │ │ ├── fxuInt.h │ │ ├── fxuList.c │ │ ├── fxuMatrix.c │ │ ├── fxuPair.c │ │ ├── fxuPrint.c │ │ ├── fxuReduce.c │ │ ├── fxuSelect.c │ │ ├── fxuSingle.c │ │ ├── fxuUpdate.c │ │ └── module.make │ ├── lpk │ │ ├── lpk.h │ │ ├── lpkAbcDec.c │ │ ├── lpkAbcDsd.c │ │ ├── lpkAbcMux.c │ │ ├── lpkAbcUtil.c │ │ ├── lpkCore.c │ │ ├── lpkCut.c │ │ ├── lpkInt.h │ │ ├── lpkMan.c │ │ ├── lpkMap.c │ │ ├── lpkMulti.c │ │ ├── lpkMux.c │ │ ├── lpkSets.c │ │ ├── lpk_.c │ │ └── module.make │ ├── mfs │ │ ├── mfs.h │ │ ├── mfsCore.c │ │ ├── mfsCore_.c │ │ ├── mfsDiv.c │ │ ├── mfsGia.c │ │ ├── mfsInt.h │ │ ├── mfsInter.c │ │ ├── mfsMan.c │ │ ├── mfsResub.c │ │ ├── mfsResub_.c │ │ ├── mfsSat.c │ │ ├── mfsStrash.c │ │ ├── mfsWin.c │ │ ├── mfs_.c │ │ └── module.make │ ├── nwk │ │ ├── module.make │ │ ├── ntlnwk.h │ │ ├── nwk.h │ │ ├── nwkAig.c │ │ ├── nwkBidec.c │ │ ├── nwkCheck.c │ │ ├── nwkDfs.c │ │ ├── nwkFanio.c │ │ ├── nwkFlow.c │ │ ├── nwkFlow_depth.c │ │ ├── nwkMan.c │ │ ├── nwkMap.c │ │ ├── nwkMerge.c │ │ ├── nwkMerge.h │ │ ├── nwkObj.c │ │ ├── nwkSpeedup.c │ │ ├── nwkStrash.c │ │ ├── nwkTiming.c │ │ ├── nwkUtil.c │ │ └── nwk_.c │ ├── res │ │ ├── module.make │ │ ├── res.h │ │ ├── resCore.c │ │ ├── resDivs.c │ │ ├── resFilter.c │ │ ├── resInt.h │ │ ├── resSat.c │ │ ├── resSim.c │ │ ├── resSim_old.c │ │ ├── resStrash.c │ │ ├── resWin.c │ │ └── res_.c │ ├── ret │ │ ├── module.make │ │ ├── retArea.c │ │ ├── retCore.c │ │ ├── retDelay.c │ │ ├── retFlow.c │ │ ├── retIncrem.c │ │ ├── retInit.c │ │ ├── retInt.h │ │ ├── retLvalue.c │ │ └── ret_.c │ ├── rwr │ │ ├── module.make │ │ ├── rwr.h │ │ ├── rwrDec.c │ │ ├── rwrEva.c │ │ ├── rwrExp.c │ │ ├── rwrLib.c │ │ ├── rwrMan.c │ │ ├── rwrPrint.c │ │ ├── rwrTemp.c │ │ └── rwrUtil.c │ ├── rwt │ │ ├── module.make │ │ ├── rwt.h │ │ ├── rwtDec.c │ │ ├── rwtMan.c │ │ └── rwtUtil.c │ ├── sbd │ │ ├── module.make │ │ ├── sbd.c │ │ ├── sbd.h │ │ ├── sbdCnf.c │ │ ├── sbdCore.c │ │ ├── sbdCut.c │ │ ├── sbdCut2.c │ │ ├── sbdInt.h │ │ ├── sbdLut.c │ │ ├── sbdPath.c │ │ ├── sbdSat.c │ │ ├── sbdSim.c │ │ └── sbdWin.c │ ├── sfm │ │ ├── module.make │ │ ├── sfm.h │ │ ├── sfmArea.c │ │ ├── sfmCnf.c │ │ ├── sfmCore.c │ │ ├── sfmDec.c │ │ ├── sfmInt.h │ │ ├── sfmLib.c │ │ ├── sfmMit.c │ │ ├── sfmNtk.c │ │ ├── sfmSat.c │ │ ├── sfmTim.c │ │ └── sfmWin.c │ └── sim │ │ ├── module.make │ │ ├── sim.h │ │ ├── simMan.c │ │ ├── simSat.c │ │ ├── simSeq.c │ │ ├── simSupp.c │ │ ├── simSwitch.c │ │ ├── simSym.c │ │ ├── simSymSat.c │ │ ├── simSymSim.c │ │ ├── simSymStr.c │ │ └── simUtils.c │ ├── phys │ └── place │ │ ├── Makefile │ │ ├── README │ │ ├── hpwl │ │ ├── libhmetis.h │ │ ├── module.make │ │ ├── place_base.c │ │ ├── place_base.h │ │ ├── place_bin.c │ │ ├── place_genqp.c │ │ ├── place_gordian.c │ │ ├── place_gordian.h │ │ ├── place_inc.c │ │ ├── place_io.c │ │ ├── place_legalize.c │ │ ├── place_pads.c │ │ ├── place_partition.c │ │ ├── place_qpsolver.c │ │ ├── place_qpsolver.h │ │ └── place_test.c │ ├── proof │ ├── abs │ │ ├── abs.c │ │ ├── abs.h │ │ ├── absDup.c │ │ ├── absGla.c │ │ ├── absGlaOld.c │ │ ├── absIter.c │ │ ├── absOldCex.c │ │ ├── absOldRef.c │ │ ├── absOldSat.c │ │ ├── absOldSim.c │ │ ├── absOut.c │ │ ├── absPth.c │ │ ├── absRef.c │ │ ├── absRef.h │ │ ├── absRefJ.c │ │ ├── absRefJ.h │ │ ├── absRefSelect.c │ │ ├── absRpm.c │ │ ├── absRpmOld.c │ │ ├── absUtil.c │ │ ├── absVta.c │ │ └── module.make │ ├── acec │ │ ├── acec.c │ │ ├── acec.h │ │ ├── acecBo.c │ │ ├── acecCl.c │ │ ├── acecCo.c │ │ ├── acecCore.c │ │ ├── acecCover.c │ │ ├── acecFadds.c │ │ ├── acecInt.h │ │ ├── acecMult.c │ │ ├── acecNorm.c │ │ ├── acecOrder.c │ │ ├── acecPa.c │ │ ├── acecPo.c │ │ ├── acecPolyn.c │ │ ├── acecPool.c │ │ ├── acecRe.c │ │ ├── acecSt.c │ │ ├── acecStruct.c │ │ ├── acecTree.c │ │ ├── acecUtil.c │ │ ├── acecXor.c │ │ └── module.make │ ├── cec │ │ ├── cec.c │ │ ├── cec.h │ │ ├── cecCec.c │ │ ├── cecChoice.c │ │ ├── cecClass.c │ │ ├── cecCore.c │ │ ├── cecCorr.c │ │ ├── cecInt.h │ │ ├── cecIso.c │ │ ├── cecMan.c │ │ ├── cecPat.c │ │ ├── cecSat.c │ │ ├── cecSeq.c │ │ ├── cecSim.c │ │ ├── cecSimBack.c │ │ ├── cecSolve.c │ │ ├── cecSplit.c │ │ ├── cecSweep.c │ │ ├── cecSynth.c │ │ └── module.make │ ├── dch │ │ ├── dch.h │ │ ├── dchAig.c │ │ ├── dchChoice.c │ │ ├── dchClass.c │ │ ├── dchCnf.c │ │ ├── dchCore.c │ │ ├── dchInt.h │ │ ├── dchMan.c │ │ ├── dchSat.c │ │ ├── dchSim.c │ │ ├── dchSimSat.c │ │ ├── dchSweep.c │ │ └── module.make │ ├── fra │ │ ├── fra.h │ │ ├── fraBmc.c │ │ ├── fraCec.c │ │ ├── fraClass.c │ │ ├── fraClau.c │ │ ├── fraClaus.c │ │ ├── fraCnf.c │ │ ├── fraCore.c │ │ ├── fraHot.c │ │ ├── fraImp.c │ │ ├── fraInd.c │ │ ├── fraIndVer.c │ │ ├── fraLcr.c │ │ ├── fraMan.c │ │ ├── fraPart.c │ │ ├── fraSat.c │ │ ├── fraSec.c │ │ ├── fraSim.c │ │ ├── fra_.c │ │ └── module.make │ ├── fraig │ │ ├── fraig.h │ │ ├── fraigApi.c │ │ ├── fraigCanon.c │ │ ├── fraigChoice.c │ │ ├── fraigFanout.c │ │ ├── fraigFeed.c │ │ ├── fraigInt.h │ │ ├── fraigMan.c │ │ ├── fraigMem.c │ │ ├── fraigNode.c │ │ ├── fraigPrime.c │ │ ├── fraigSat.c │ │ ├── fraigTable.c │ │ ├── fraigUtil.c │ │ ├── fraigVec.c │ │ └── module.make │ ├── int │ │ ├── int.h │ │ ├── intCheck.c │ │ ├── intContain.c │ │ ├── intCore.c │ │ ├── intCtrex.c │ │ ├── intDup.c │ │ ├── intFrames.c │ │ ├── intInt.h │ │ ├── intInter.c │ │ ├── intM114.c │ │ ├── intM114p.c │ │ ├── intMan.c │ │ ├── intUtil.c │ │ └── module.make │ ├── int2 │ │ ├── int2.h │ │ ├── int2Bmc.c │ │ ├── int2Core.c │ │ ├── int2Int.h │ │ ├── int2Refine.c │ │ ├── int2Util.c │ │ └── module.make │ ├── live │ │ ├── arenaViolation.c │ │ ├── combination.c │ │ ├── disjunctiveMonotone.c │ │ ├── kLiveConstraints.c │ │ ├── kliveness.c │ │ ├── liveness.c │ │ ├── liveness_sim.c │ │ ├── ltl_parser.c │ │ ├── module.make │ │ └── monotone.c │ ├── pdr │ │ ├── module.make │ │ ├── pdr.c │ │ ├── pdr.h │ │ ├── pdrClass.c │ │ ├── pdrCnf.c │ │ ├── pdrCore.c │ │ ├── pdrIncr.c │ │ ├── pdrInt.h │ │ ├── pdrInv.c │ │ ├── pdrMan.c │ │ ├── pdrSat.c │ │ ├── pdrTsim.c │ │ ├── pdrTsim2.c │ │ └── pdrUtil.c │ ├── ssc │ │ ├── module.make │ │ ├── ssc.h │ │ ├── sscClass.c │ │ ├── sscCore.c │ │ ├── sscInt.h │ │ ├── sscSat.c │ │ ├── sscSim.c │ │ └── sscUtil.c │ └── ssw │ │ ├── module.make │ │ ├── ssw.h │ │ ├── sswAig.c │ │ ├── sswBmc.c │ │ ├── sswClass.c │ │ ├── sswCnf.c │ │ ├── sswConstr.c │ │ ├── sswCore.c │ │ ├── sswDyn.c │ │ ├── sswFilter.c │ │ ├── sswInt.h │ │ ├── sswIslands.c │ │ ├── sswLcorr.c │ │ ├── sswMan.c │ │ ├── sswPairs.c │ │ ├── sswPart.c │ │ ├── sswRarity.c │ │ ├── sswRarity2.c │ │ ├── sswSat.c │ │ ├── sswSemi.c │ │ ├── sswSim.c │ │ ├── sswSimSat.c │ │ ├── sswSweep.c │ │ └── sswUnique.c │ ├── sat │ ├── bmc │ │ ├── bmc.c │ │ ├── bmc.h │ │ ├── bmcBCore.c │ │ ├── bmcBmc.c │ │ ├── bmcBmc2.c │ │ ├── bmcBmc3.c │ │ ├── bmcBmcAnd.c │ │ ├── bmcBmci.c │ │ ├── bmcCexCare.c │ │ ├── bmcCexCut.c │ │ ├── bmcCexDepth.c │ │ ├── bmcCexMin1.c │ │ ├── bmcCexMin2.c │ │ ├── bmcCexTools.c │ │ ├── bmcChain.c │ │ ├── bmcClp.c │ │ ├── bmcEco.c │ │ ├── bmcEnum.c │ │ ├── bmcExpand.c │ │ ├── bmcFault.c │ │ ├── bmcFx.c │ │ ├── bmcGen.c │ │ ├── bmcICheck.c │ │ ├── bmcInse.c │ │ ├── bmcLoad.c │ │ ├── bmcMaxi.c │ │ ├── bmcMulti.c │ │ ├── bmcUnroll.c │ │ └── module.make │ ├── bsat │ │ ├── license │ │ ├── module.make │ │ ├── satChecker.c │ │ ├── satClause.h │ │ ├── satInter.c │ │ ├── satInterA.c │ │ ├── satInterB.c │ │ ├── satInterP.c │ │ ├── satMem.c │ │ ├── satMem.h │ │ ├── satProof.c │ │ ├── satProof2.h │ │ ├── satSolver.c │ │ ├── satSolver.h │ │ ├── satSolver2.c │ │ ├── satSolver2.h │ │ ├── satSolver2i.c │ │ ├── satStore.c │ │ ├── satStore.h │ │ ├── satTrace.c │ │ ├── satTruth.c │ │ ├── satTruth.h │ │ ├── satUtil.c │ │ └── satVec.h │ ├── bsat2 │ │ ├── AbcApi.cpp │ │ ├── Alg.h │ │ ├── Alloc.h │ │ ├── Dimacs.h │ │ ├── Heap.h │ │ ├── IntTypes.h │ │ ├── LICENSE │ │ ├── MainSat.cpp │ │ ├── MainSimp.cpp │ │ ├── Map.h │ │ ├── Options.cpp │ │ ├── Options.h │ │ ├── ParseUtils.h │ │ ├── Queue.h │ │ ├── README │ │ ├── ReleaseNotes-2.2.0.txt │ │ ├── SimpSolver.cpp │ │ ├── SimpSolver.h │ │ ├── Solver.cpp │ │ ├── Solver.h │ │ ├── SolverTypes.h │ │ ├── Sort.h │ │ ├── System.cpp │ │ ├── System.h │ │ ├── Vec.h │ │ ├── XAlloc.h │ │ ├── module.make │ │ └── pstdint.h │ ├── cnf │ │ ├── cnf.h │ │ ├── cnfCore.c │ │ ├── cnfCut.c │ │ ├── cnfData.c │ │ ├── cnfFast.c │ │ ├── cnfMan.c │ │ ├── cnfMap.c │ │ ├── cnfPost.c │ │ ├── cnfUtil.c │ │ ├── cnfWrite.c │ │ ├── cnf_.c │ │ └── module.make │ ├── csat │ │ ├── csat_apis.c │ │ ├── csat_apis.h │ │ └── module.make │ ├── lsat │ │ └── solver.h │ ├── msat │ │ ├── module.make │ │ ├── msat.h │ │ ├── msatActivity.c │ │ ├── msatClause.c │ │ ├── msatClauseVec.c │ │ ├── msatInt.h │ │ ├── msatMem.c │ │ ├── msatOrderH.c │ │ ├── msatOrderJ.c │ │ ├── msatQueue.c │ │ ├── msatRead.c │ │ ├── msatSolverApi.c │ │ ├── msatSolverCore.c │ │ ├── msatSolverIo.c │ │ ├── msatSolverSearch.c │ │ ├── msatSort.c │ │ └── msatVec.c │ ├── proof │ │ ├── pr.c │ │ ├── pr.h │ │ └── stats.txt │ ├── psat │ │ ├── m114p.h │ │ ├── m114p_types.h │ │ └── module.make │ ├── satoko │ │ ├── LICENSE │ │ ├── act_clause.h │ │ ├── act_var.h │ │ ├── cdb.h │ │ ├── clause.h │ │ ├── cnf_reader.c │ │ ├── module.make │ │ ├── satoko.h │ │ ├── solver.c │ │ ├── solver.h │ │ ├── solver_api.c │ │ ├── types.h │ │ ├── utils │ │ │ ├── b_queue.h │ │ │ ├── heap.h │ │ │ ├── mem.h │ │ │ ├── misc.h │ │ │ ├── sdbl.h │ │ │ ├── sort.h │ │ │ └── vec │ │ │ │ ├── vec_char.h │ │ │ │ ├── vec_flt.h │ │ │ │ ├── vec_int.h │ │ │ │ ├── vec_sdbl.h │ │ │ │ └── vec_uint.h │ │ └── watch_list.h │ └── xsat │ │ ├── license │ │ ├── module.make │ │ ├── xsat.h │ │ ├── xsatBQueue.h │ │ ├── xsatClause.h │ │ ├── xsatCnfReader.c │ │ ├── xsatHeap.h │ │ ├── xsatMemory.h │ │ ├── xsatSolver.c │ │ ├── xsatSolver.h │ │ ├── xsatSolverAPI.c │ │ ├── xsatUtils.h │ │ └── xsatWatchList.h │ ├── starter.c │ └── template.c ├── backends ├── aiger │ ├── Makefile.inc │ └── aiger.cc ├── blif │ ├── Makefile.inc │ └── blif.cc ├── btor │ ├── Makefile.inc │ ├── README │ ├── btor.cc │ └── verilog2btor.sh ├── edif │ ├── Makefile.inc │ └── edif.cc ├── firrtl │ ├── .gitignore │ ├── Makefile.inc │ ├── firrtl.cc │ ├── test.sh │ └── test.v ├── ilang │ ├── Makefile.inc │ ├── ilang_backend.cc │ └── ilang_backend.h ├── intersynth │ ├── Makefile.inc │ └── intersynth.cc ├── json │ ├── Makefile.inc │ └── json.cc ├── smt2 │ ├── .gitignore │ ├── Makefile.inc │ ├── example.v │ ├── example.ys │ ├── smt2.cc │ ├── smtbmc.py │ ├── smtio.py │ └── test_cells.sh ├── smv │ ├── Makefile.inc │ ├── smv.cc │ └── test_cells.sh ├── spice │ ├── Makefile.inc │ └── spice.cc └── verilog │ ├── Makefile.inc │ └── verilog_backend.cc ├── examples ├── aiger │ ├── .gitignore │ ├── README │ ├── demo.sh │ └── demo.v ├── basys3 │ ├── README │ ├── example.v │ ├── example.xdc │ ├── run.sh │ ├── run_prog.tcl │ ├── run_vivado.tcl │ └── run_yosys.ys ├── cmos │ ├── .gitignore │ ├── README │ ├── cmos_cells.lib │ ├── cmos_cells.sp │ ├── cmos_cells.v │ ├── cmos_cells_digital.sp │ ├── counter.v │ ├── counter.ys │ ├── counter_digital.ys │ ├── counter_tb.gtkw │ ├── counter_tb.v │ ├── testbench.sh │ ├── testbench.sp │ ├── testbench_digital.sh │ └── testbench_digital.sp ├── cxx-api │ ├── demomain.cc │ └── evaldemo.cc ├── gowin │ ├── .gitignore │ ├── README │ ├── demo.cst │ ├── demo.sdc │ ├── demo.v │ ├── run.sh │ └── testbench.v └── smtbmc │ ├── .gitignore │ ├── Makefile │ ├── demo1.v │ ├── demo2.v │ ├── demo3.smtc │ ├── demo3.v │ ├── demo4.smtc │ ├── demo4.v │ ├── demo5.v │ ├── demo6.v │ └── demo7.v ├── frontends ├── ast │ ├── Makefile.inc │ ├── ast.cc │ ├── ast.h │ ├── dpicall.cc │ ├── genrtlil.cc │ └── simplify.cc ├── blif │ ├── Makefile.inc │ ├── blifparse.cc │ └── blifparse.h ├── ilang │ ├── .gitignore │ ├── Makefile.inc │ ├── ilang_frontend.cc │ ├── ilang_frontend.h │ ├── ilang_lexer.l │ └── ilang_parser.y ├── liberty │ ├── Makefile.inc │ └── liberty.cc ├── verific │ ├── Makefile.inc │ ├── build_amd64.txt │ ├── test_navre.ys │ └── verific.cc ├── verilog │ ├── .gitignore │ ├── Makefile.inc │ ├── const2ast.cc │ ├── preproc.cc │ ├── verilog_frontend.cc │ ├── verilog_frontend.h │ ├── verilog_lexer.l │ └── verilog_parser.y └── vhdl2verilog │ ├── Makefile.inc │ └── vhdl2verilog.cc ├── kernel ├── bitpattern.h ├── calc.cc ├── cellaigs.cc ├── cellaigs.h ├── celledges.cc ├── celledges.h ├── celltypes.h ├── consteval.h ├── cost.h ├── driver.cc ├── hashlib.h ├── log.cc ├── log.h ├── macc.h ├── modtools.h ├── register.cc ├── register.h ├── rtlil.cc ├── rtlil.h ├── satgen.h ├── sigtools.h ├── utils.h ├── yosys.cc └── yosys.h ├── libs ├── bigint │ ├── .gitignore │ ├── BigInteger.cc │ ├── BigInteger.hh │ ├── BigIntegerAlgorithms.cc │ ├── BigIntegerAlgorithms.hh │ ├── BigIntegerLibrary.hh │ ├── BigIntegerUtils.cc │ ├── BigIntegerUtils.hh │ ├── BigUnsigned.cc │ ├── BigUnsigned.hh │ ├── BigUnsignedInABase.cc │ ├── BigUnsignedInABase.hh │ ├── ChangeLog │ ├── Makefile │ ├── NumberlikeArray.hh │ ├── README │ ├── run-testsuite │ ├── sample.cc │ └── testsuite.cc ├── ezsat │ ├── .gitignore │ ├── Makefile │ ├── README │ ├── demo_bit.cc │ ├── demo_cmp.cc │ ├── demo_vec.cc │ ├── ezminisat.cc │ ├── ezminisat.h │ ├── ezsat.cc │ ├── ezsat.h │ ├── puzzle3d.cc │ ├── puzzle3d.scad │ └── testbench.cc ├── minisat │ ├── 00_PATCH_mkLit_default_arg.patch │ ├── 00_PATCH_remove_zlib.patch │ ├── 00_UPDATE.sh │ ├── Alg.h │ ├── Alloc.h │ ├── Dimacs.h │ ├── Heap.h │ ├── IntMap.h │ ├── IntTypes.h │ ├── LICENSE │ ├── Map.h │ ├── Options.cc │ ├── Options.h │ ├── ParseUtils.h │ ├── Queue.h │ ├── Rnd.h │ ├── SimpSolver.cc │ ├── SimpSolver.h │ ├── Solver.cc │ ├── Solver.h │ ├── SolverTypes.h │ ├── Sort.h │ ├── System.cc │ ├── System.h │ ├── Vec.h │ └── XAlloc.h ├── sha1 │ ├── sha1.cpp │ └── sha1.h └── subcircuit │ ├── .gitignore │ ├── Makefile │ ├── README │ ├── demo.cc │ ├── scshell.cc │ ├── subcircuit.cc │ ├── subcircuit.h │ ├── test_large.spl │ ├── test_macc22.txt │ ├── test_mine.txt │ ├── test_perm.pl │ └── test_shorts.spl ├── manual ├── .gitignore ├── APPNOTE_010_Verilog_to_BLIF.tex ├── APPNOTE_011_Design_Investigation.tex ├── APPNOTE_011_Design_Investigation │ ├── cmos.v │ ├── cmos_00.dot │ ├── cmos_01.dot │ ├── example.v │ ├── example.ys │ ├── example_00.dot │ ├── example_01.dot │ ├── example_02.dot │ ├── example_03.dot │ ├── foobaraddsub.v │ ├── make.sh │ ├── memdemo.v │ ├── memdemo_00.dot │ ├── memdemo_01.dot │ ├── primetest.v │ ├── splice.dot │ ├── splice.v │ ├── submod.ys │ ├── submod_00.dot │ ├── submod_01.dot │ ├── submod_02.dot │ ├── submod_03.dot │ ├── sumprod.v │ ├── sumprod_00.dot │ ├── sumprod_01.dot │ ├── sumprod_02.dot │ ├── sumprod_03.dot │ ├── sumprod_04.dot │ └── sumprod_05.dot ├── APPNOTE_012_Verilog_to_BTOR.tex ├── CHAPTER_Appnotes.tex ├── CHAPTER_Approach.tex ├── CHAPTER_Auxlibs.tex ├── CHAPTER_Auxprogs.tex ├── CHAPTER_Basics.tex ├── CHAPTER_CellLib.tex ├── CHAPTER_Eval.tex ├── CHAPTER_Eval │ ├── grep-it.sh │ ├── openmsp430.prj │ ├── openmsp430_ys.prj │ ├── or1200.prj │ ├── or1200_ys.prj │ ├── run-it.sh │ └── settings.xst ├── CHAPTER_Intro.tex ├── CHAPTER_Optimize.tex ├── CHAPTER_Overview.tex ├── CHAPTER_Prog.tex ├── CHAPTER_Prog │ ├── .gitignore │ ├── Makefile │ ├── stubnets.cc │ └── test.v ├── CHAPTER_StateOfTheArt.tex ├── CHAPTER_StateOfTheArt │ ├── always01.v │ ├── always01_pub.v │ ├── always02.v │ ├── always02_pub.v │ ├── always03.v │ ├── arrays01.v │ ├── cmp_tbdata.c │ ├── forgen01.v │ ├── forgen02.v │ ├── iverilog-0.8.7-buildfixes.patch │ ├── mvsis-1.3.6-buildfixes.patch │ ├── simlib_hana.v │ ├── simlib_icarus.v │ ├── simlib_yosys.v │ ├── sis-1.3.6-buildfixes.patch │ ├── synth.sh │ └── validate_tb.sh ├── CHAPTER_Techmap.tex ├── CHAPTER_Verilog.tex ├── PRESENTATION_ExAdv.tex ├── PRESENTATION_ExAdv │ ├── .gitignore │ ├── Makefile │ ├── addshift_map.v │ ├── addshift_test.v │ ├── addshift_test.ys │ ├── macc_simple_test.v │ ├── macc_simple_test.ys │ ├── macc_simple_test_01.v │ ├── macc_simple_test_02.v │ ├── macc_simple_xmap.v │ ├── macc_xilinx_swap_map.v │ ├── macc_xilinx_test.v │ ├── macc_xilinx_test.ys │ ├── macc_xilinx_unwrap_map.v │ ├── macc_xilinx_wrap_map.v │ ├── macc_xilinx_xmap.v │ ├── mulshift_map.v │ ├── mulshift_test.v │ ├── mulshift_test.ys │ ├── mymul_map.v │ ├── mymul_test.v │ ├── mymul_test.ys │ ├── red_or3x1_cells.v │ ├── red_or3x1_map.v │ ├── red_or3x1_test.v │ ├── red_or3x1_test.ys │ ├── select.v │ ├── select.ys │ ├── sym_mul_cells.v │ ├── sym_mul_map.v │ ├── sym_mul_test.v │ └── sym_mul_test.ys ├── PRESENTATION_ExOth.tex ├── PRESENTATION_ExOth │ ├── .gitignore │ ├── Makefile │ ├── axis_master.v │ ├── axis_test.v │ ├── axis_test.ys │ ├── equiv.ys │ ├── scrambler.v │ └── scrambler.ys ├── PRESENTATION_ExSyn.tex ├── PRESENTATION_ExSyn │ ├── .gitignore │ ├── Makefile │ ├── abc_01.v │ ├── abc_01.ys │ ├── abc_01_cells.lib │ ├── abc_01_cells.v │ ├── memory_01.v │ ├── memory_01.ys │ ├── memory_02.v │ ├── memory_02.ys │ ├── opt_01.v │ ├── opt_01.ys │ ├── opt_02.v │ ├── opt_02.ys │ ├── opt_03.v │ ├── opt_03.ys │ ├── opt_04.v │ ├── opt_04.ys │ ├── proc_01.v │ ├── proc_01.ys │ ├── proc_02.v │ ├── proc_02.ys │ ├── proc_03.v │ ├── proc_03.ys │ ├── techmap_01.v │ ├── techmap_01.ys │ └── techmap_01_map.v ├── PRESENTATION_Intro.tex ├── PRESENTATION_Intro │ ├── .gitignore │ ├── Makefile │ ├── counter.v │ ├── counter.ys │ ├── mycells.lib │ └── mycells.v ├── PRESENTATION_Prog.tex ├── PRESENTATION_Prog │ ├── .gitignore │ ├── Makefile │ ├── absval_ref.v │ ├── my_cmd.cc │ └── sigmap_test.v ├── appnotes.sh ├── clean.sh ├── command-reference-manual.tex ├── literature.bib ├── manual.sh ├── manual.tex ├── presentation.sh ├── presentation.tex └── weblinks.bib ├── misc ├── create_vcxsrc.sh ├── yosys-config.in └── yosysjs │ ├── demo01.html │ ├── demo02.html │ ├── demo03.html │ ├── yosysjs.js │ └── yosyswrk.js ├── passes ├── cmds │ ├── Makefile.inc │ ├── add.cc │ ├── check.cc │ ├── chformal.cc │ ├── connect.cc │ ├── connwrappers.cc │ ├── copy.cc │ ├── cover.cc │ ├── delete.cc │ ├── design.cc │ ├── edgetypes.cc │ ├── logcmd.cc │ ├── plugin.cc │ ├── qwp.cc │ ├── rename.cc │ ├── scatter.cc │ ├── scc.cc │ ├── select.cc │ ├── setattr.cc │ ├── setundef.cc │ ├── show.cc │ ├── splice.cc │ ├── splitnets.cc │ ├── stat.cc │ ├── tee.cc │ ├── torder.cc │ ├── trace.cc │ └── write_file.cc ├── equiv │ ├── Makefile.inc │ ├── equiv_add.cc │ ├── equiv_induct.cc │ ├── equiv_make.cc │ ├── equiv_mark.cc │ ├── equiv_miter.cc │ ├── equiv_purge.cc │ ├── equiv_remove.cc │ ├── equiv_simple.cc │ ├── equiv_status.cc │ └── equiv_struct.cc ├── fsm │ ├── Makefile.inc │ ├── fsm.cc │ ├── fsm_detect.cc │ ├── fsm_expand.cc │ ├── fsm_export.cc │ ├── fsm_extract.cc │ ├── fsm_info.cc │ ├── fsm_map.cc │ ├── fsm_opt.cc │ ├── fsm_recode.cc │ └── fsmdata.h ├── hierarchy │ ├── Makefile.inc │ ├── hierarchy.cc │ ├── singleton.cc │ └── submod.cc ├── memory │ ├── Makefile.inc │ ├── memory.cc │ ├── memory_bram.cc │ ├── memory_collect.cc │ ├── memory_dff.cc │ ├── memory_map.cc │ ├── memory_memx.cc │ ├── memory_share.cc │ └── memory_unpack.cc ├── opt │ ├── Makefile.inc │ ├── opt.cc │ ├── opt_clean.cc │ ├── opt_expr.cc │ ├── opt_merge.cc │ ├── opt_muxtree.cc │ ├── opt_reduce.cc │ ├── opt_rmdff.cc │ ├── share.cc │ └── wreduce.cc ├── proc │ ├── Makefile.inc │ ├── proc.cc │ ├── proc_arst.cc │ ├── proc_clean.cc │ ├── proc_dff.cc │ ├── proc_dlatch.cc │ ├── proc_init.cc │ ├── proc_mux.cc │ └── proc_rmdead.cc ├── sat │ ├── Makefile.inc │ ├── assertpmux.cc │ ├── clk2fflogic.cc │ ├── eval.cc │ ├── example.v │ ├── example.ys │ ├── expose.cc │ ├── freduce.cc │ ├── miter.cc │ └── sat.cc ├── techmap │ ├── .gitignore │ ├── Makefile.inc │ ├── abc.cc │ ├── aigmap.cc │ ├── alumacc.cc │ ├── attrmap.cc │ ├── attrmvcp.cc │ ├── deminout.cc │ ├── dff2dffe.cc │ ├── dffinit.cc │ ├── dfflibmap.cc │ ├── dffsr2dff.cc │ ├── extract.cc │ ├── filterlib.cc │ ├── hilomap.cc │ ├── insbuf.cc │ ├── iopadmap.cc │ ├── libparse.cc │ ├── libparse.h │ ├── lut2mux.cc │ ├── maccmap.cc │ ├── muxcover.cc │ ├── nlutmap.cc │ ├── pmuxtree.cc │ ├── shregmap.cc │ ├── simplemap.cc │ ├── simplemap.h │ ├── techmap.cc │ ├── tribuf.cc │ └── zinit.cc └── tests │ ├── Makefile.inc │ ├── test_abcloop.cc │ ├── test_autotb.cc │ └── test_cell.cc ├── techlibs ├── .gitignore ├── common │ ├── .gitignore │ ├── Makefile.inc │ ├── adff2dff.v │ ├── cellhelp.py │ ├── cells.lib │ ├── pmux2mux.v │ ├── prep.cc │ ├── simcells.v │ ├── simlib.v │ ├── synth.cc │ └── techmap.v ├── gowin │ ├── Makefile.inc │ ├── cells_map.v │ ├── cells_sim.v │ └── synth_gowin.cc ├── greenpak4 │ ├── Makefile.inc │ ├── cells_latch.v │ ├── cells_map.v │ ├── cells_sim.v │ ├── gp_dff.lib │ ├── greenpak4_counters.cc │ ├── greenpak4_dffinv.cc │ └── synth_greenpak4.cc ├── ice40 │ ├── .gitignore │ ├── Makefile.inc │ ├── arith_map.v │ ├── brams.txt │ ├── brams_init.py │ ├── brams_map.v │ ├── cells_map.v │ ├── cells_sim.v │ ├── ice40_ffinit.cc │ ├── ice40_ffssr.cc │ ├── ice40_opt.cc │ ├── latches_map.v │ ├── synth_ice40.cc │ └── tests │ │ ├── .gitignore │ │ ├── test_arith.v │ │ ├── test_arith.ys │ │ ├── test_bram.sh │ │ ├── test_bram.v │ │ ├── test_bram_tb.v │ │ ├── test_ffs.sh │ │ └── test_ffs.v └── xilinx │ ├── .gitignore │ ├── Makefile.inc │ ├── arith_map.v │ ├── brams.txt │ ├── brams_bb.v │ ├── brams_init.py │ ├── brams_map.v │ ├── cells_map.v │ ├── cells_sim.v │ ├── cells_xtra.sh │ ├── cells_xtra.v │ ├── drams.txt │ ├── drams_bb.v │ ├── drams_map.v │ ├── synth_xilinx.cc │ └── tests │ ├── .gitignore │ ├── bram1.sh │ ├── bram1.v │ ├── bram1_tb.v │ ├── bram2.sh │ ├── bram2.v │ └── bram2_tb.v └── tests ├── asicworld ├── .gitignore ├── README ├── code_hdl_models_GrayCounter.v ├── code_hdl_models_arbiter.v ├── code_hdl_models_arbiter_tb.v ├── code_hdl_models_cam.v ├── code_hdl_models_clk_div.v ├── code_hdl_models_clk_div_45.v ├── code_hdl_models_d_ff_gates.v ├── code_hdl_models_d_latch_gates.v ├── code_hdl_models_decoder_2to4_gates.v ├── code_hdl_models_decoder_using_assign.v ├── code_hdl_models_decoder_using_case.v ├── code_hdl_models_dff_async_reset.v ├── code_hdl_models_dff_sync_reset.v ├── code_hdl_models_encoder_4to2_gates.v ├── code_hdl_models_encoder_using_case.v ├── code_hdl_models_encoder_using_if.v ├── code_hdl_models_full_adder_gates.v ├── code_hdl_models_full_subtracter_gates.v ├── code_hdl_models_gray_counter.v ├── code_hdl_models_half_adder_gates.v ├── code_hdl_models_lfsr.v ├── code_hdl_models_lfsr_updown.v ├── code_hdl_models_misc1.v ├── code_hdl_models_mux21_switch.v ├── code_hdl_models_mux_2to1_gates.v ├── code_hdl_models_mux_using_assign.v ├── code_hdl_models_mux_using_case.v ├── code_hdl_models_mux_using_if.v ├── code_hdl_models_nand_switch.v ├── code_hdl_models_one_hot_cnt.v ├── code_hdl_models_parallel_crc.v ├── code_hdl_models_parity_using_assign.v ├── code_hdl_models_parity_using_bitwise.v ├── code_hdl_models_parity_using_function.v ├── code_hdl_models_pri_encoder_using_assign.v ├── code_hdl_models_rom_using_case.v ├── code_hdl_models_serial_crc.v ├── code_hdl_models_t_gate_switch.v ├── code_hdl_models_tff_async_reset.v ├── code_hdl_models_tff_sync_reset.v ├── code_hdl_models_uart.v ├── code_hdl_models_up_counter.v ├── code_hdl_models_up_counter_load.v ├── code_hdl_models_up_down_counter.v ├── code_specman_switch_fabric.v ├── code_tidbits_asyn_reset.v ├── code_tidbits_blocking.v ├── code_tidbits_fsm_using_always.v ├── code_tidbits_fsm_using_function.v ├── code_tidbits_fsm_using_single_always.v ├── code_tidbits_nonblocking.v ├── code_tidbits_reg_combo_example.v ├── code_tidbits_reg_seq_example.v ├── code_tidbits_syn_reset.v ├── code_tidbits_wire_example.v ├── code_verilog_tutorial_addbit.v ├── code_verilog_tutorial_always_example.v ├── code_verilog_tutorial_bus_con.v ├── code_verilog_tutorial_comment.v ├── code_verilog_tutorial_counter.v ├── code_verilog_tutorial_counter_tb.v ├── code_verilog_tutorial_d_ff.v ├── code_verilog_tutorial_decoder.v ├── code_verilog_tutorial_decoder_always.v ├── code_verilog_tutorial_escape_id.v ├── code_verilog_tutorial_explicit.v ├── code_verilog_tutorial_first_counter.v ├── code_verilog_tutorial_first_counter_tb.v ├── code_verilog_tutorial_flip_flop.v ├── code_verilog_tutorial_fsm_full.v ├── code_verilog_tutorial_fsm_full_tb.v ├── code_verilog_tutorial_good_code.v ├── code_verilog_tutorial_if_else.v ├── code_verilog_tutorial_multiply.v ├── code_verilog_tutorial_mux_21.v ├── code_verilog_tutorial_n_out_primitive.v ├── code_verilog_tutorial_parallel_if.v ├── code_verilog_tutorial_parity.v ├── code_verilog_tutorial_simple_function.v ├── code_verilog_tutorial_simple_if.v ├── code_verilog_tutorial_task_global.v ├── code_verilog_tutorial_tri_buf.v ├── code_verilog_tutorial_v2k_reg.v ├── code_verilog_tutorial_which_clock.v └── run-test.sh ├── bram ├── .gitignore ├── generate.py ├── run-single.sh └── run-test.sh ├── fsm ├── .gitignore ├── generate.py └── run-test.sh ├── hana ├── .gitignore ├── README ├── hana_vlib.v ├── run-test.sh ├── test_intermout.v ├── test_parse2synthtrans.v ├── test_parser.v ├── test_simulation_always.v ├── test_simulation_and.v ├── test_simulation_buffer.v ├── test_simulation_decoder.v ├── test_simulation_inc.v ├── test_simulation_mux.v ├── test_simulation_nand.v ├── test_simulation_nor.v ├── test_simulation_or.v ├── test_simulation_seq.v ├── test_simulation_shifter.v ├── test_simulation_sop.v ├── test_simulation_techmap.v ├── test_simulation_techmap_tech.v ├── test_simulation_vlib.v ├── test_simulation_xnor.v └── test_simulation_xor.v ├── memories ├── .gitignore ├── amber23_sram_byte_en.v ├── implicit_en.v ├── no_implicit_en.v ├── run-test.sh ├── shared_ports.v └── simple_sram_byte_en.v ├── realmath ├── .gitignore ├── generate.py └── run-test.sh ├── sat ├── .gitignore ├── asserts.v ├── asserts.ys ├── asserts_seq.v ├── asserts_seq.ys ├── counters.v ├── counters.ys ├── expose_dff.v ├── expose_dff.ys ├── initval.v ├── initval.ys ├── run-test.sh ├── share.v ├── share.ys ├── splice.v └── splice.ys ├── share ├── .gitignore ├── generate.py └── run-test.sh ├── simple ├── .gitignore ├── aes_kexp128.v ├── always01.v ├── always02.v ├── always03.v ├── arraycells.v ├── arrays01.v ├── carryadd.v ├── constmuldivmod.v ├── constpower.v ├── dff_different_styles.v ├── fiedler-cooley.v ├── forgen01.v ├── forgen02.v ├── fsm.v ├── generate.v ├── graphtest.v ├── hierarchy.v ├── hierdefparam.v ├── i2c_master_tests.v ├── loops.v ├── macros.v ├── mem2reg.v ├── mem_arst.v ├── memory.v ├── multiplier.v ├── muxtree.v ├── omsp_dbg_uart.v ├── operators.v ├── paramods.v ├── partsel.v ├── process.v ├── realexpr.v ├── repwhile.v ├── rotate.v ├── run-test.sh ├── scopes.v ├── signedexpr.v ├── sincos.v ├── subbytes.v ├── task_func.v ├── undef_eqx_nex.v ├── usb_phy_tests.v ├── values.v ├── vloghammer.v └── wreduce.v ├── smv ├── .gitignore ├── run-single.sh └── run-test.sh ├── techmap ├── .gitignore ├── mem_simple_4x1_cells.v ├── mem_simple_4x1_map.v ├── mem_simple_4x1_runtest.sh ├── mem_simple_4x1_tb.v ├── mem_simple_4x1_uut.v └── run-test.sh ├── tools ├── .gitignore ├── autotest.mk ├── autotest.sh ├── cmp_tbdata.c ├── profiler.pl ├── txt2tikztiming.py ├── vcd2txt.pl └── vcdcd.pl ├── unit ├── Makefile └── kernel │ ├── logTest.cc │ └── rtlilTest.cc ├── various ├── .gitignore ├── constmsk_test.v ├── constmsk_test.ys ├── constmsk_testmap.v ├── muxcover.ys ├── run-test.sh └── submod_extract.ys └── vloghtb ├── .gitignore ├── common.sh ├── run-test.sh ├── test_febe.sh ├── test_makefile ├── test_mapopt.sh └── test_share.sh /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/.gitignore -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/LICENSE -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/README.md -------------------------------------------------------------------------------- /alpha/disclaimer: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/alpha/disclaimer -------------------------------------------------------------------------------- /alpha/ex_stage.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/alpha/ex_stage.v -------------------------------------------------------------------------------- /alpha/id_stage.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/alpha/id_stage.v -------------------------------------------------------------------------------- /alpha/if_stage.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/alpha/if_stage.v -------------------------------------------------------------------------------- /alpha/mem_stage.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/alpha/mem_stage.v -------------------------------------------------------------------------------- /alpha/pipeline.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/alpha/pipeline.v -------------------------------------------------------------------------------- /alpha/regfile.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/alpha/regfile.v -------------------------------------------------------------------------------- /alpha/sys_defs.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/alpha/sys_defs.vh -------------------------------------------------------------------------------- /alpha/wb_stage.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/alpha/wb_stage.v -------------------------------------------------------------------------------- /dlx/alu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/alu.v -------------------------------------------------------------------------------- /dlx/alu_control.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/alu_control.v -------------------------------------------------------------------------------- /dlx/bypass_ex.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/bypass_ex.v -------------------------------------------------------------------------------- /dlx/bypass_id.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/bypass_id.v -------------------------------------------------------------------------------- /dlx/cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/cpu.v -------------------------------------------------------------------------------- /dlx/decode.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/decode.v -------------------------------------------------------------------------------- /dlx/dislaimer: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/dislaimer -------------------------------------------------------------------------------- /dlx/dlx_defs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/dlx_defs.v -------------------------------------------------------------------------------- /dlx/ff.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/ff.v -------------------------------------------------------------------------------- /dlx/globals.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/globals.v -------------------------------------------------------------------------------- /dlx/quick_compare.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/quick_compare.v -------------------------------------------------------------------------------- /dlx/regfile.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/regfile.v -------------------------------------------------------------------------------- /dlx/wb_control.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/dlx/wb_control.v -------------------------------------------------------------------------------- /fpu/TODO: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/TODO -------------------------------------------------------------------------------- /fpu/fpu.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/fpu.xml -------------------------------------------------------------------------------- /fpu/rtl/dfuncs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/dfuncs.h -------------------------------------------------------------------------------- /fpu/rtl/fp_add64.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_add64.v -------------------------------------------------------------------------------- /fpu/rtl/fp_add64_post_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_add64_post_comb.v -------------------------------------------------------------------------------- /fpu/rtl/fp_busy_generator_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_busy_generator_comb.v -------------------------------------------------------------------------------- /fpu/rtl/fp_denorm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_denorm.v -------------------------------------------------------------------------------- /fpu/rtl/fp_div64.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_div64.v -------------------------------------------------------------------------------- /fpu/rtl/fp_div64_post_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_div64_post_comb.v -------------------------------------------------------------------------------- /fpu/rtl/fp_div64_to_64.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_div64_to_64.v -------------------------------------------------------------------------------- /fpu/rtl/fp_ex.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_ex.v -------------------------------------------------------------------------------- /fpu/rtl/fp_lead0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_lead0.v -------------------------------------------------------------------------------- /fpu/rtl/fp_lead0_p0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_lead0_p0.v -------------------------------------------------------------------------------- /fpu/rtl/fp_lead0_p1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_lead0_p1.v -------------------------------------------------------------------------------- /fpu/rtl/fp_mult64.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_mult64.v -------------------------------------------------------------------------------- /fpu/rtl/fp_mult64_post_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_mult64_post_comb.v -------------------------------------------------------------------------------- /fpu/rtl/fp_normalize.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_normalize.v -------------------------------------------------------------------------------- /fpu/rtl/fp_normalize_fix.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_normalize_fix.v -------------------------------------------------------------------------------- /fpu/rtl/fp_normalize_fp.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_normalize_fp.v -------------------------------------------------------------------------------- /fpu/rtl/fp_op_predec.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_op_predec.v -------------------------------------------------------------------------------- /fpu/rtl/fp_propagate_div.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_propagate_div.v -------------------------------------------------------------------------------- /fpu/rtl/fp_propagate_nan_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_propagate_nan_comb.v -------------------------------------------------------------------------------- /fpu/rtl/fp_result_queue.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_result_queue.v -------------------------------------------------------------------------------- /fpu/rtl/fp_shift0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_shift0.v -------------------------------------------------------------------------------- /fpu/rtl/fp_shift1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_shift1.v -------------------------------------------------------------------------------- /fpu/rtl/fp_sqrt64.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_sqrt64.v -------------------------------------------------------------------------------- /fpu/rtl/fp_sqrt64_post_comb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fp_sqrt64_post_comb.v -------------------------------------------------------------------------------- /fpu/rtl/fpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/fpu.v -------------------------------------------------------------------------------- /fpu/rtl/register.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/register.v -------------------------------------------------------------------------------- /fpu/rtl/scoore_fpu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/scoore_fpu.h -------------------------------------------------------------------------------- /fpu/rtl/scoore_fpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/scoore_fpu.v -------------------------------------------------------------------------------- /fpu/rtl/stage.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/stage.v -------------------------------------------------------------------------------- /fpu/rtl/stage_flop_retry.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/rtl/stage_flop_retry.v -------------------------------------------------------------------------------- /fpu/tests/SoftFloat/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/tests/SoftFloat/Makefile -------------------------------------------------------------------------------- /fpu/tests/SoftFloat/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/tests/SoftFloat/README.txt -------------------------------------------------------------------------------- /fpu/tests/SoftFloat/SoftFloat.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/tests/SoftFloat/SoftFloat.txt -------------------------------------------------------------------------------- /fpu/tests/SoftFloat/fputest.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/tests/SoftFloat/fputest.cpp -------------------------------------------------------------------------------- /fpu/tests/SoftFloat/pdouble.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/tests/SoftFloat/pdouble.cpp -------------------------------------------------------------------------------- /fpu/tests/SoftFloat/softfloat.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/tests/SoftFloat/softfloat.cpp -------------------------------------------------------------------------------- /fpu/tests/fpu_tb.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/tests/fpu_tb.cpp -------------------------------------------------------------------------------- /fpu/tests/fpu_tb.tab: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/tests/fpu_tb.tab -------------------------------------------------------------------------------- /fpu/tests/fpu_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/fpu/tests/fpu_tb.v -------------------------------------------------------------------------------- /fpu/ucli.key: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /mor1kx/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/LICENSE -------------------------------------------------------------------------------- /mor1kx/README.pod: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/README.pod -------------------------------------------------------------------------------- /mor1kx/doc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/doc/Makefile -------------------------------------------------------------------------------- /mor1kx/doc/docbook-xsl.css: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/doc/docbook-xsl.css -------------------------------------------------------------------------------- /mor1kx/doc/docbook.xsl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/doc/docbook.xsl -------------------------------------------------------------------------------- /mor1kx/doc/gen-docinfo.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/doc/gen-docinfo.pl -------------------------------------------------------------------------------- /mor1kx/doc/mor1kx.asciidoc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/doc/mor1kx.asciidoc -------------------------------------------------------------------------------- /mor1kx/rtl/verilog/mor1kx-sprs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/rtl/verilog/mor1kx-sprs.v -------------------------------------------------------------------------------- /mor1kx/rtl/verilog/mor1kx.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/rtl/verilog/mor1kx.v -------------------------------------------------------------------------------- /mor1kx/rtl/verilog/mor1kx_cfgrs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/rtl/verilog/mor1kx_cfgrs.v -------------------------------------------------------------------------------- /mor1kx/rtl/verilog/mor1kx_cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/rtl/verilog/mor1kx_cpu.v -------------------------------------------------------------------------------- /mor1kx/rtl/verilog/mor1kx_dmmu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/rtl/verilog/mor1kx_dmmu.v -------------------------------------------------------------------------------- /mor1kx/rtl/verilog/mor1kx_immu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/rtl/verilog/mor1kx_immu.v -------------------------------------------------------------------------------- /mor1kx/rtl/verilog/mor1kx_pic.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/mor1kx/rtl/verilog/mor1kx_pic.v -------------------------------------------------------------------------------- /or1200/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/LICENSE -------------------------------------------------------------------------------- /or1200/bench/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/bench/README -------------------------------------------------------------------------------- /or1200/bench/or1200_monitor.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/bench/or1200_monitor.v -------------------------------------------------------------------------------- /or1200/doc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/Makefile -------------------------------------------------------------------------------- /or1200/doc/docbook-xsl.css: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/docbook-xsl.css -------------------------------------------------------------------------------- /or1200/doc/docbook.xsl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/docbook.xsl -------------------------------------------------------------------------------- /or1200/doc/gen-docinfo.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/gen-docinfo.pl -------------------------------------------------------------------------------- /or1200/doc/img/core_arch.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/core_arch.gif -------------------------------------------------------------------------------- /or1200/doc/img/cpu_fpu_dsp.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/cpu_fpu_dsp.gif -------------------------------------------------------------------------------- /or1200/doc/img/inst_mmu_diag.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/inst_mmu_diag.gif -------------------------------------------------------------------------------- /or1200/doc/img/or_family.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/or_family.gif -------------------------------------------------------------------------------- /or1200/doc/img/powerup_seq.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/powerup_seq.gif -------------------------------------------------------------------------------- /or1200/doc/img/tlb_diag.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/tlb_diag.gif -------------------------------------------------------------------------------- /or1200/doc/img/wb_block_read.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/wb_block_read.gif -------------------------------------------------------------------------------- /or1200/doc/img/wb_compatible.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/wb_compatible.png -------------------------------------------------------------------------------- /or1200/doc/img/wb_read.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/wb_read.gif -------------------------------------------------------------------------------- /or1200/doc/img/wb_rw.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/wb_rw.gif -------------------------------------------------------------------------------- /or1200/doc/img/wb_write.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/img/wb_write.gif -------------------------------------------------------------------------------- /or1200/doc/openrisc1200_spec.doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/openrisc1200_spec.doc -------------------------------------------------------------------------------- /or1200/doc/openrisc1200_spec.odt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/openrisc1200_spec.odt -------------------------------------------------------------------------------- /or1200/doc/openrisc1200_spec.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/openrisc1200_spec.pdf -------------------------------------------------------------------------------- /or1200/doc/openrisc1200_spec.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/openrisc1200_spec.txt -------------------------------------------------------------------------------- /or1200/doc/preprocess.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/doc/preprocess.pl -------------------------------------------------------------------------------- /or1200/lib/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/lib/README -------------------------------------------------------------------------------- /or1200/lint/bin/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/lint/bin/README -------------------------------------------------------------------------------- /or1200/lint/bin/run_lint: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/lint/bin/run_lint -------------------------------------------------------------------------------- /or1200/lint/log/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/lint/log/README -------------------------------------------------------------------------------- /or1200/lint/run/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/lint/run/README -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_alu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_alu.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_cfgr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_cfgr.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_cpu.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_ctrl.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_dpram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_dpram.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_du.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_du.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_fpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_fpu.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_genpc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_genpc.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_if.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_if.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_lsu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_lsu.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_pic.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_pic.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_pm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_pm.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_rf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_rf.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_sb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_sb.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_spram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_spram.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_sprs.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_sprs.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_top.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_tt.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_tt.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/or1200_wbmux.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/rtl/verilog/or1200_wbmux.v -------------------------------------------------------------------------------- /or1200/rtl/verilog/timescale.v: -------------------------------------------------------------------------------- 1 | `timescale 1ps/1ps 2 | -------------------------------------------------------------------------------- /or1200/sim/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/sim/README -------------------------------------------------------------------------------- /or1200/syn/synopsys/bin/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/syn/synopsys/bin/README -------------------------------------------------------------------------------- /or1200/syn/synopsys/bin/run_syn: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/syn/synopsys/bin/run_syn -------------------------------------------------------------------------------- /or1200/syn/synopsys/bin/top.scr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/syn/synopsys/bin/top.scr -------------------------------------------------------------------------------- /or1200/syn/synopsys/log/README: -------------------------------------------------------------------------------- 1 | This directory contains report files after synthesis run. 2 | -------------------------------------------------------------------------------- /or1200/syn/synopsys/out/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/syn/synopsys/out/README -------------------------------------------------------------------------------- /or1200/syn/synopsys/run/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/or1200/syn/synopsys/run/README -------------------------------------------------------------------------------- /scripts/Makefile.config: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/Makefile.config -------------------------------------------------------------------------------- /scripts/Makefile.targets: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/Makefile.targets -------------------------------------------------------------------------------- /scripts/quartus/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/quartus/Makefile -------------------------------------------------------------------------------- /scripts/quartus/alpha_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/quartus/alpha_files.tcl -------------------------------------------------------------------------------- /scripts/quartus/base_synth.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/quartus/base_synth.tcl -------------------------------------------------------------------------------- /scripts/quartus/dlx_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/quartus/dlx_files.tcl -------------------------------------------------------------------------------- /scripts/quartus/fpu_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/quartus/fpu_files.tcl -------------------------------------------------------------------------------- /scripts/quartus/incr_synth.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/quartus/incr_synth.tcl -------------------------------------------------------------------------------- /scripts/quartus/mor1kx_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/quartus/mor1kx_files.tcl -------------------------------------------------------------------------------- /scripts/quartus/or1200_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/quartus/or1200_files.tcl -------------------------------------------------------------------------------- /scripts/quartus/prologe.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/quartus/prologe.tcl -------------------------------------------------------------------------------- /scripts/quartus/timing.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/quartus/timing.tcl -------------------------------------------------------------------------------- /scripts/vivado/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/vivado/Makefile -------------------------------------------------------------------------------- /scripts/vivado/alpha_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/vivado/alpha_files.tcl -------------------------------------------------------------------------------- /scripts/vivado/base_synth.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/vivado/base_synth.tcl -------------------------------------------------------------------------------- /scripts/vivado/dlx_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/vivado/dlx_files.tcl -------------------------------------------------------------------------------- /scripts/vivado/fpu_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/vivado/fpu_files.tcl -------------------------------------------------------------------------------- /scripts/vivado/incr_synth.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/vivado/incr_synth.tcl -------------------------------------------------------------------------------- /scripts/vivado/mor1kx_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/vivado/mor1kx_files.tcl -------------------------------------------------------------------------------- /scripts/vivado/or1200_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/vivado/or1200_files.tcl -------------------------------------------------------------------------------- /scripts/yosys/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/yosys/Makefile -------------------------------------------------------------------------------- /scripts/yosys/alpha_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/yosys/alpha_files.tcl -------------------------------------------------------------------------------- /scripts/yosys/base_synth.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/yosys/base_synth.ys -------------------------------------------------------------------------------- /scripts/yosys/dlx_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/yosys/dlx_files.tcl -------------------------------------------------------------------------------- /scripts/yosys/fpu_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/yosys/fpu_files.tcl -------------------------------------------------------------------------------- /scripts/yosys/mor1kx_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/yosys/mor1kx_files.tcl -------------------------------------------------------------------------------- /scripts/yosys/or1200_files.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/scripts/yosys/or1200_files.tcl -------------------------------------------------------------------------------- /yosys/.travis.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/.travis.yml -------------------------------------------------------------------------------- /yosys/CHANGELOG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/CHANGELOG -------------------------------------------------------------------------------- /yosys/CodeOfConduct: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/CodeOfConduct -------------------------------------------------------------------------------- /yosys/CodingReadme: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/CodingReadme -------------------------------------------------------------------------------- /yosys/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/LICENSE -------------------------------------------------------------------------------- /yosys/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/Makefile -------------------------------------------------------------------------------- /yosys/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/README.md -------------------------------------------------------------------------------- /yosys/abc/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/CMakeLists.txt -------------------------------------------------------------------------------- /yosys/abc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/Makefile -------------------------------------------------------------------------------- /yosys/abc/abc.rc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/abc.rc -------------------------------------------------------------------------------- /yosys/abc/abcexe.dsp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/abcexe.dsp -------------------------------------------------------------------------------- /yosys/abc/abclib.dsp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/abclib.dsp -------------------------------------------------------------------------------- /yosys/abc/abcspace.dsw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/abcspace.dsw -------------------------------------------------------------------------------- /yosys/abc/arch_flags.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/arch_flags.c -------------------------------------------------------------------------------- /yosys/abc/copyright.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/copyright.txt -------------------------------------------------------------------------------- /yosys/abc/depends.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/depends.sh -------------------------------------------------------------------------------- /yosys/abc/i10.aig: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/i10.aig -------------------------------------------------------------------------------- /yosys/abc/lib/pthread.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/lib/pthread.h -------------------------------------------------------------------------------- /yosys/abc/lib/sched.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/lib/sched.h -------------------------------------------------------------------------------- /yosys/abc/lib/semaphore.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/lib/semaphore.h -------------------------------------------------------------------------------- /yosys/abc/lib/x64/pthreadVC2.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/lib/x64/pthreadVC2.dll -------------------------------------------------------------------------------- /yosys/abc/lib/x64/pthreadVC2.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/lib/x64/pthreadVC2.lib -------------------------------------------------------------------------------- /yosys/abc/lib/x86/pthreadVC2.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/lib/x86/pthreadVC2.dll -------------------------------------------------------------------------------- /yosys/abc/lib/x86/pthreadVC2.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/lib/x86/pthreadVC2.lib -------------------------------------------------------------------------------- /yosys/abc/readme.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/readme.md -------------------------------------------------------------------------------- /yosys/abc/readmeaig: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/readmeaig -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aig.h -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigCanon.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigCanon.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigCheck.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigCheck.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigCuts.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigCuts.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigDfs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigDfs.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigDoms.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigDoms.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigDup.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigDup.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigFact.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigFact.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigFanout.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigFanout.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigFrames.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigFrames.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigInter.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigInter.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigJust.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigJust.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigMan.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigMem.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigMem.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigMffc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigMffc.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigObj.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigObj.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigOper.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigOper.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigOrder.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigOrder.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigPack.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigPack.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigPart.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigPart.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigRepar.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigRepar.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigRepr.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigRepr.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigRet.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigRet.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigRetF.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigRetF.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigScl.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigScl.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigShow.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigShow.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigSplit.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigSplit.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigTable.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigTable.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigTest.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigTest.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigTiming.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigTiming.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigTruth.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigTruth.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigTsim.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigTsim.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigUtil.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigUtil.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aigWin.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aigWin.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/aig_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/aig_.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/aig/module.make: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/aig/module.make -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/gia.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/gia.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/gia.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/gia.h -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaAgi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaAgi.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaAig.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaAig.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaAig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaAig.h -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaAiger.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaAiger.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaBalAig.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaBalAig.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaBalLut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaBalLut.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaBalMap.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaBalMap.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaBidec.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaBidec.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaCCof.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaCCof.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaCSat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaCSat.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaCTas.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaCTas.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaCTas2.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaCTas2.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaCex.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaCex.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaClp.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaClp.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaCof.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaCof.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaCone.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaCone.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaConstr.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaConstr.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaDfs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaDfs.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaDup.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaDup.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaEdge.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaEdge.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaEmbed.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaEmbed.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaEnable.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaEnable.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaEquiv.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaEquiv.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaEra.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaEra.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaEra2.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaEra2.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaEsop.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaEsop.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaFalse.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaFalse.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaFanout.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaFanout.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaForce.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaForce.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaFrames.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaFrames.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaFront.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaFront.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaFx.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaFx.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaGiarf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaGiarf.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaGig.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaGig.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaGlitch.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaGlitch.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaHash.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaHash.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaHcd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaHcd.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaIf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaIf.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaIff.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaIff.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaIso.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaIso.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaIso2.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaIso2.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaIso3.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaIso3.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaJf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaJf.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaKf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaKf.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaLf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaLf.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaMan.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaMem.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaMem.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaMf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaMf.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaMffc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaMffc.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaMfs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaMfs.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaMini.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaMini.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaMuxes.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaMuxes.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaNf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaNf.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaOf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaOf.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaPack.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaPack.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaPat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaPat.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaPf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaPf.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaProp.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaProp.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaQbf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaQbf.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaResub.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaResub.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaRex.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaRex.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaSat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaSat.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaScl.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaScl.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaSim.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaSim.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaStg.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaStg.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaStr.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaStr.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaTim.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaTim.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/gia/giaTis.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/gia/giaTis.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/hop/cudd2.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/hop/cudd2.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/hop/cudd2.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/hop/cudd2.h -------------------------------------------------------------------------------- /yosys/abc/src/aig/hop/hop.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/hop/hop.h -------------------------------------------------------------------------------- /yosys/abc/src/aig/hop/hopDfs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/hop/hopDfs.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/hop/hopMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/hop/hopMan.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/hop/hopMem.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/hop/hopMem.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/hop/hopObj.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/hop/hopObj.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/hop/hop_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/hop/hop_.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/ioa/ioa.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ioa/ioa.h -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/attr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/attr.h -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/ivy.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/ivy.h -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/ivyCut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/ivyCut.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/ivyDfs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/ivyDfs.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/ivyDsd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/ivyDsd.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/ivyMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/ivyMan.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/ivyMem.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/ivyMem.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/ivyObj.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/ivyObj.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/ivyRwr.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/ivyRwr.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/ivySeq.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/ivySeq.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/ivy/ivy_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/ivy/ivy_.c -------------------------------------------------------------------------------- /yosys/abc/src/aig/miniaig/module.make: -------------------------------------------------------------------------------- 1 | SRC += 2 | -------------------------------------------------------------------------------- /yosys/abc/src/aig/saig/saig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/saig/saig.h -------------------------------------------------------------------------------- /yosys/abc/src/aig/saig/saig_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/aig/saig/saig_.c -------------------------------------------------------------------------------- /yosys/abc/src/base/abc/abc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/abc/abc.h -------------------------------------------------------------------------------- /yosys/abc/src/base/abc/abc_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/abc/abc_.c -------------------------------------------------------------------------------- /yosys/abc/src/base/abci/abc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/abci/abc.c -------------------------------------------------------------------------------- /yosys/abc/src/base/bac/bac.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/bac/bac.c -------------------------------------------------------------------------------- /yosys/abc/src/base/bac/bac.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/bac/bac.h -------------------------------------------------------------------------------- /yosys/abc/src/base/cba/cba.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/cba/cba.c -------------------------------------------------------------------------------- /yosys/abc/src/base/cba/cba.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/cba/cba.h -------------------------------------------------------------------------------- /yosys/abc/src/base/cmd/cmd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/cmd/cmd.c -------------------------------------------------------------------------------- /yosys/abc/src/base/cmd/cmd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/cmd/cmd.h -------------------------------------------------------------------------------- /yosys/abc/src/base/exor/exor.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/exor/exor.c -------------------------------------------------------------------------------- /yosys/abc/src/base/exor/exor.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/exor/exor.h -------------------------------------------------------------------------------- /yosys/abc/src/base/io/io.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/io/io.c -------------------------------------------------------------------------------- /yosys/abc/src/base/io/ioAbc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/io/ioAbc.h -------------------------------------------------------------------------------- /yosys/abc/src/base/io/ioInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/io/ioInt.h -------------------------------------------------------------------------------- /yosys/abc/src/base/io/ioJson.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/io/ioJson.c -------------------------------------------------------------------------------- /yosys/abc/src/base/io/ioUtil.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/io/ioUtil.c -------------------------------------------------------------------------------- /yosys/abc/src/base/main/main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/main/main.c -------------------------------------------------------------------------------- /yosys/abc/src/base/main/main.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/main/main.h -------------------------------------------------------------------------------- /yosys/abc/src/base/pla/pla.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/pla/pla.c -------------------------------------------------------------------------------- /yosys/abc/src/base/pla/pla.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/pla/pla.h -------------------------------------------------------------------------------- /yosys/abc/src/base/test/test.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/test/test.c -------------------------------------------------------------------------------- /yosys/abc/src/base/ver/ver.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/ver/ver.h -------------------------------------------------------------------------------- /yosys/abc/src/base/ver/ver_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/ver/ver_.c -------------------------------------------------------------------------------- /yosys/abc/src/base/wlc/wlc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/wlc/wlc.c -------------------------------------------------------------------------------- /yosys/abc/src/base/wlc/wlc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/base/wlc/wlc.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/bbr/bbr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/bbr/bbr.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/bbr/bbrCex.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/bbr/bbrCex.c -------------------------------------------------------------------------------- /yosys/abc/src/bdd/bbr/bbr_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/bbr/bbr_.c -------------------------------------------------------------------------------- /yosys/abc/src/bdd/cas/cas.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/cas/cas.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/cas/casDec.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/cas/casDec.c -------------------------------------------------------------------------------- /yosys/abc/src/bdd/cudd/cudd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/cudd/cudd.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/cudd/license: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/cudd/license -------------------------------------------------------------------------------- /yosys/abc/src/bdd/dsd/dsd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/dsd/dsd.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/dsd/dsdApi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/dsd/dsdApi.c -------------------------------------------------------------------------------- /yosys/abc/src/bdd/dsd/dsdInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/dsd/dsdInt.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/dsd/dsdMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/dsd/dsdMan.c -------------------------------------------------------------------------------- /yosys/abc/src/bdd/epd/epd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/epd/epd.c -------------------------------------------------------------------------------- /yosys/abc/src/bdd/epd/epd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/epd/epd.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/llb/llb.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/llb/llb.c -------------------------------------------------------------------------------- /yosys/abc/src/bdd/llb/llb.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/llb/llb.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/llb/llbInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/llb/llbInt.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/mtr/mtr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/mtr/mtr.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/mtr/mtrInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/mtr/mtrInt.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/reo/reo.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/reo/reo.h -------------------------------------------------------------------------------- /yosys/abc/src/bdd/reo/reoApi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bdd/reo/reoApi.c -------------------------------------------------------------------------------- /yosys/abc/src/bool/bdc/bdc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/bdc/bdc.h -------------------------------------------------------------------------------- /yosys/abc/src/bool/bdc/bdc_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/bdc/bdc_.c -------------------------------------------------------------------------------- /yosys/abc/src/bool/dec/dec.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/dec/dec.h -------------------------------------------------------------------------------- /yosys/abc/src/bool/deco/deco.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/deco/deco.h -------------------------------------------------------------------------------- /yosys/abc/src/bool/deco/module.make: -------------------------------------------------------------------------------- 1 | SRC += 2 | -------------------------------------------------------------------------------- /yosys/abc/src/bool/kit/cloud.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/kit/cloud.c -------------------------------------------------------------------------------- /yosys/abc/src/bool/kit/cloud.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/kit/cloud.h -------------------------------------------------------------------------------- /yosys/abc/src/bool/kit/kit.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/kit/kit.h -------------------------------------------------------------------------------- /yosys/abc/src/bool/kit/kit_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/kit/kit_.c -------------------------------------------------------------------------------- /yosys/abc/src/bool/rpo/rpo.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/rpo/rpo.c -------------------------------------------------------------------------------- /yosys/abc/src/bool/rpo/rpo.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/rpo/rpo.h -------------------------------------------------------------------------------- /yosys/abc/src/bool/rsb/rsb.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/bool/rsb/rsb.h -------------------------------------------------------------------------------- /yosys/abc/src/demo.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/demo.c -------------------------------------------------------------------------------- /yosys/abc/src/generic.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/generic.c -------------------------------------------------------------------------------- /yosys/abc/src/generic.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/generic.h -------------------------------------------------------------------------------- /yosys/abc/src/map/amap/amap.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/amap/amap.h -------------------------------------------------------------------------------- /yosys/abc/src/map/cov/cov.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/cov/cov.h -------------------------------------------------------------------------------- /yosys/abc/src/map/cov/covInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/cov/covInt.h -------------------------------------------------------------------------------- /yosys/abc/src/map/cov/covMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/cov/covMan.c -------------------------------------------------------------------------------- /yosys/abc/src/map/fpga/fpga.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/fpga/fpga.c -------------------------------------------------------------------------------- /yosys/abc/src/map/fpga/fpga.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/fpga/fpga.h -------------------------------------------------------------------------------- /yosys/abc/src/map/if/if.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/if.h -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifCache.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifCache.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifCheck.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifCheck.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifCom.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifCom.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifCore.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifCore.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifCount.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifCount.h -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifCut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifCut.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifData2.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifData2.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifDec07.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifDec07.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifDec08.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifDec08.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifDec10.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifDec10.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifDec16.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifDec16.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifDec75.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifDec75.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifDelay.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifDelay.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifDsd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifDsd.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifMan.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifMap.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifMap.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifSat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifSat.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifSeq.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifSeq.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifTest.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifTest.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifTime.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifTime.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifTruth.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifTruth.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifTune.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifTune.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/ifUtil.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/ifUtil.c -------------------------------------------------------------------------------- /yosys/abc/src/map/if/if_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/if/if_.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mio/exp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mio/exp.h -------------------------------------------------------------------------------- /yosys/abc/src/map/mio/mio.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mio/mio.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mio/mio.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mio/mio.h -------------------------------------------------------------------------------- /yosys/abc/src/map/mio/mioApi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mio/mioApi.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mio/mioInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mio/mioInt.h -------------------------------------------------------------------------------- /yosys/abc/src/map/mio/mioSop.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mio/mioSop.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpm.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpm.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpm.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpm.h -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpmAbc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpmAbc.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpmDsd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpmDsd.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpmInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpmInt.h -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpmLib.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpmLib.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpmMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpmMan.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpmMap.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpmMap.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpmMig.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpmMig.c -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpmMig.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpmMig.h -------------------------------------------------------------------------------- /yosys/abc/src/map/mpm/mpmPre.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/mpm/mpmPre.c -------------------------------------------------------------------------------- /yosys/abc/src/map/scl/scl.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/scl/scl.c -------------------------------------------------------------------------------- /yosys/abc/src/map/scl/scl.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/scl/scl.h -------------------------------------------------------------------------------- /yosys/abc/src/map/scl/sclCon.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/scl/sclCon.h -------------------------------------------------------------------------------- /yosys/abc/src/map/scl/sclLib.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/map/scl/sclLib.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/avl/avl.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/avl/avl.c -------------------------------------------------------------------------------- /yosys/abc/src/misc/avl/avl.doc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/avl/avl.doc -------------------------------------------------------------------------------- /yosys/abc/src/misc/avl/avl.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/avl/avl.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/bar/bar.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/bar/bar.c -------------------------------------------------------------------------------- /yosys/abc/src/misc/bar/bar.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/bar/bar.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/bbl/bblif.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/bbl/bblif.c -------------------------------------------------------------------------------- /yosys/abc/src/misc/bbl/bblif.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/bbl/bblif.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/hash/hash.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/hash/hash.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/hash/module.make: -------------------------------------------------------------------------------- 1 | SRC += 2 | -------------------------------------------------------------------------------- /yosys/abc/src/misc/mem/mem.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/mem/mem.c -------------------------------------------------------------------------------- /yosys/abc/src/misc/mem/mem.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/mem/mem.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/mem/mem2.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/mem/mem2.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/mvc/mvc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/mvc/mvc.c -------------------------------------------------------------------------------- /yosys/abc/src/misc/mvc/mvc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/mvc/mvc.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/nm/nm.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/nm/nm.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/nm/nmApi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/nm/nmApi.c -------------------------------------------------------------------------------- /yosys/abc/src/misc/nm/nmInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/nm/nmInt.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/st/st.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/st/st.c -------------------------------------------------------------------------------- /yosys/abc/src/misc/st/st.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/st/st.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/st/stmm.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/st/stmm.c -------------------------------------------------------------------------------- /yosys/abc/src/misc/st/stmm.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/st/stmm.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/tim/tim.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/tim/tim.c -------------------------------------------------------------------------------- /yosys/abc/src/misc/tim/tim.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/tim/tim.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/vec/module.make: -------------------------------------------------------------------------------- 1 | SRC += 2 | -------------------------------------------------------------------------------- /yosys/abc/src/misc/vec/vec.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/vec/vec.h -------------------------------------------------------------------------------- /yosys/abc/src/misc/zlib/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/zlib/README -------------------------------------------------------------------------------- /yosys/abc/src/misc/zlib/link.txt: -------------------------------------------------------------------------------- 1 | http://www.zlib.net/ 2 | -------------------------------------------------------------------------------- /yosys/abc/src/misc/zlib/zlib.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/misc/zlib/zlib.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/cgt/cgt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cgt/cgt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/cgt/cgtAig.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cgt/cgtAig.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/cgt/cgtInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cgt/cgtInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/cgt/cgtMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cgt/cgtMan.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/cgt/cgtSat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cgt/cgtSat.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/csw/csw.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/csw/csw.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/csw/cswCut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/csw/cswCut.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/csw/cswInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/csw/cswInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/csw/cswMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/csw/cswMan.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/csw/csw_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/csw/csw_.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/cut/abcCut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cut/abcCut.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/cut/cut.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cut/cut.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/cut/cutApi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cut/cutApi.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/cut/cutCut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cut/cutCut.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/cut/cutInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cut/cutInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/cut/cutMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cut/cutMan.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/cut/cutSeq.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/cut/cutSeq.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/dar/dar.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dar/dar.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/dar/darCut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dar/darCut.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/dar/darInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dar/darInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/dar/darLib.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dar/darLib.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/dar/darMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dar/darMan.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/dar/dar_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dar/dar_.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/dau/dau.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dau/dau.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/dau/dau.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dau/dau.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/dau/dauDsd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dau/dauDsd.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/dau/dauGia.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dau/dauGia.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/dau/dauInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dau/dauInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/dsc/dsc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dsc/dsc.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/dsc/dsc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/dsc/dsc.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/fsim/fsim.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/fsim/fsim.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/fxch/Fxch.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/fxch/Fxch.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/fxch/Fxch.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/fxch/Fxch.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/fxu/fxu.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/fxu/fxu.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/fxu/fxu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/fxu/fxu.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/fxu/fxuInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/fxu/fxuInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/lpk/lpk.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/lpk/lpk.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/lpk/lpkCut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/lpk/lpkCut.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/lpk/lpkInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/lpk/lpkInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/lpk/lpkMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/lpk/lpkMan.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/lpk/lpkMap.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/lpk/lpkMap.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/lpk/lpkMux.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/lpk/lpkMux.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/lpk/lpk_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/lpk/lpk_.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/mfs/mfs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/mfs/mfs.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/mfs/mfsDiv.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/mfs/mfsDiv.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/mfs/mfsGia.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/mfs/mfsGia.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/mfs/mfsInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/mfs/mfsInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/mfs/mfsMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/mfs/mfsMan.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/mfs/mfsSat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/mfs/mfsSat.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/mfs/mfsWin.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/mfs/mfsWin.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/mfs/mfs_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/mfs/mfs_.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/nwk/ntlnwk.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/nwk/ntlnwk.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/nwk/nwk.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/nwk/nwk.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/nwk/nwkAig.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/nwk/nwkAig.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/nwk/nwkDfs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/nwk/nwkDfs.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/nwk/nwkMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/nwk/nwkMan.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/nwk/nwkMap.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/nwk/nwkMap.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/nwk/nwkObj.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/nwk/nwkObj.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/nwk/nwk_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/nwk/nwk_.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/res/res.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/res/res.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/res/resInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/res/resInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/res/resSat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/res/resSat.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/res/resSim.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/res/resSim.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/res/resWin.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/res/resWin.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/res/res_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/res/res_.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/ret/retInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/ret/retInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/ret/ret_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/ret/ret_.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/rwr/rwr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/rwr/rwr.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/rwr/rwrDec.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/rwr/rwrDec.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/rwr/rwrEva.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/rwr/rwrEva.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/rwr/rwrExp.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/rwr/rwrExp.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/rwr/rwrLib.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/rwr/rwrLib.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/rwr/rwrMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/rwr/rwrMan.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/rwt/rwt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/rwt/rwt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/rwt/rwtDec.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/rwt/rwtDec.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/rwt/rwtMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/rwt/rwtMan.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sbd/sbd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sbd/sbd.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sbd/sbd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sbd/sbd.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/sbd/sbdCnf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sbd/sbdCnf.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sbd/sbdCut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sbd/sbdCut.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sbd/sbdInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sbd/sbdInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/sbd/sbdLut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sbd/sbdLut.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sbd/sbdSat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sbd/sbdSat.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sbd/sbdSim.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sbd/sbdSim.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sbd/sbdWin.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sbd/sbdWin.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sfm/sfm.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sfm/sfm.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/sfm/sfmCnf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sfm/sfmCnf.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sfm/sfmDec.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sfm/sfmDec.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sfm/sfmInt.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sfm/sfmInt.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/sfm/sfmLib.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sfm/sfmLib.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sfm/sfmMit.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sfm/sfmMit.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sfm/sfmNtk.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sfm/sfmNtk.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sfm/sfmSat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sfm/sfmSat.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sfm/sfmTim.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sfm/sfmTim.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sfm/sfmWin.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sfm/sfmWin.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sim/sim.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sim/sim.h -------------------------------------------------------------------------------- /yosys/abc/src/opt/sim/simMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sim/simMan.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sim/simSat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sim/simSat.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sim/simSeq.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sim/simSeq.c -------------------------------------------------------------------------------- /yosys/abc/src/opt/sim/simSym.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/opt/sim/simSym.c -------------------------------------------------------------------------------- /yosys/abc/src/phys/place/hpwl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/phys/place/hpwl -------------------------------------------------------------------------------- /yosys/abc/src/proof/abs/abs.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/abs/abs.c -------------------------------------------------------------------------------- /yosys/abc/src/proof/abs/abs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/abs/abs.h -------------------------------------------------------------------------------- /yosys/abc/src/proof/cec/cec.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/cec/cec.c -------------------------------------------------------------------------------- /yosys/abc/src/proof/cec/cec.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/cec/cec.h -------------------------------------------------------------------------------- /yosys/abc/src/proof/dch/dch.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/dch/dch.h -------------------------------------------------------------------------------- /yosys/abc/src/proof/fra/fra.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/fra/fra.h -------------------------------------------------------------------------------- /yosys/abc/src/proof/fra/fra_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/fra/fra_.c -------------------------------------------------------------------------------- /yosys/abc/src/proof/int/int.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/int/int.h -------------------------------------------------------------------------------- /yosys/abc/src/proof/pdr/pdr.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/pdr/pdr.c -------------------------------------------------------------------------------- /yosys/abc/src/proof/pdr/pdr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/pdr/pdr.h -------------------------------------------------------------------------------- /yosys/abc/src/proof/ssc/ssc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/ssc/ssc.h -------------------------------------------------------------------------------- /yosys/abc/src/proof/ssw/ssw.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/proof/ssw/ssw.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/bmc/bmc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bmc/bmc.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/bmc/bmc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bmc/bmc.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/bmc/bmcBmc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bmc/bmcBmc.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/bmc/bmcClp.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bmc/bmcClp.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/bmc/bmcEco.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bmc/bmcEco.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/bmc/bmcFx.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bmc/bmcFx.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/bmc/bmcGen.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bmc/bmcGen.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/bsat/license: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bsat/license -------------------------------------------------------------------------------- /yosys/abc/src/sat/bsat2/Alg.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bsat2/Alg.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/bsat2/Heap.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bsat2/Heap.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/bsat2/Map.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bsat2/Map.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/bsat2/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bsat2/README -------------------------------------------------------------------------------- /yosys/abc/src/sat/bsat2/Sort.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bsat2/Sort.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/bsat2/Vec.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/bsat2/Vec.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/cnf/cnf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/cnf/cnf.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/cnf/cnfCut.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/cnf/cnfCut.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/cnf/cnfMan.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/cnf/cnfMan.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/cnf/cnfMap.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/cnf/cnfMap.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/cnf/cnf_.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/cnf/cnf_.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/msat/msat.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/msat/msat.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/proof/pr.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/proof/pr.c -------------------------------------------------------------------------------- /yosys/abc/src/sat/proof/pr.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/proof/pr.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/psat/m114p.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/psat/m114p.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/psat/module.make: -------------------------------------------------------------------------------- 1 | SRC += 2 | -------------------------------------------------------------------------------- /yosys/abc/src/sat/satoko/cdb.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/satoko/cdb.h -------------------------------------------------------------------------------- /yosys/abc/src/sat/xsat/license: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/xsat/license -------------------------------------------------------------------------------- /yosys/abc/src/sat/xsat/xsat.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/sat/xsat/xsat.h -------------------------------------------------------------------------------- /yosys/abc/src/starter.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/starter.c -------------------------------------------------------------------------------- /yosys/abc/src/template.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/abc/src/template.c -------------------------------------------------------------------------------- /yosys/backends/aiger/aiger.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/aiger/aiger.cc -------------------------------------------------------------------------------- /yosys/backends/blif/blif.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/blif/blif.cc -------------------------------------------------------------------------------- /yosys/backends/btor/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/btor/README -------------------------------------------------------------------------------- /yosys/backends/btor/btor.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/btor/btor.cc -------------------------------------------------------------------------------- /yosys/backends/edif/edif.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/edif/edif.cc -------------------------------------------------------------------------------- /yosys/backends/firrtl/.gitignore: -------------------------------------------------------------------------------- 1 | test.fir 2 | test_out.v 3 | -------------------------------------------------------------------------------- /yosys/backends/firrtl/test.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/firrtl/test.sh -------------------------------------------------------------------------------- /yosys/backends/firrtl/test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/firrtl/test.v -------------------------------------------------------------------------------- /yosys/backends/json/json.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/json/json.cc -------------------------------------------------------------------------------- /yosys/backends/smt2/.gitignore: -------------------------------------------------------------------------------- 1 | test_cells 2 | -------------------------------------------------------------------------------- /yosys/backends/smt2/example.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/smt2/example.v -------------------------------------------------------------------------------- /yosys/backends/smt2/example.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/smt2/example.ys -------------------------------------------------------------------------------- /yosys/backends/smt2/smt2.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/smt2/smt2.cc -------------------------------------------------------------------------------- /yosys/backends/smt2/smtbmc.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/smt2/smtbmc.py -------------------------------------------------------------------------------- /yosys/backends/smt2/smtio.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/smt2/smtio.py -------------------------------------------------------------------------------- /yosys/backends/smv/smv.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/smv/smv.cc -------------------------------------------------------------------------------- /yosys/backends/spice/spice.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/backends/spice/spice.cc -------------------------------------------------------------------------------- /yosys/examples/aiger/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/aiger/README -------------------------------------------------------------------------------- /yosys/examples/aiger/demo.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/aiger/demo.sh -------------------------------------------------------------------------------- /yosys/examples/aiger/demo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/aiger/demo.v -------------------------------------------------------------------------------- /yosys/examples/basys3/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/basys3/README -------------------------------------------------------------------------------- /yosys/examples/basys3/run.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/basys3/run.sh -------------------------------------------------------------------------------- /yosys/examples/cmos/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/cmos/.gitignore -------------------------------------------------------------------------------- /yosys/examples/cmos/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/cmos/README -------------------------------------------------------------------------------- /yosys/examples/cmos/counter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/cmos/counter.v -------------------------------------------------------------------------------- /yosys/examples/cmos/counter.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/cmos/counter.ys -------------------------------------------------------------------------------- /yosys/examples/gowin/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/gowin/README -------------------------------------------------------------------------------- /yosys/examples/gowin/demo.cst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/gowin/demo.cst -------------------------------------------------------------------------------- /yosys/examples/gowin/demo.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/gowin/demo.sdc -------------------------------------------------------------------------------- /yosys/examples/gowin/demo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/gowin/demo.v -------------------------------------------------------------------------------- /yosys/examples/gowin/run.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/gowin/run.sh -------------------------------------------------------------------------------- /yosys/examples/smtbmc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/smtbmc/Makefile -------------------------------------------------------------------------------- /yosys/examples/smtbmc/demo1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/smtbmc/demo1.v -------------------------------------------------------------------------------- /yosys/examples/smtbmc/demo2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/smtbmc/demo2.v -------------------------------------------------------------------------------- /yosys/examples/smtbmc/demo3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/smtbmc/demo3.v -------------------------------------------------------------------------------- /yosys/examples/smtbmc/demo4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/smtbmc/demo4.v -------------------------------------------------------------------------------- /yosys/examples/smtbmc/demo5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/smtbmc/demo5.v -------------------------------------------------------------------------------- /yosys/examples/smtbmc/demo6.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/smtbmc/demo6.v -------------------------------------------------------------------------------- /yosys/examples/smtbmc/demo7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/examples/smtbmc/demo7.v -------------------------------------------------------------------------------- /yosys/frontends/ast/ast.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/frontends/ast/ast.cc -------------------------------------------------------------------------------- /yosys/frontends/ast/ast.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/frontends/ast/ast.h -------------------------------------------------------------------------------- /yosys/frontends/ast/dpicall.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/frontends/ast/dpicall.cc -------------------------------------------------------------------------------- /yosys/kernel/bitpattern.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/bitpattern.h -------------------------------------------------------------------------------- /yosys/kernel/calc.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/calc.cc -------------------------------------------------------------------------------- /yosys/kernel/cellaigs.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/cellaigs.cc -------------------------------------------------------------------------------- /yosys/kernel/cellaigs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/cellaigs.h -------------------------------------------------------------------------------- /yosys/kernel/celledges.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/celledges.cc -------------------------------------------------------------------------------- /yosys/kernel/celledges.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/celledges.h -------------------------------------------------------------------------------- /yosys/kernel/celltypes.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/celltypes.h -------------------------------------------------------------------------------- /yosys/kernel/consteval.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/consteval.h -------------------------------------------------------------------------------- /yosys/kernel/cost.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/cost.h -------------------------------------------------------------------------------- /yosys/kernel/driver.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/driver.cc -------------------------------------------------------------------------------- /yosys/kernel/hashlib.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/hashlib.h -------------------------------------------------------------------------------- /yosys/kernel/log.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/log.cc -------------------------------------------------------------------------------- /yosys/kernel/log.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/log.h -------------------------------------------------------------------------------- /yosys/kernel/macc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/macc.h -------------------------------------------------------------------------------- /yosys/kernel/modtools.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/modtools.h -------------------------------------------------------------------------------- /yosys/kernel/register.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/register.cc -------------------------------------------------------------------------------- /yosys/kernel/register.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/register.h -------------------------------------------------------------------------------- /yosys/kernel/rtlil.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/rtlil.cc -------------------------------------------------------------------------------- /yosys/kernel/rtlil.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/rtlil.h -------------------------------------------------------------------------------- /yosys/kernel/satgen.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/satgen.h -------------------------------------------------------------------------------- /yosys/kernel/sigtools.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/sigtools.h -------------------------------------------------------------------------------- /yosys/kernel/utils.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/utils.h -------------------------------------------------------------------------------- /yosys/kernel/yosys.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/yosys.cc -------------------------------------------------------------------------------- /yosys/kernel/yosys.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/kernel/yosys.h -------------------------------------------------------------------------------- /yosys/libs/bigint/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/bigint/.gitignore -------------------------------------------------------------------------------- /yosys/libs/bigint/ChangeLog: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/bigint/ChangeLog -------------------------------------------------------------------------------- /yosys/libs/bigint/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/bigint/Makefile -------------------------------------------------------------------------------- /yosys/libs/bigint/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/bigint/README -------------------------------------------------------------------------------- /yosys/libs/bigint/sample.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/bigint/sample.cc -------------------------------------------------------------------------------- /yosys/libs/bigint/testsuite.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/bigint/testsuite.cc -------------------------------------------------------------------------------- /yosys/libs/ezsat/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/.gitignore -------------------------------------------------------------------------------- /yosys/libs/ezsat/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/Makefile -------------------------------------------------------------------------------- /yosys/libs/ezsat/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/README -------------------------------------------------------------------------------- /yosys/libs/ezsat/demo_bit.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/demo_bit.cc -------------------------------------------------------------------------------- /yosys/libs/ezsat/demo_cmp.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/demo_cmp.cc -------------------------------------------------------------------------------- /yosys/libs/ezsat/demo_vec.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/demo_vec.cc -------------------------------------------------------------------------------- /yosys/libs/ezsat/ezminisat.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/ezminisat.cc -------------------------------------------------------------------------------- /yosys/libs/ezsat/ezminisat.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/ezminisat.h -------------------------------------------------------------------------------- /yosys/libs/ezsat/ezsat.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/ezsat.cc -------------------------------------------------------------------------------- /yosys/libs/ezsat/ezsat.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/ezsat.h -------------------------------------------------------------------------------- /yosys/libs/ezsat/puzzle3d.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/puzzle3d.cc -------------------------------------------------------------------------------- /yosys/libs/ezsat/puzzle3d.scad: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/puzzle3d.scad -------------------------------------------------------------------------------- /yosys/libs/ezsat/testbench.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/ezsat/testbench.cc -------------------------------------------------------------------------------- /yosys/libs/minisat/Alg.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Alg.h -------------------------------------------------------------------------------- /yosys/libs/minisat/Alloc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Alloc.h -------------------------------------------------------------------------------- /yosys/libs/minisat/Dimacs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Dimacs.h -------------------------------------------------------------------------------- /yosys/libs/minisat/Heap.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Heap.h -------------------------------------------------------------------------------- /yosys/libs/minisat/IntMap.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/IntMap.h -------------------------------------------------------------------------------- /yosys/libs/minisat/IntTypes.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/IntTypes.h -------------------------------------------------------------------------------- /yosys/libs/minisat/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/LICENSE -------------------------------------------------------------------------------- /yosys/libs/minisat/Map.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Map.h -------------------------------------------------------------------------------- /yosys/libs/minisat/Options.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Options.cc -------------------------------------------------------------------------------- /yosys/libs/minisat/Options.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Options.h -------------------------------------------------------------------------------- /yosys/libs/minisat/Queue.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Queue.h -------------------------------------------------------------------------------- /yosys/libs/minisat/Rnd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Rnd.h -------------------------------------------------------------------------------- /yosys/libs/minisat/Solver.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Solver.cc -------------------------------------------------------------------------------- /yosys/libs/minisat/Solver.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Solver.h -------------------------------------------------------------------------------- /yosys/libs/minisat/Sort.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Sort.h -------------------------------------------------------------------------------- /yosys/libs/minisat/System.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/System.cc -------------------------------------------------------------------------------- /yosys/libs/minisat/System.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/System.h -------------------------------------------------------------------------------- /yosys/libs/minisat/Vec.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/Vec.h -------------------------------------------------------------------------------- /yosys/libs/minisat/XAlloc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/minisat/XAlloc.h -------------------------------------------------------------------------------- /yosys/libs/sha1/sha1.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/sha1/sha1.cpp -------------------------------------------------------------------------------- /yosys/libs/sha1/sha1.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/sha1/sha1.h -------------------------------------------------------------------------------- /yosys/libs/subcircuit/.gitignore: -------------------------------------------------------------------------------- 1 | demo 2 | scshell 3 | -------------------------------------------------------------------------------- /yosys/libs/subcircuit/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/subcircuit/Makefile -------------------------------------------------------------------------------- /yosys/libs/subcircuit/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/subcircuit/README -------------------------------------------------------------------------------- /yosys/libs/subcircuit/demo.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/libs/subcircuit/demo.cc -------------------------------------------------------------------------------- /yosys/manual/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/.gitignore -------------------------------------------------------------------------------- /yosys/manual/CHAPTER_Eval.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/CHAPTER_Eval.tex -------------------------------------------------------------------------------- /yosys/manual/CHAPTER_Eval/openmsp430_ys.prj: -------------------------------------------------------------------------------- 1 | verilog work "openmsp430_ys.v" 2 | -------------------------------------------------------------------------------- /yosys/manual/CHAPTER_Eval/or1200_ys.prj: -------------------------------------------------------------------------------- 1 | verilog work "or1200_ys.v" 2 | -------------------------------------------------------------------------------- /yosys/manual/CHAPTER_Intro.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/CHAPTER_Intro.tex -------------------------------------------------------------------------------- /yosys/manual/CHAPTER_Prog.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/CHAPTER_Prog.tex -------------------------------------------------------------------------------- /yosys/manual/PRESENTATION_ExAdv/.gitignore: -------------------------------------------------------------------------------- 1 | *.dot 2 | -------------------------------------------------------------------------------- /yosys/manual/PRESENTATION_ExOth/.gitignore: -------------------------------------------------------------------------------- 1 | *.dot 2 | -------------------------------------------------------------------------------- /yosys/manual/PRESENTATION_ExSyn/.gitignore: -------------------------------------------------------------------------------- 1 | *.dot 2 | -------------------------------------------------------------------------------- /yosys/manual/PRESENTATION_ExSyn/opt_01.ys: -------------------------------------------------------------------------------- 1 | read_verilog opt_01.v 2 | hierarchy -check -top test 3 | opt 4 | -------------------------------------------------------------------------------- /yosys/manual/PRESENTATION_ExSyn/opt_02.ys: -------------------------------------------------------------------------------- 1 | read_verilog opt_02.v 2 | hierarchy -check -top test 3 | opt 4 | -------------------------------------------------------------------------------- /yosys/manual/PRESENTATION_ExSyn/opt_03.ys: -------------------------------------------------------------------------------- 1 | read_verilog opt_03.v 2 | hierarchy -check -top test 3 | opt 4 | -------------------------------------------------------------------------------- /yosys/manual/PRESENTATION_ExSyn/opt_04.ys: -------------------------------------------------------------------------------- 1 | read_verilog opt_04.v 2 | hierarchy -check -top test 3 | proc; opt 4 | -------------------------------------------------------------------------------- /yosys/manual/PRESENTATION_ExSyn/proc_01.ys: -------------------------------------------------------------------------------- 1 | read_verilog proc_01.v 2 | hierarchy -check -top test 3 | proc;; 4 | -------------------------------------------------------------------------------- /yosys/manual/PRESENTATION_ExSyn/proc_02.ys: -------------------------------------------------------------------------------- 1 | read_verilog proc_02.v 2 | hierarchy -check -top test 3 | proc;; 4 | -------------------------------------------------------------------------------- /yosys/manual/PRESENTATION_ExSyn/proc_03.ys: -------------------------------------------------------------------------------- 1 | read_verilog proc_03.v 2 | hierarchy -check -top test 3 | proc;; 4 | -------------------------------------------------------------------------------- /yosys/manual/appnotes.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/appnotes.sh -------------------------------------------------------------------------------- /yosys/manual/clean.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/clean.sh -------------------------------------------------------------------------------- /yosys/manual/literature.bib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/literature.bib -------------------------------------------------------------------------------- /yosys/manual/manual.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/manual.sh -------------------------------------------------------------------------------- /yosys/manual/manual.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/manual.tex -------------------------------------------------------------------------------- /yosys/manual/presentation.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/presentation.sh -------------------------------------------------------------------------------- /yosys/manual/presentation.tex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/presentation.tex -------------------------------------------------------------------------------- /yosys/manual/weblinks.bib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/manual/weblinks.bib -------------------------------------------------------------------------------- /yosys/misc/create_vcxsrc.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/misc/create_vcxsrc.sh -------------------------------------------------------------------------------- /yosys/misc/yosys-config.in: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/misc/yosys-config.in -------------------------------------------------------------------------------- /yosys/misc/yosysjs/demo01.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/misc/yosysjs/demo01.html -------------------------------------------------------------------------------- /yosys/misc/yosysjs/demo02.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/misc/yosysjs/demo02.html -------------------------------------------------------------------------------- /yosys/misc/yosysjs/demo03.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/misc/yosysjs/demo03.html -------------------------------------------------------------------------------- /yosys/misc/yosysjs/yosysjs.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/misc/yosysjs/yosysjs.js -------------------------------------------------------------------------------- /yosys/misc/yosysjs/yosyswrk.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/misc/yosysjs/yosyswrk.js -------------------------------------------------------------------------------- /yosys/passes/cmds/Makefile.inc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/Makefile.inc -------------------------------------------------------------------------------- /yosys/passes/cmds/add.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/add.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/check.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/chformal.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/chformal.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/connect.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/connect.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/copy.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/copy.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/cover.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/cover.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/delete.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/delete.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/design.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/design.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/edgetypes.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/edgetypes.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/logcmd.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/logcmd.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/plugin.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/plugin.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/qwp.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/qwp.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/rename.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/rename.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/scatter.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/scatter.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/scc.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/scc.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/select.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/select.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/setattr.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/setattr.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/setundef.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/setundef.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/show.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/show.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/splice.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/splice.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/splitnets.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/splitnets.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/stat.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/stat.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/tee.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/tee.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/torder.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/torder.cc -------------------------------------------------------------------------------- /yosys/passes/cmds/trace.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/cmds/trace.cc -------------------------------------------------------------------------------- /yosys/passes/fsm/Makefile.inc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/fsm/Makefile.inc -------------------------------------------------------------------------------- /yosys/passes/fsm/fsm.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/fsm/fsm.cc -------------------------------------------------------------------------------- /yosys/passes/fsm/fsm_detect.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/fsm/fsm_detect.cc -------------------------------------------------------------------------------- /yosys/passes/fsm/fsm_expand.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/fsm/fsm_expand.cc -------------------------------------------------------------------------------- /yosys/passes/fsm/fsm_export.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/fsm/fsm_export.cc -------------------------------------------------------------------------------- /yosys/passes/fsm/fsm_info.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/fsm/fsm_info.cc -------------------------------------------------------------------------------- /yosys/passes/fsm/fsm_map.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/fsm/fsm_map.cc -------------------------------------------------------------------------------- /yosys/passes/fsm/fsm_opt.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/fsm/fsm_opt.cc -------------------------------------------------------------------------------- /yosys/passes/fsm/fsm_recode.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/fsm/fsm_recode.cc -------------------------------------------------------------------------------- /yosys/passes/fsm/fsmdata.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/fsm/fsmdata.h -------------------------------------------------------------------------------- /yosys/passes/memory/memory.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/memory/memory.cc -------------------------------------------------------------------------------- /yosys/passes/opt/Makefile.inc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/opt/Makefile.inc -------------------------------------------------------------------------------- /yosys/passes/opt/opt.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/opt/opt.cc -------------------------------------------------------------------------------- /yosys/passes/opt/opt_clean.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/opt/opt_clean.cc -------------------------------------------------------------------------------- /yosys/passes/opt/opt_expr.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/opt/opt_expr.cc -------------------------------------------------------------------------------- /yosys/passes/opt/opt_merge.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/opt/opt_merge.cc -------------------------------------------------------------------------------- /yosys/passes/opt/opt_reduce.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/opt/opt_reduce.cc -------------------------------------------------------------------------------- /yosys/passes/opt/opt_rmdff.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/opt/opt_rmdff.cc -------------------------------------------------------------------------------- /yosys/passes/opt/share.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/opt/share.cc -------------------------------------------------------------------------------- /yosys/passes/opt/wreduce.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/opt/wreduce.cc -------------------------------------------------------------------------------- /yosys/passes/proc/Makefile.inc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/proc/Makefile.inc -------------------------------------------------------------------------------- /yosys/passes/proc/proc.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/proc/proc.cc -------------------------------------------------------------------------------- /yosys/passes/proc/proc_arst.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/proc/proc_arst.cc -------------------------------------------------------------------------------- /yosys/passes/proc/proc_dff.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/proc/proc_dff.cc -------------------------------------------------------------------------------- /yosys/passes/proc/proc_init.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/proc/proc_init.cc -------------------------------------------------------------------------------- /yosys/passes/proc/proc_mux.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/proc/proc_mux.cc -------------------------------------------------------------------------------- /yosys/passes/sat/Makefile.inc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/sat/Makefile.inc -------------------------------------------------------------------------------- /yosys/passes/sat/assertpmux.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/sat/assertpmux.cc -------------------------------------------------------------------------------- /yosys/passes/sat/eval.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/sat/eval.cc -------------------------------------------------------------------------------- /yosys/passes/sat/example.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/sat/example.v -------------------------------------------------------------------------------- /yosys/passes/sat/example.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/sat/example.ys -------------------------------------------------------------------------------- /yosys/passes/sat/expose.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/sat/expose.cc -------------------------------------------------------------------------------- /yosys/passes/sat/freduce.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/sat/freduce.cc -------------------------------------------------------------------------------- /yosys/passes/sat/miter.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/sat/miter.cc -------------------------------------------------------------------------------- /yosys/passes/sat/sat.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/sat/sat.cc -------------------------------------------------------------------------------- /yosys/passes/techmap/.gitignore: -------------------------------------------------------------------------------- 1 | techmap.inc 2 | -------------------------------------------------------------------------------- /yosys/passes/techmap/abc.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/techmap/abc.cc -------------------------------------------------------------------------------- /yosys/passes/techmap/aigmap.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/techmap/aigmap.cc -------------------------------------------------------------------------------- /yosys/passes/techmap/filterlib.cc: -------------------------------------------------------------------------------- 1 | 2 | #define FILTERLIB 3 | #include "libparse.cc" 4 | 5 | -------------------------------------------------------------------------------- /yosys/passes/techmap/insbuf.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/techmap/insbuf.cc -------------------------------------------------------------------------------- /yosys/passes/techmap/tribuf.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/techmap/tribuf.cc -------------------------------------------------------------------------------- /yosys/passes/techmap/zinit.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/passes/techmap/zinit.cc -------------------------------------------------------------------------------- /yosys/techlibs/.gitignore: -------------------------------------------------------------------------------- 1 | blackbox.v 2 | -------------------------------------------------------------------------------- /yosys/techlibs/common/prep.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/techlibs/common/prep.cc -------------------------------------------------------------------------------- /yosys/techlibs/common/simlib.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/techlibs/common/simlib.v -------------------------------------------------------------------------------- /yosys/techlibs/common/synth.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/techlibs/common/synth.cc -------------------------------------------------------------------------------- /yosys/techlibs/ice40/brams.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/techlibs/ice40/brams.txt -------------------------------------------------------------------------------- /yosys/tests/asicworld/.gitignore: -------------------------------------------------------------------------------- 1 | *.log 2 | *.out 3 | -------------------------------------------------------------------------------- /yosys/tests/asicworld/README: -------------------------------------------------------------------------------- 1 | Borrowed Verilog examples from http://www.asic-world.com/. 2 | -------------------------------------------------------------------------------- /yosys/tests/bram/.gitignore: -------------------------------------------------------------------------------- 1 | temp 2 | -------------------------------------------------------------------------------- /yosys/tests/bram/generate.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/bram/generate.py -------------------------------------------------------------------------------- /yosys/tests/bram/run-single.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/bram/run-single.sh -------------------------------------------------------------------------------- /yosys/tests/bram/run-test.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/bram/run-test.sh -------------------------------------------------------------------------------- /yosys/tests/fsm/.gitignore: -------------------------------------------------------------------------------- 1 | temp 2 | -------------------------------------------------------------------------------- /yosys/tests/fsm/generate.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/fsm/generate.py -------------------------------------------------------------------------------- /yosys/tests/fsm/run-test.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/fsm/run-test.sh -------------------------------------------------------------------------------- /yosys/tests/hana/.gitignore: -------------------------------------------------------------------------------- 1 | *.log 2 | *.out 3 | -------------------------------------------------------------------------------- /yosys/tests/hana/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/hana/README -------------------------------------------------------------------------------- /yosys/tests/hana/hana_vlib.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/hana/hana_vlib.v -------------------------------------------------------------------------------- /yosys/tests/hana/run-test.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/hana/run-test.sh -------------------------------------------------------------------------------- /yosys/tests/hana/test_parser.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/hana/test_parser.v -------------------------------------------------------------------------------- /yosys/tests/memories/.gitignore: -------------------------------------------------------------------------------- 1 | *.log 2 | *.out 3 | *.dmp 4 | -------------------------------------------------------------------------------- /yosys/tests/realmath/.gitignore: -------------------------------------------------------------------------------- 1 | temp 2 | -------------------------------------------------------------------------------- /yosys/tests/sat/.gitignore: -------------------------------------------------------------------------------- 1 | *.log 2 | -------------------------------------------------------------------------------- /yosys/tests/sat/asserts.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/asserts.v -------------------------------------------------------------------------------- /yosys/tests/sat/asserts.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/asserts.ys -------------------------------------------------------------------------------- /yosys/tests/sat/asserts_seq.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/asserts_seq.v -------------------------------------------------------------------------------- /yosys/tests/sat/asserts_seq.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/asserts_seq.ys -------------------------------------------------------------------------------- /yosys/tests/sat/counters.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/counters.v -------------------------------------------------------------------------------- /yosys/tests/sat/counters.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/counters.ys -------------------------------------------------------------------------------- /yosys/tests/sat/expose_dff.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/expose_dff.v -------------------------------------------------------------------------------- /yosys/tests/sat/expose_dff.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/expose_dff.ys -------------------------------------------------------------------------------- /yosys/tests/sat/initval.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/initval.v -------------------------------------------------------------------------------- /yosys/tests/sat/initval.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/initval.ys -------------------------------------------------------------------------------- /yosys/tests/sat/run-test.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/run-test.sh -------------------------------------------------------------------------------- /yosys/tests/sat/share.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/share.v -------------------------------------------------------------------------------- /yosys/tests/sat/share.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/share.ys -------------------------------------------------------------------------------- /yosys/tests/sat/splice.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/splice.v -------------------------------------------------------------------------------- /yosys/tests/sat/splice.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/sat/splice.ys -------------------------------------------------------------------------------- /yosys/tests/share/.gitignore: -------------------------------------------------------------------------------- 1 | temp 2 | -------------------------------------------------------------------------------- /yosys/tests/share/generate.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/share/generate.py -------------------------------------------------------------------------------- /yosys/tests/share/run-test.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/share/run-test.sh -------------------------------------------------------------------------------- /yosys/tests/simple/.gitignore: -------------------------------------------------------------------------------- 1 | *.log 2 | *.out 3 | -------------------------------------------------------------------------------- /yosys/tests/simple/always01.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/always01.v -------------------------------------------------------------------------------- /yosys/tests/simple/always02.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/always02.v -------------------------------------------------------------------------------- /yosys/tests/simple/always03.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/always03.v -------------------------------------------------------------------------------- /yosys/tests/simple/arrays01.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/arrays01.v -------------------------------------------------------------------------------- /yosys/tests/simple/carryadd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/carryadd.v -------------------------------------------------------------------------------- /yosys/tests/simple/forgen01.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/forgen01.v -------------------------------------------------------------------------------- /yosys/tests/simple/forgen02.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/forgen02.v -------------------------------------------------------------------------------- /yosys/tests/simple/fsm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/fsm.v -------------------------------------------------------------------------------- /yosys/tests/simple/generate.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/generate.v -------------------------------------------------------------------------------- /yosys/tests/simple/graphtest.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/graphtest.v -------------------------------------------------------------------------------- /yosys/tests/simple/hierarchy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/hierarchy.v -------------------------------------------------------------------------------- /yosys/tests/simple/loops.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/loops.v -------------------------------------------------------------------------------- /yosys/tests/simple/macros.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/macros.v -------------------------------------------------------------------------------- /yosys/tests/simple/mem2reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/mem2reg.v -------------------------------------------------------------------------------- /yosys/tests/simple/mem_arst.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/mem_arst.v -------------------------------------------------------------------------------- /yosys/tests/simple/memory.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/memory.v -------------------------------------------------------------------------------- /yosys/tests/simple/muxtree.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/muxtree.v -------------------------------------------------------------------------------- /yosys/tests/simple/operators.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/operators.v -------------------------------------------------------------------------------- /yosys/tests/simple/paramods.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/paramods.v -------------------------------------------------------------------------------- /yosys/tests/simple/partsel.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/partsel.v -------------------------------------------------------------------------------- /yosys/tests/simple/process.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/process.v -------------------------------------------------------------------------------- /yosys/tests/simple/realexpr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/realexpr.v -------------------------------------------------------------------------------- /yosys/tests/simple/repwhile.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/repwhile.v -------------------------------------------------------------------------------- /yosys/tests/simple/rotate.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/rotate.v -------------------------------------------------------------------------------- /yosys/tests/simple/run-test.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/run-test.sh -------------------------------------------------------------------------------- /yosys/tests/simple/scopes.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/scopes.v -------------------------------------------------------------------------------- /yosys/tests/simple/sincos.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/sincos.v -------------------------------------------------------------------------------- /yosys/tests/simple/subbytes.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/subbytes.v -------------------------------------------------------------------------------- /yosys/tests/simple/task_func.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/task_func.v -------------------------------------------------------------------------------- /yosys/tests/simple/values.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/values.v -------------------------------------------------------------------------------- /yosys/tests/simple/wreduce.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/simple/wreduce.v -------------------------------------------------------------------------------- /yosys/tests/smv/.gitignore: -------------------------------------------------------------------------------- 1 | temp 2 | -------------------------------------------------------------------------------- /yosys/tests/smv/run-single.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/smv/run-single.sh -------------------------------------------------------------------------------- /yosys/tests/smv/run-test.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/smv/run-test.sh -------------------------------------------------------------------------------- /yosys/tests/techmap/.gitignore: -------------------------------------------------------------------------------- 1 | *.log 2 | -------------------------------------------------------------------------------- /yosys/tests/tools/.gitignore: -------------------------------------------------------------------------------- 1 | cmp_tbdata 2 | -------------------------------------------------------------------------------- /yosys/tests/tools/autotest.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/tools/autotest.mk -------------------------------------------------------------------------------- /yosys/tests/tools/autotest.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/tools/autotest.sh -------------------------------------------------------------------------------- /yosys/tests/tools/cmp_tbdata.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/tools/cmp_tbdata.c -------------------------------------------------------------------------------- /yosys/tests/tools/profiler.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/tools/profiler.pl -------------------------------------------------------------------------------- /yosys/tests/tools/vcd2txt.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/tools/vcd2txt.pl -------------------------------------------------------------------------------- /yosys/tests/tools/vcdcd.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/tools/vcdcd.pl -------------------------------------------------------------------------------- /yosys/tests/unit/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/unit/Makefile -------------------------------------------------------------------------------- /yosys/tests/various/.gitignore: -------------------------------------------------------------------------------- 1 | *.log 2 | -------------------------------------------------------------------------------- /yosys/tests/vloghtb/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/vloghtb/.gitignore -------------------------------------------------------------------------------- /yosys/tests/vloghtb/common.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/masc-ucsc/anubis/HEAD/yosys/tests/vloghtb/common.sh --------------------------------------------------------------------------------