├── README.md ├── convolution_data ├── conv_activation_fixed ├── conv_weight ├── image_84_84_x10 └── max_pooling_activation_fixed ├── design_1.v ├── design_1_wrapper.v ├── diagram.png ├── main.c ├── result.png ├── start_end.v ├── test_bench └── simulation.v ├── top.xdc ├── top └── design_1_wrapper.v └── vector_add.v /README.md: -------------------------------------------------------------------------------- 1 | # CNN_Accelerator(FPGA Design) 2 | 3 |  The goals of the project are to design CNN(Convolution Neural Network) accelerator using a Xilinx FPGA board and to compare performance with CPU.

4 | 5 | # Experimental Env. 6 | * ```Zynq-7000 SoC```
7 | * ```Xilinx Vivado 2018.2```

8 | 9 | # Design diagram 10 |

11 | 12 | # File hierarchy 13 | 14 | 1. Design Source 15 | * top(design_1_warpper.v) 16 | * p_1: vector_add(vector_add.v) 17 | * sf_1: start_end(start_end.v) 18 | * design_1_i : design_1(design_1.bd) 19 | 20 | 2. Constraints 21 | * constrs_1 22 | * top.xdc 23 | 24 | 3. Simulation Sources 25 | * sim_1 26 | * test_bench(design_1_wrapper.v) 27 | * top

28 | 29 | # Run 30 | 31 |  By following the design diagram and file hierarchy, you can simulate test_bench module. Execute and compare the result to typical C code(go to "Lanch SDK" menu). Then, Copy and paste the "main.c" in the C language editor window. You can see the execution time for the CNN in both FPGA and CPU.

32 | 33 | # Result 34 | 35 | CPU Elapsed time: 11ms
36 | FPGA Elapsed time: 0.847ms
37 | 38 |
39 | -------------------------------------------------------------------------------- /convolution_data/conv_activation_fixed: -------------------------------------------------------------------------------- 1 | 0x00, 2 | 0x00, 3 | 0x00, 4 | 0x00, 5 | 0x00, 6 | 0x00, 7 | 0x00, 8 | 0x00, 9 | 0x00, 10 | 0x00, 11 | 0x00, 12 | 0x00, 13 | 0x00, 14 | 0x00, 15 | 0x00, 16 | 0x00, 17 | 0x00, 18 | 0x00, 19 | 0x00, 20 | 0x00, 21 | 0x00, 22 | 0x00, 23 | 0x00, 24 | 0x00, 25 | 0x00, 26 | 0x00, 27 | 0x00, 28 | 0x00, 29 | 0x00, 30 | 0x00, 31 | 0x00, 32 | 0x00, 33 | 0x00, 34 | 0x00, 35 | 0x00, 36 | 0x00, 37 | 0x00, 38 | 0x00, 39 | 0x00, 40 | 0x00, 41 | 0x00, 42 | 0x00, 43 | 0x00, 44 | 0x00, 45 | 0x00, 46 | 0x00, 47 | 0x00, 48 | 0x00, 49 | 0x00, 50 | 0x00, 51 | 0x00, 52 | 0x00, 53 | 0x00, 54 | 0x00, 55 | 0x00, 56 | 0x00, 57 | 0x00, 58 | 0x00, 59 | 0x00, 60 | 0x00, 61 | 0x00, 62 | 0x00, 63 | 0x00, 64 | 0x00, 65 | 0x00, 66 | 0x00, 67 | 0x00, 68 | 0x00, 69 | 0x00, 70 | 0x00, 71 | 0x00, 72 | 0x00, 73 | 0x00, 74 | 0x00, 75 | 0x00, 76 | 0x00, 77 | 0x00, 78 | 0x00, 79 | 0x00, 80 | 0x00, 81 | 0x00, 82 | 0x00, 83 | 0x00, 84 | 0x00, 85 | 0x00, 86 | 0x00, 87 | 0x00, 88 | 0x00, 89 | 0x00, 90 | 0x00, 91 | 0x00, 92 | 0x00, 93 | 0x00, 94 | 0x00, 95 | 0x00, 96 | 0x00, 97 | 0x00, 98 | 0x00, 99 | 0x00, 100 | 0x00, 101 | 0x00, 102 | 0x00, 103 | 0x00, 104 | 0x00, 105 | 0x00, 106 | 0x00, 107 | 0x00, 108 | 0x00, 109 | 0x00, 110 | 0x00, 111 | 0x00, 112 | 0x00, 113 | 0x00, 114 | 0x00, 115 | 0x00, 116 | 0x00, 117 | 0x00, 118 | 0x00, 119 | 0x00, 120 | 0x00, 121 | 0x00, 122 | 0x00, 123 | 0x00, 124 | 0x00, 125 | 0x00, 126 | 0x00, 127 | 0x00, 128 | 0x00, 129 | 0x00, 130 | 0x00, 131 | 0x00, 132 | 0x00, 133 | 0x00, 134 | 0x00, 135 | 0x00, 136 | 0x00, 137 | 0x00, 138 | 0x00, 139 | 0x00, 140 | 0x00, 141 | 0x00, 142 | 0x00, 143 | 0x00, 144 | 0x00, 145 | 0x00, 146 | 0x00, 147 | 0x00, 148 | 0x00, 149 | 0x00, 150 | 0x00, 151 | 0x00, 152 | 0x00, 153 | 0x00, 154 | 0x00, 155 | 0x00, 156 | 0x00, 157 | 0x00, 158 | 0x00, 159 | 0x00, 160 | 0x00, 161 | 0x00, 162 | 0x00, 163 | 0x00, 164 | 0x00, 165 | 0x00, 166 | 0x00, 167 | 0x00, 168 | 0x00, 169 | 0x00, 170 | 0x00, 171 | 0x00, 172 | 0x00, 173 | 0x00, 174 | 0x00, 175 | 0x00, 176 | 0x00, 177 | 0x00, 178 | 0x00, 179 | 0x00, 180 | 0x00, 181 | 0x00, 182 | 0x00, 183 | 0x00, 184 | 0x00, 185 | 0x00, 186 | 0x00, 187 | 0x00, 188 | 0x00, 189 | 0x00, 190 | 0x00, 191 | 0x00, 192 | 0x00, 193 | 0x00, 194 | 0x00, 195 | 0x00, 196 | 0x00, 197 | 0x00, 198 | 0x00, 199 | 0x00, 200 | 0x00, 201 | 0x00, 202 | 0x00, 203 | 0x00, 204 | 0x00, 205 | 0x00, 206 | 0x00, 207 | 0x00, 208 | 0x00, 209 | 0x00, 210 | 0x00, 211 | 0x00, 212 | 0x00, 213 | 0x00, 214 | 0x00, 215 | 0x00, 216 | 0x00, 217 | 0x00, 218 | 0x00, 219 | 0x00, 220 | 0x00, 221 | 0x00, 222 | 0x00, 223 | 0x00, 224 | 0x00, 225 | 0x00, 226 | 0x00, 227 | 0x00, 228 | 0x00, 229 | 0x00, 230 | 0x00, 231 | 0x00, 232 | 0x00, 233 | 0x00, 234 | 0x00, 235 | 0x00, 236 | 0x00, 237 | 0x00, 238 | 0x00, 239 | 0x00, 240 | 0x00, 241 | 0x00, 242 | 0x00, 243 | 0x00, 244 | 0x00, 245 | 0x00, 246 | 0x00, 247 | 0x00, 248 | 0x00, 249 | 0x00, 250 | 0x00, 251 | 0x00, 252 | 0x00, 253 | 0x00, 254 | 0x00, 255 | 0x00, 256 | 0x00, 257 | 0x00, 258 | 0x00, 259 | 0x00, 260 | 0x00, 261 | 0x00, 262 | 0x00, 263 | 0x00, 264 | 0x00, 265 | 0x00, 266 | 0x00, 267 | 0x00, 268 | 0x00, 269 | 0x00, 270 | 0x00, 271 | 0x00, 272 | 0x00, 273 | 0x00, 274 | 0x00, 275 | 0x00, 276 | 0x00, 277 | 0x00, 278 | 0x00, 279 | 0x00, 280 | 0x00, 281 | 0x00, 282 | 0x00, 283 | 0x00, 284 | 0x00, 285 | 0x00, 286 | 0x00, 287 | 0x00, 288 | 0x00, 289 | 0x00, 290 | 0x00, 291 | 0x00, 292 | 0x00, 293 | 0x00, 294 | 0x00, 295 | 0x00, 296 | 0x00, 297 | 0x00, 298 | 0x00, 299 | 0x00, 300 | 0x00, 301 | 0x00, 302 | 0x00, 303 | 0x00, 304 | 0x00, 305 | 0x00, 306 | 0x00, 307 | 0x00, 308 | 0x00, 309 | 0x00, 310 | 0x00, 311 | 0x00, 312 | 0x00, 313 | 0x00, 314 | 0x00, 315 | 0x00, 316 | 0x00, 317 | 0x00, 318 | 0x00, 319 | 0x00, 320 | 0x00, 321 | 0x00, 322 | 0x00, 323 | 0x00, 324 | 0x00, 325 | 0x00, 326 | 0x00, 327 | 0x00, 328 | 0x00, 329 | 0x00, 330 | 0x00, 331 | 0x00, 332 | 0x00, 333 | 0x00, 334 | 0x00, 335 | 0x00, 336 | 0x00, 337 | 0x00, 338 | 0x00, 339 | 0x00, 340 | 0x00, 341 | 0x00, 342 | 0x00, 343 | 0x00, 344 | 0x00, 345 | 0x00, 346 | 0x00, 347 | 0x00, 348 | 0x00, 349 | 0x00, 350 | 0x00, 351 | 0x00, 352 | 0x00, 353 | 0x00, 354 | 0x00, 355 | 0x00, 356 | 0x00, 357 | 0x00, 358 | 0x00, 359 | 0x00, 360 | 0x00, 361 | 0x00, 362 | 0x00, 363 | 0x00, 364 | 0x00, 365 | 0x00, 366 | 0x00, 367 | 0x00, 368 | 0x00, 369 | 0x00, 370 | 0x00, 371 | 0x00, 372 | 0x00, 373 | 0x00, 374 | 0x00, 375 | 0x00, 376 | 0x00, 377 | 0x00, 378 | 0x00, 379 | 0x00, 380 | 0x00, 381 | 0x00, 382 | 0x00, 383 | 0x00, 384 | 0x00, 385 | 0x00, 386 | 0x00, 387 | 0x00, 388 | 0x00, 389 | 0x00, 390 | 0x00, 391 | 0x00, 392 | 0x00, 393 | 0x00, 394 | 0x00, 395 | 0x00, 396 | 0x00, 397 | 0x00, 398 | 0x00, 399 | 0x00, 400 | 0x00, 401 | 0x00, 402 | 0x00, 403 | 0x00, 404 | 0x00, 405 | 0x00, 406 | 0x00, 407 | 0x00, 408 | 0x00, 409 | 0x00, 410 | 0x00, 411 | 0x00, 412 | 0x00, 413 | 0x00, 414 | 0x00, 415 | 0x00, 416 | 0x00, 417 | 0x00, 418 | 0x00, 419 | 0x00, 420 | 0x00, 421 | 0x00, 422 | 0x00, 423 | 0x00, 424 | 0x00, 425 | 0x00, 426 | 0x00, 427 | 0x00, 428 | 0x00, 429 | 0x00, 430 | 0x00, 431 | 0x00, 432 | 0x00, 433 | 0x00, 434 | 0x00, 435 | 0x00, 436 | 0x00, 437 | 0x00, 438 | 0x00, 439 | 0x00, 440 | 0x00, 441 | 0x00, 442 | 0x00, 443 | 0x00, 444 | 0x00, 445 | 0x00, 446 | 0x00, 447 | 0x00, 448 | 0x00, 449 | 0x00, 450 | 0x00, 451 | 0x00, 452 | 0x00, 453 | 0x00, 454 | 0x00, 455 | 0x00, 456 | 0x00, 457 | 0x00, 458 | 0x00, 459 | 0x00, 460 | 0x00, 461 | 0x00, 462 | 0x00, 463 | 0x00, 464 | 0x00, 465 | 0x00, 466 | 0x00, 467 | 0x00, 468 | 0x00, 469 | 0x00, 470 | 0x00, 471 | 0x00, 472 | 0x00, 473 | 0x00, 474 | 0x00, 475 | 0x00, 476 | 0x00, 477 | 0x00, 478 | 0x00, 479 | 0x00, 480 | 0x00, 481 | 0x00, 482 | 0x00, 483 | 0x00, 484 | 0x00, 485 | 0x00, 486 | 0x00, 487 | 0x00, 488 | 0x00, 489 | 0x00, 490 | 0x00, 491 | 0x00, 492 | 0x00, 493 | 0x00, 494 | 0x00, 495 | 0x00, 496 | 0x00, 497 | 0x00, 498 | 0x00, 499 | 0x00, 500 | 0x00, 501 | 0x00, 502 | 0x00, 503 | 0x00, 504 | 0x00, 505 | 0x00, 506 | 0x00, 507 | 0x00, 508 | 0x00, 509 | 0x00, 510 | 0x00, 511 | 0x00, 512 | 0x00, 513 | 0x00, 514 | 0x00, 515 | 0x00, 516 | 0x00, 517 | 0x00, 518 | 0x00, 519 | 0x00, 520 | 0x00, 521 | 0x00, 522 | 0x00, 523 | 0x00, 524 | 0x00, 525 | 0x00, 526 | 0x00, 527 | 0x00, 528 | 0x00, 529 | 0x00, 530 | 0x00, 531 | 0x00, 532 | 0x00, 533 | 0x00, 534 | 0x00, 535 | 0x00, 536 | 0x00, 537 | 0x00, 538 | 0x00, 539 | 0x00, 540 | 0x00, 541 | 0x00, 542 | 0x00, 543 | 0x00, 544 | 0x00, 545 | 0x00, 546 | 0x00, 547 | 0x00, 548 | 0x00, 549 | 0x00, 550 | 0x00, 551 | 0x00, 552 | 0x00, 553 | 0x00, 554 | 0x00, 555 | 0x00, 556 | 0x00, 557 | 0x00, 558 | 0x00, 559 | 0x00, 560 | 0x00, 561 | 0x00, 562 | 0x00, 563 | 0x00, 564 | 0x00, 565 | 0x00, 566 | 0x00, 567 | 0x00, 568 | 0x00, 569 | 0x00, 570 | 0x00, 571 | 0x00, 572 | 0x00, 573 | 0x00, 574 | 0x00, 575 | 0x00, 576 | 0x00, 577 | 0x00, 578 | 0x00, 579 | 0x00, 580 | 0x00, 581 | 0x00, 582 | 0x00, 583 | 0x00, 584 | 0x00, 585 | 0x00, 586 | 0x00, 587 | 0x00, 588 | 0x00, 589 | 0x00, 590 | 0x00, 591 | 0x00, 592 | 0x00, 593 | 0x00, 594 | 0x00, 595 | 0x00, 596 | 0x00, 597 | 0x00, 598 | 0x00, 599 | 0x00, 600 | 0x00, 601 | 0x00, 602 | 0x00, 603 | 0x00, 604 | 0x00, 605 | 0x00, 606 | 0x00, 607 | 0x00, 608 | 0x00, 609 | 0x00, 610 | 0x00, 611 | 0x00, 612 | 0x00, 613 | 0x00, 614 | 0x00, 615 | 0x00, 616 | 0x00, 617 | 0x00, 618 | 0x00, 619 | 0x00, 620 | 0x00, 621 | 0x00, 622 | 0x00, 623 | 0x00, 624 | 0x00, 625 | 0x00, 626 | 0x00, 627 | 0x00, 628 | 0x00, 629 | 0x00, 630 | 0x00, 631 | 0x00, 632 | 0x00, 633 | 0x00, 634 | 0x00, 635 | 0x00, 636 | 0x00, 637 | 0x00, 638 | 0x00, 639 | 0x00, 640 | 0x00, 641 | 0x00, 642 | 0x00, 643 | 0x00, 644 | 0x00, 645 | 0x00, 646 | 0x00, 647 | 0x00, 648 | 0x00, 649 | 0x00, 650 | 0x00, 651 | 0x00, 652 | 0x00, 653 | 0x00, 654 | 0x00, 655 | 0x00, 656 | 0x00, 657 | 0x00, 658 | 0x00, 659 | 0x00, 660 | 0x00, 661 | 0x00, 662 | 0x00, 663 | 0x00, 664 | 0x00, 665 | 0x00, 666 | 0x00, 667 | 0x00, 668 | 0x00, 669 | 0x00, 670 | 0x00, 671 | 0x00, 672 | 0x00, 673 | 0x00, 674 | 0x00, 675 | 0x00, 676 | 0x00, 677 | 0x00, 678 | 0x00, 679 | 0x00, 680 | 0x00, 681 | 0x00, 682 | 0x00, 683 | 0x00, 684 | 0x00, 685 | 0x00, 686 | 0x00, 687 | 0x00, 688 | 0x00, 689 | 0x00, 690 | 0x00, 691 | 0x00, 692 | 0x00, 693 | 0x00, 694 | 0x00, 695 | 0x00, 696 | 0x00, 697 | 0x00, 698 | 0x00, 699 | 0x00, 700 | 0x00, 701 | 0x00, 702 | 0x00, 703 | 0x00, 704 | 0x00, 705 | 0x00, 706 | 0x00, 707 | 0x00, 708 | 0x00, 709 | 0x00, 710 | 0x00, 711 | 0x00, 712 | 0x00, 713 | 0x00, 714 | 0x00, 715 | 0x00, 716 | 0x00, 717 | 0x00, 718 | 0x00, 719 | 0x00, 720 | 0x00, 721 | 0x00, 722 | 0x00, 723 | 0x00, 724 | 0x00, 725 | 0x00, 726 | 0x00, 727 | 0x00, 728 | 0x00, 729 | 0x00, 730 | 0x00, 731 | 0x00, 732 | 0x00, 733 | 0x00, 734 | 0x00, 735 | 0x00, 736 | 0x00, 737 | 0x00, 738 | 0x00, 739 | 0x00, 740 | 0x00, 741 | 0x00, 742 | 0x00, 743 | 0x00, 744 | 0x00, 745 | 0x00, 746 | 0x00, 747 | 0x00, 748 | 0x00, 749 | 0x00, 750 | 0x00, 751 | 0x00, 752 | 0x00, 753 | 0x00, 754 | 0x00, 755 | 0x00, 756 | 0x00, 757 | 0x00, 758 | 0x00, 759 | 0x00, 760 | 0x00, 761 | 0x00, 762 | 0x00, 763 | 0x00, 764 | 0x00, 765 | 0x00, 766 | 0x00, 767 | 0x00, 768 | 0x00, 769 | 0x00, 770 | 0x00, 771 | 0x00, 772 | 0x00, 773 | 0x00, 774 | 0x00, 775 | 0x00, 776 | 0x00, 777 | 0x00, 778 | 0x00, 779 | 0x00, 780 | 0x00, 781 | 0x00, 782 | 0x00, 783 | 0x00, 784 | 0x00, 785 | 0x00, 786 | 0x00, 787 | 0x00, 788 | 0x00, 789 | 0x00, 790 | 0x00, 791 | 0x00, 792 | 0x00, 793 | 0x00, 794 | 0x00, 795 | 0x00, 796 | 0x00, 797 | 0x00, 798 | 0x00, 799 | 0x00, 800 | 0x00, 801 | 0x00, 802 | 0x00, 803 | 0x00, 804 | 0x00, 805 | 0x00, 806 | 0x00, 807 | 0x00, 808 | 0x00, 809 | 0x00, 810 | 0x00, 811 | 0x00, 812 | 0x00, 813 | 0x00, 814 | 0x00, 815 | 0x00, 816 | 0x00, 817 | 0x00, 818 | 0x00, 819 | 0x00, 820 | 0x00, 821 | 0x00, 822 | 0x00, 823 | 0x00, 824 | 0x00, 825 | 0x00, 826 | 0x00, 827 | 0x00, 828 | 0x00, 829 | 0x00, 830 | 0x00, 831 | 0x00, 832 | 0x00, 833 | 0x00, 834 | 0x00, 835 | 0x00, 836 | 0x00, 837 | 0x00, 838 | 0x00, 839 | 0x00, 840 | 0x00, 841 | 0x00, 842 | 0x00, 843 | 0x00, 844 | 0x00, 845 | 0x00, 846 | 0x00, 847 | 0x00, 848 | 0x00, 849 | 0x00, 850 | 0x00, 851 | 0x00, 852 | 0x00, 853 | 0x00, 854 | 0x00, 855 | 0x00, 856 | 0x00, 857 | 0x00, 858 | 0x00, 859 | 0x00, 860 | 0x00, 861 | 0x00, 862 | 0x00, 863 | 0x00, 864 | 0x00, 865 | 0x00, 866 | 0x00, 867 | 0x00, 868 | 0x00, 869 | 0x00, 870 | 0x00, 871 | 0x00, 872 | 0x00, 873 | 0x00, 874 | 0x00, 875 | 0x00, 876 | 0x00, 877 | 0x00, 878 | 0x00, 879 | 0x00, 880 | 0x00, 881 | 0x00, 882 | 0x00, 883 | 0x00, 884 | 0x00, 885 | 0x00, 886 | 0x00, 887 | 0x00, 888 | 0x00, 889 | 0x00, 890 | 0x00, 891 | 0x00, 892 | 0x00, 893 | 0x00, 894 | 0x00, 895 | 0x00, 896 | 0x00, 897 | 0x00, 898 | 0x00, 899 | 0x00, 900 | 0x00, 901 | 0x00, 902 | 0x00, 903 | 0x00, 904 | 0x00, 905 | 0x00, 906 | 0x00, 907 | 0x00, 908 | 0x00, 909 | 0x00, 910 | 0x00, 911 | 0x00, 912 | 0x00, 913 | 0x00, 914 | 0x00, 915 | 0x00, 916 | 0x00, 917 | 0x00, 918 | 0x00, 919 | 0x00, 920 | 0x00, 921 | 0x00, 922 | 0x00, 923 | 0x00, 924 | 0x00, 925 | 0x00, 926 | 0x00, 927 | 0x00, 928 | 0x00, 929 | 0x00, 930 | 0x00, 931 | 0x00, 932 | 0x00, 933 | 0x00, 934 | 0x00, 935 | 0x00, 936 | 0x00, 937 | 0x00, 938 | 0x00, 939 | 0x00, 940 | 0x00, 941 | 0x00, 942 | 0x00, 943 | 0x00, 944 | 0x00, 945 | 0x00, 946 | 0x00, 947 | 0x00, 948 | 0x00, 949 | 0x00, 950 | 0x00, 951 | 0x00, 952 | 0x00, 953 | 0x00, 954 | 0x00, 955 | 0x00, 956 | 0x00, 957 | 0x00, 958 | 0x00, 959 | 0x00, 960 | 0x00, 961 | 0x00, 962 | 0x00, 963 | 0x00, 964 | 0x00, 965 | 0x00, 966 | 0x00, 967 | 0x00, 968 | 0x00, 969 | 0x00, 970 | 0x00, 971 | 0x00, 972 | 0x00, 973 | 0x00, 974 | 0x00, 975 | 0x00, 976 | 0x00, 977 | 0x00, 978 | 0x00, 979 | 0x00, 980 | 0x00, 981 | 0x00, 982 | 0x00, 983 | 0x00, 984 | 0x00, 985 | 0x00, 986 | 0x00, 987 | 0x00, 988 | 0x00, 989 | 0x00, 990 | 0x00, 991 | 0x00, 992 | 0x00, 993 | 0x00, 994 | 0x00, 995 | 0x00, 996 | 0x00, 997 | 0x00, 998 | 0x00, 999 | 0x00, 1000 | 0x00, 1001 | 0x00, 1002 | 0x00, 1003 | 0x00, 1004 | 0x00, 1005 | 0x00, 1006 | 0x00, 1007 | 0x00, 1008 | 0x00, 1009 | 0x00, 1010 | 0x00, 1011 | 0x00, 1012 | 0x00, 1013 | 0x00, 1014 | 0x00, 1015 | 0x00, 1016 | 0x00, 1017 | 0x00, 1018 | 0x00, 1019 | 0x00, 1020 | 0x00, 1021 | 0x00, 1022 | 0x00, 1023 | 0x00, 1024 | 0x00, 1025 | 0x00, 1026 | 0x00, 1027 | 0x00, 1028 | 0x00, 1029 | 0x00, 1030 | 0x00, 1031 | 0x00, 1032 | 0x00, 1033 | 0x00, 1034 | 0x00, 1035 | 0x00, 1036 | 0x00, 1037 | 0x00, 1038 | 0x00, 1039 | 0x00, 1040 | 0x00, 1041 | 0x00, 1042 | 0x00, 1043 | 0x00, 1044 | 0x00, 1045 | 0x00, 1046 | 0x00, 1047 | 0x00, 1048 | 0x00, 1049 | 0x00, 1050 | 0x00, 1051 | 0x00, 1052 | 0x00, 1053 | 0x00, 1054 | 0x00, 1055 | 0x00, 1056 | 0x00, 1057 | 0x00, 1058 | 0x00, 1059 | 0x00, 1060 | 0x00, 1061 | 0x00, 1062 | 0x00, 1063 | 0x00, 1064 | 0x00, 1065 | 0x00, 1066 | 0x00, 1067 | 0x00, 1068 | 0x00, 1069 | 0x00, 1070 | 0x00, 1071 | 0x00, 1072 | 0x00, 1073 | 0x00, 1074 | 0x00, 1075 | 0x00, 1076 | 0x00, 1077 | 0x00, 1078 | 0x00, 1079 | 0x00, 1080 | 0x00, 1081 | 0x00, 1082 | 0x00, 1083 | 0x00, 1084 | 0x00, 1085 | 0x00, 1086 | 0x00, 1087 | 0x00, 1088 | 0x00, 1089 | 0x00, 1090 | 0x00, 1091 | 0x00, 1092 | 0x00, 1093 | 0x00, 1094 | 0x00, 1095 | 0x00, 1096 | 0x00, 1097 | 0x00, 1098 | 0x00, 1099 | 0x00, 1100 | 0x00, 1101 | 0x00, 1102 | 0x00, 1103 | 0x00, 1104 | 0x00, 1105 | 0x00, 1106 | 0x00, 1107 | 0x00, 1108 | 0x00, 1109 | 0x00, 1110 | 0x00, 1111 | 0x00, 1112 | 0x00, 1113 | 0x00, 1114 | 0x00, 1115 | 0x00, 1116 | 0x05, 1117 | 0x03, 1118 | 0x01, 1119 | 0x01, 1120 | 0x01, 1121 | 0x01, 1122 | 0x03, 1123 | 0x02, 1124 | 0x01, 1125 | 0x00, 1126 | 0x00, 1127 | 0x00, 1128 | 0x06, 1129 | 0x04, 1130 | 0x01, 1131 | 0x05, 1132 | 0x04, 1133 | 0x02, 1134 | 0x02, 1135 | 0x02, 1136 | 0x02, 1137 | 0x00, 1138 | 0x00, 1139 | 0x01, 1140 | 0x00, 1141 | 0x00, 1142 | 0x00, 1143 | 0x00, 1144 | 0x00, 1145 | 0x00, 1146 | 0x00, 1147 | 0x00, 1148 | 0x00, 1149 | 0x00, 1150 | 0x00, 1151 | 0x00, 1152 | 0x00, 1153 | 0x00, 1154 | 0x00, 1155 | 0x00, 1156 | 0x00, 1157 | 0x00, 1158 | 0x00, 1159 | 0x00, 1160 | 0x00, 1161 | 0x00, 1162 | 0x00, 1163 | 0x00, 1164 | 0x00, 1165 | 0x00, 1166 | 0x00, 1167 | 0x00, 1168 | 0x00, 1169 | 0x00, 1170 | 0x00, 1171 | 0x00, 1172 | 0x00, 1173 | 0x00, 1174 | 0x00, 1175 | 0x00, 1176 | 0x00, 1177 | 0x00, 1178 | 0x00, 1179 | 0x00, 1180 | 0x00, 1181 | 0x00, 1182 | 0x00, 1183 | 0x00, 1184 | 0x00, 1185 | 0x00, 1186 | 0x00, 1187 | 0x00, 1188 | 0x00, 1189 | 0x00, 1190 | 0x00, 1191 | 0x00, 1192 | 0x00, 1193 | 0x00, 1194 | 0x00, 1195 | 0x00, 1196 | 0x00, 1197 | 0x00, 1198 | 0x01, 1199 | 0x04, 1200 | 0x00, 1201 | 0x00, 1202 | 0x00, 1203 | 0x00, 1204 | 0x00, 1205 | 0x00, 1206 | 0x00, 1207 | 0x00, 1208 | 0x00, 1209 | 0x00, 1210 | 0x01, 1211 | 0x05, 1212 | 0x00, 1213 | 0x00, 1214 | 0x00, 1215 | 0x00, 1216 | 0x00, 1217 | 0x00, 1218 | 0x00, 1219 | 0x00, 1220 | 0x00, 1221 | 0x00, 1222 | 0x00, 1223 | 0x00, 1224 | 0x00, 1225 | 0x00, 1226 | 0x00, 1227 | 0x00, 1228 | 0x00, 1229 | 0x00, 1230 | 0x00, 1231 | 0x00, 1232 | 0x00, 1233 | 0x00, 1234 | 0x00, 1235 | 0x00, 1236 | 0x00, 1237 | 0x00, 1238 | 0x00, 1239 | 0x00, 1240 | 0x00, 1241 | 0x00, 1242 | 0x00, 1243 | 0x00, 1244 | 0x00, 1245 | 0x00, 1246 | 0x00, 1247 | 0x00, 1248 | 0x00, 1249 | 0x00, 1250 | 0x00, 1251 | 0x00, 1252 | 0x00, 1253 | 0x00, 1254 | 0x00, 1255 | 0x00, 1256 | 0x00, 1257 | 0x00, 1258 | 0x00, 1259 | 0x00, 1260 | 0x00, 1261 | 0x00, 1262 | 0x00, 1263 | 0x00, 1264 | 0x00, 1265 | 0x00, 1266 | 0x00, 1267 | 0x00, 1268 | 0x00, 1269 | 0x00, 1270 | 0x00, 1271 | 0x01, 1272 | 0x01, 1273 | 0x00, 1274 | 0x00, 1275 | 0x00, 1276 | 0x00, 1277 | 0x00, 1278 | 0x00, 1279 | 0x00, 1280 | 0x09, 1281 | 0x09, 1282 | 0x07, 1283 | 0x08, 1284 | 0x08, 1285 | 0x07, 1286 | 0x0a, 1287 | 0x0a, 1288 | 0x0a, 1289 | 0x00, 1290 | 0x00, 1291 | 0x01, 1292 | 0x0c, 1293 | 0x0c, 1294 | 0x09, 1295 | 0x10, 1296 | 0x10, 1297 | 0x0e, 1298 | 0x0e, 1299 | 0x0e, 1300 | 0x0e, 1301 | 0x04, 1302 | 0x04, 1303 | 0x07, 1304 | 0x00, 1305 | 0x00, 1306 | 0x00, 1307 | 0x00, 1308 | 0x00, 1309 | 0x00, 1310 | 0x00, 1311 | 0x00, 1312 | 0x00, 1313 | 0x00, 1314 | 0x00, 1315 | 0x00, 1316 | 0x00, 1317 | 0x00, 1318 | 0x00, 1319 | 0x00, 1320 | 0x00, 1321 | 0x00, 1322 | 0x00, 1323 | 0x00, 1324 | 0x00, 1325 | 0x00, 1326 | 0x00, 1327 | 0x00, 1328 | 0x00, 1329 | 0x00, 1330 | 0x00, 1331 | 0x00, 1332 | 0x00, 1333 | 0x00, 1334 | 0x00, 1335 | 0x00, 1336 | 0x00, 1337 | 0x00, 1338 | 0x01, 1339 | 0x00, 1340 | 0x00, 1341 | 0x00, 1342 | 0x00, 1343 | 0x00, 1344 | 0x02, 1345 | 0x02, 1346 | 0x01, 1347 | 0x03, 1348 | 0x03, 1349 | 0x01, 1350 | 0x02, 1351 | 0x02, 1352 | 0x01, 1353 | 0x06, 1354 | 0x05, 1355 | 0x03, 1356 | 0x03, 1357 | 0x03, 1358 | 0x03, 1359 | 0x03, 1360 | 0x03, 1361 | 0x03, 1362 | 0x07, 1363 | 0x08, 1364 | 0x08, 1365 | 0x08, 1366 | 0x09, 1367 | 0x09, 1368 | 0x09, 1369 | 0x0a, 1370 | 0x0a, 1371 | 0x02, 1372 | 0x01, 1373 | 0x03, 1374 | 0x0b, 1375 | 0x0c, 1376 | 0x0a, 1377 | 0x0c, 1378 | 0x0e, 1379 | 0x0e, 1380 | 0x0c, 1381 | 0x0c, 1382 | 0x0d, 1383 | 0x03, 1384 | 0x03, 1385 | 0x06, 1386 | 0x00, 1387 | 0x00, 1388 | 0x00, 1389 | 0x00, 1390 | 0x00, 1391 | 0x00, 1392 | 0x00, 1393 | 0x00, 1394 | 0x00, 1395 | 0x00, 1396 | 0x00, 1397 | 0x00, 1398 | 0x00, 1399 | 0x00, 1400 | 0x00, 1401 | 0x00, 1402 | 0x00, 1403 | 0x00, 1404 | 0x00, 1405 | 0x00, 1406 | 0x00, 1407 | 0x00, 1408 | 0x00, 1409 | 0x00, 1410 | 0x00, 1411 | 0x00, 1412 | 0x00, 1413 | 0x00, 1414 | 0x00, 1415 | 0x00, 1416 | 0x00, 1417 | 0x00, 1418 | 0x00, 1419 | 0x00, 1420 | 0x00, 1421 | 0x01, 1422 | 0x00, 1423 | 0x00, 1424 | 0x00, 1425 | 0x00, 1426 | 0x00, 1427 | 0x01, 1428 | 0x00, 1429 | 0x00, 1430 | 0x00, 1431 | 0x00, 1432 | 0x00, 1433 | 0x00, 1434 | 0x00, 1435 | 0x00, 1436 | 0x00, 1437 | 0x00, 1438 | 0x00, 1439 | 0x00, 1440 | 0x00, 1441 | 0x00, 1442 | 0x00, 1443 | 0x00, 1444 | 0x00, 1445 | 0x00, 1446 | 0x02, 1447 | 0x03, 1448 | 0x03, 1449 | 0x03, 1450 | 0x05, 1451 | 0x04, 1452 | 0x08, 1453 | 0x00, 1454 | 0x00, 1455 | 0x00, 1456 | 0x06, 1457 | 0x05, 1458 | 0x06, 1459 | 0x0b, 1460 | 0x09, 1461 | 0x0f, 1462 | 0x0d, 1463 | 0x0c, 1464 | 0x10, 1465 | 0x06, 1466 | 0x05, 1467 | 0x09, 1468 | 0x00, 1469 | 0x01, 1470 | 0x00, 1471 | 0x00, 1472 | 0x00, 1473 | 0x00, 1474 | 0x00, 1475 | 0x00, 1476 | 0x00, 1477 | 0x00, 1478 | 0x00, 1479 | 0x00, 1480 | 0x00, 1481 | 0x00, 1482 | 0x00, 1483 | 0x00, 1484 | 0x00, 1485 | 0x00, 1486 | 0x00, 1487 | 0x00, 1488 | 0x00, 1489 | 0x00, 1490 | 0x00, 1491 | 0x00, 1492 | 0x00, 1493 | 0x00, 1494 | 0x00, 1495 | 0x00, 1496 | 0x00, 1497 | 0x00, 1498 | 0x00, 1499 | 0x00, 1500 | 0x00, 1501 | 0x00, 1502 | 0x02, 1503 | 0x02, 1504 | 0x01, 1505 | 0x02, 1506 | 0x02, 1507 | 0x01, 1508 | 0x06, 1509 | 0x06, 1510 | 0x05, 1511 | 0x0a, 1512 | 0x0a, 1513 | 0x09, 1514 | 0x0a, 1515 | 0x0a, 1516 | 0x09, 1517 | 0x10, 1518 | 0x10, 1519 | 0x0e, 1520 | 0x0e, 1521 | 0x0e, 1522 | 0x0e, 1523 | 0x0e, 1524 | 0x0e, 1525 | 0x0e, 1526 | 0x0e, 1527 | 0x0e, 1528 | 0x0e, 1529 | 0x0e, 1530 | 0x0e, 1531 | 0x0e, 1532 | 0x0c, 1533 | 0x0c, 1534 | 0x0d, 1535 | 0x08, 1536 | 0x08, 1537 | 0x09, 1538 | 0x10, 1539 | 0x10, 1540 | 0x0e, 1541 | 0x0d, 1542 | 0x0d, 1543 | 0x0d, 1544 | 0x0a, 1545 | 0x0a, 1546 | 0x0b, 1547 | 0x00, 1548 | 0x00, 1549 | 0x03, 1550 | 0x00, 1551 | 0x00, 1552 | 0x00, 1553 | 0x00, 1554 | 0x00, 1555 | 0x00, 1556 | 0x00, 1557 | 0x00, 1558 | 0x00, 1559 | 0x00, 1560 | 0x00, 1561 | 0x00, 1562 | 0x00, 1563 | 0x00, 1564 | 0x00, 1565 | 0x00, 1566 | 0x00, 1567 | 0x00, 1568 | 0x00, 1569 | 0x00, 1570 | 0x00, 1571 | 0x00, 1572 | 0x00, 1573 | 0x00, 1574 | 0x00, 1575 | 0x00, 1576 | 0x00, 1577 | 0x00, 1578 | 0x00, 1579 | 0x00, 1580 | 0x00, 1581 | 0x02, 1582 | 0x01, 1583 | 0x00, 1584 | 0x0a, 1585 | 0x07, 1586 | 0x03, 1587 | 0x04, 1588 | 0x04, 1589 | 0x04, 1590 | 0x06, 1591 | 0x07, 1592 | 0x07, 1593 | 0x09, 1594 | 0x0a, 1595 | 0x0a, 1596 | 0x0a, 1597 | 0x0a, 1598 | 0x0a, 1599 | 0x0d, 1600 | 0x0e, 1601 | 0x0e, 1602 | 0x0e, 1603 | 0x0e, 1604 | 0x0e, 1605 | 0x0e, 1606 | 0x0e, 1607 | 0x0e, 1608 | 0x0e, 1609 | 0x0e, 1610 | 0x0e, 1611 | 0x0e, 1612 | 0x0e, 1613 | 0x0e, 1614 | 0x06, 1615 | 0x08, 1616 | 0x0b, 1617 | 0x09, 1618 | 0x08, 1619 | 0x08, 1620 | 0x0b, 1621 | 0x0c, 1622 | 0x0c, 1623 | 0x0b, 1624 | 0x0b, 1625 | 0x0b, 1626 | 0x09, 1627 | 0x09, 1628 | 0x09, 1629 | 0x03, 1630 | 0x02, 1631 | 0x03, 1632 | 0x00, 1633 | 0x00, 1634 | 0x00, 1635 | 0x00, 1636 | 0x00, 1637 | 0x00, 1638 | 0x00, 1639 | 0x00, 1640 | 0x00, 1641 | 0x00, 1642 | 0x00, 1643 | 0x00, 1644 | 0x00, 1645 | 0x00, 1646 | 0x00, 1647 | 0x00, 1648 | 0x00, 1649 | 0x00, 1650 | 0x00, 1651 | 0x00, 1652 | 0x00, 1653 | 0x00, 1654 | 0x00, 1655 | 0x00, 1656 | 0x00, 1657 | 0x00, 1658 | 0x00, 1659 | 0x00, 1660 | 0x00, 1661 | 0x00, 1662 | 0x00, 1663 | 0x00, 1664 | 0x01, 1665 | 0x00, 1666 | 0x03, 1667 | 0x07, 1668 | 0x00, 1669 | 0x00, 1670 | 0x00, 1671 | 0x00, 1672 | 0x00, 1673 | 0x00, 1674 | 0x00, 1675 | 0x03, 1676 | 0x02, 1677 | 0x05, 1678 | 0x06, 1679 | 0x06, 1680 | 0x06, 1681 | 0x0c, 1682 | 0x09, 1683 | 0x0e, 1684 | 0x0e, 1685 | 0x0e, 1686 | 0x0e, 1687 | 0x0e, 1688 | 0x0e, 1689 | 0x0e, 1690 | 0x0e, 1691 | 0x0e, 1692 | 0x0e, 1693 | 0x0e, 1694 | 0x0e, 1695 | 0x0e, 1696 | 0x09, 1697 | 0x06, 1698 | 0x11, 1699 | 0x0d, 1700 | 0x0f, 1701 | 0x0c, 1702 | 0x11, 1703 | 0x0f, 1704 | 0x14, 1705 | 0x13, 1706 | 0x12, 1707 | 0x14, 1708 | 0x11, 1709 | 0x11, 1710 | 0x10, 1711 | 0x08, 1712 | 0x0a, 1713 | 0x05, 1714 | 0x02, 1715 | 0x03, 1716 | 0x00, 1717 | 0x00, 1718 | 0x00, 1719 | 0x00, 1720 | 0x00, 1721 | 0x00, 1722 | 0x00, 1723 | 0x00, 1724 | 0x00, 1725 | 0x00, 1726 | 0x00, 1727 | 0x00, 1728 | 0x00, 1729 | 0x00, 1730 | 0x00, 1731 | 0x00, 1732 | 0x00, 1733 | 0x00, 1734 | 0x00, 1735 | 0x00, 1736 | 0x00, 1737 | 0x00, 1738 | 0x00, 1739 | 0x00, 1740 | 0x00, 1741 | 0x00, 1742 | 0x00, 1743 | 0x00, 1744 | 0x00, 1745 | 0x03, 1746 | 0x03, 1747 | 0x02, 1748 | 0x12, 1749 | 0x12, 1750 | 0x0d, 1751 | 0x0e, 1752 | 0x0e, 1753 | 0x0e, 1754 | 0x0e, 1755 | 0x0e, 1756 | 0x0e, 1757 | 0x0e, 1758 | 0x0e, 1759 | 0x0e, 1760 | 0x0e, 1761 | 0x0e, 1762 | 0x0e, 1763 | 0x0e, 1764 | 0x0e, 1765 | 0x0e, 1766 | 0x0e, 1767 | 0x0e, 1768 | 0x0e, 1769 | 0x0e, 1770 | 0x0e, 1771 | 0x0e, 1772 | 0x0e, 1773 | 0x0e, 1774 | 0x0e, 1775 | 0x0e, 1776 | 0x0e, 1777 | 0x0e, 1778 | 0x01, 1779 | 0x01, 1780 | 0x05, 1781 | 0x04, 1782 | 0x04, 1783 | 0x04, 1784 | 0x04, 1785 | 0x04, 1786 | 0x04, 1787 | 0x02, 1788 | 0x02, 1789 | 0x03, 1790 | 0x01, 1791 | 0x01, 1792 | 0x01, 1793 | 0x00, 1794 | 0x00, 1795 | 0x00, 1796 | 0x00, 1797 | 0x00, 1798 | 0x00, 1799 | 0x00, 1800 | 0x00, 1801 | 0x00, 1802 | 0x00, 1803 | 0x00, 1804 | 0x00, 1805 | 0x00, 1806 | 0x00, 1807 | 0x00, 1808 | 0x00, 1809 | 0x00, 1810 | 0x00, 1811 | 0x00, 1812 | 0x00, 1813 | 0x00, 1814 | 0x00, 1815 | 0x00, 1816 | 0x00, 1817 | 0x00, 1818 | 0x00, 1819 | 0x00, 1820 | 0x00, 1821 | 0x00, 1822 | 0x00, 1823 | 0x00, 1824 | 0x00, 1825 | 0x00, 1826 | 0x00, 1827 | 0x02, 1828 | 0x02, 1829 | 0x02, 1830 | 0x12, 1831 | 0x12, 1832 | 0x0d, 1833 | 0x0f, 1834 | 0x0f, 1835 | 0x0e, 1836 | 0x0e, 1837 | 0x0e, 1838 | 0x0e, 1839 | 0x0e, 1840 | 0x0e, 1841 | 0x0e, 1842 | 0x0e, 1843 | 0x0e, 1844 | 0x0e, 1845 | 0x0e, 1846 | 0x0e, 1847 | 0x0e, 1848 | 0x0c, 1849 | 0x0c, 1850 | 0x0e, 1851 | 0x0d, 1852 | 0x0d, 1853 | 0x0d, 1854 | 0x10, 1855 | 0x0f, 1856 | 0x0e, 1857 | 0x0e, 1858 | 0x0e, 1859 | 0x0e, 1860 | 0x00, 1861 | 0x00, 1862 | 0x04, 1863 | 0x03, 1864 | 0x03, 1865 | 0x03, 1866 | 0x03, 1867 | 0x03, 1868 | 0x03, 1869 | 0x02, 1870 | 0x02, 1871 | 0x02, 1872 | 0x01, 1873 | 0x01, 1874 | 0x01, 1875 | 0x00, 1876 | 0x00, 1877 | 0x00, 1878 | 0x00, 1879 | 0x00, 1880 | 0x00, 1881 | 0x00, 1882 | 0x00, 1883 | 0x00, 1884 | 0x00, 1885 | 0x00, 1886 | 0x00, 1887 | 0x00, 1888 | 0x00, 1889 | 0x00, 1890 | 0x00, 1891 | 0x00, 1892 | 0x00, 1893 | 0x00, 1894 | 0x00, 1895 | 0x00, 1896 | 0x00, 1897 | 0x00, 1898 | 0x00, 1899 | 0x00, 1900 | 0x00, 1901 | 0x00, 1902 | 0x00, 1903 | 0x00, 1904 | 0x00, 1905 | 0x00, 1906 | 0x00, 1907 | 0x00, 1908 | 0x00, 1909 | 0x02, 1910 | 0x02, 1911 | 0x03, 1912 | 0x13, 1913 | 0x13, 1914 | 0x0e, 1915 | 0x0f, 1916 | 0x10, 1917 | 0x0e, 1918 | 0x0e, 1919 | 0x0e, 1920 | 0x0e, 1921 | 0x0e, 1922 | 0x0e, 1923 | 0x0e, 1924 | 0x0e, 1925 | 0x0e, 1926 | 0x0e, 1927 | 0x0e, 1928 | 0x0e, 1929 | 0x0e, 1930 | 0x0d, 1931 | 0x0c, 1932 | 0x10, 1933 | 0x10, 1934 | 0x10, 1935 | 0x11, 1936 | 0x12, 1937 | 0x13, 1938 | 0x0e, 1939 | 0x0e, 1940 | 0x0e, 1941 | 0x0f, 1942 | 0x00, 1943 | 0x00, 1944 | 0x08, 1945 | 0x07, 1946 | 0x07, 1947 | 0x07, 1948 | 0x07, 1949 | 0x07, 1950 | 0x07, 1951 | 0x05, 1952 | 0x06, 1953 | 0x04, 1954 | 0x03, 1955 | 0x04, 1956 | 0x02, 1957 | 0x01, 1958 | 0x01, 1959 | 0x00, 1960 | 0x00, 1961 | 0x00, 1962 | 0x00, 1963 | 0x00, 1964 | 0x00, 1965 | 0x00, 1966 | 0x00, 1967 | 0x00, 1968 | 0x00, 1969 | 0x00, 1970 | 0x00, 1971 | 0x00, 1972 | 0x00, 1973 | 0x00, 1974 | 0x00, 1975 | 0x00, 1976 | 0x00, 1977 | 0x00, 1978 | 0x00, 1979 | 0x00, 1980 | 0x00, 1981 | 0x00, 1982 | 0x00, 1983 | 0x00, 1984 | 0x00, 1985 | 0x00, 1986 | 0x00, 1987 | 0x00, 1988 | 0x00, 1989 | 0x00, 1990 | 0x00, 1991 | 0x01, 1992 | 0x01, 1993 | 0x00, 1994 | 0x11, 1995 | 0x11, 1996 | 0x0c, 1997 | 0x0f, 1998 | 0x0f, 1999 | 0x0e, 2000 | 0x0e, 2001 | 0x0e, 2002 | 0x0e, 2003 | 0x0e, 2004 | 0x0e, 2005 | 0x0e, 2006 | 0x0e, 2007 | 0x0e, 2008 | 0x0e, 2009 | 0x0e, 2010 | 0x0e, 2011 | 0x0e, 2012 | 0x0a, 2013 | 0x0a, 2014 | 0x0b, 2015 | 0x0a, 2016 | 0x0a, 2017 | 0x0a, 2018 | 0x0f, 2019 | 0x0f, 2020 | 0x0e, 2021 | 0x0d, 2022 | 0x0d, 2023 | 0x0d, 2024 | 0x00, 2025 | 0x00, 2026 | 0x00, 2027 | 0x00, 2028 | 0x00, 2029 | 0x00, 2030 | 0x00, 2031 | 0x00, 2032 | 0x00, 2033 | 0x00, 2034 | 0x00, 2035 | 0x00, 2036 | 0x00, 2037 | 0x00, 2038 | 0x00, 2039 | 0x00, 2040 | 0x00, 2041 | 0x00, 2042 | 0x00, 2043 | 0x00, 2044 | 0x00, 2045 | 0x00, 2046 | 0x00, 2047 | 0x00, 2048 | 0x00, 2049 | 0x00, 2050 | 0x00, 2051 | 0x00, 2052 | 0x00, 2053 | 0x00, 2054 | 0x00, 2055 | 0x00, 2056 | 0x00, 2057 | 0x00, 2058 | 0x00, 2059 | 0x00, 2060 | 0x00, 2061 | 0x00, 2062 | 0x00, 2063 | 0x00, 2064 | 0x00, 2065 | 0x00, 2066 | 0x00, 2067 | 0x00, 2068 | 0x00, 2069 | 0x00, 2070 | 0x00, 2071 | 0x00, 2072 | 0x00, 2073 | 0x00, 2074 | 0x00, 2075 | 0x00, 2076 | 0x0b, 2077 | 0x0d, 2078 | 0x0b, 2079 | 0x0f, 2080 | 0x0f, 2081 | 0x0d, 2082 | 0x0b, 2083 | 0x0b, 2084 | 0x0d, 2085 | 0x13, 2086 | 0x11, 2087 | 0x0e, 2088 | 0x0e, 2089 | 0x0e, 2090 | 0x0e, 2091 | 0x0c, 2092 | 0x0d, 2093 | 0x0e, 2094 | 0x03, 2095 | 0x05, 2096 | 0x09, 2097 | 0x08, 2098 | 0x08, 2099 | 0x08, 2100 | 0x0c, 2101 | 0x0c, 2102 | 0x0c, 2103 | 0x11, 2104 | 0x0f, 2105 | 0x0c, 2106 | 0x00, 2107 | 0x00, 2108 | 0x00, 2109 | 0x00, 2110 | 0x00, 2111 | 0x00, 2112 | 0x00, 2113 | 0x00, 2114 | 0x00, 2115 | 0x00, 2116 | 0x00, 2117 | 0x00, 2118 | 0x00, 2119 | 0x00, 2120 | 0x00, 2121 | 0x00, 2122 | 0x00, 2123 | 0x00, 2124 | 0x00, 2125 | 0x00, 2126 | 0x00, 2127 | 0x00, 2128 | 0x00, 2129 | 0x00, 2130 | 0x00, 2131 | 0x00, 2132 | 0x00, 2133 | 0x00, 2134 | 0x00, 2135 | 0x00, 2136 | 0x00, 2137 | 0x00, 2138 | 0x00, 2139 | 0x00, 2140 | 0x00, 2141 | 0x00, 2142 | 0x00, 2143 | 0x00, 2144 | 0x00, 2145 | 0x00, 2146 | 0x00, 2147 | 0x00, 2148 | 0x00, 2149 | 0x00, 2150 | 0x00, 2151 | 0x00, 2152 | 0x00, 2153 | 0x00, 2154 | 0x00, 2155 | 0x00, 2156 | 0x00, 2157 | 0x01, 2158 | 0x0f, 2159 | 0x0c, 2160 | 0x11, 2161 | 0x15, 2162 | 0x16, 2163 | 0x11, 2164 | 0x10, 2165 | 0x0f, 2166 | 0x13, 2167 | 0x16, 2168 | 0x1a, 2169 | 0x0e, 2170 | 0x0e, 2171 | 0x0e, 2172 | 0x0e, 2173 | 0x0d, 2174 | 0x0c, 2175 | 0x10, 2176 | 0x09, 2177 | 0x05, 2178 | 0x11, 2179 | 0x10, 2180 | 0x10, 2181 | 0x10, 2182 | 0x15, 2183 | 0x14, 2184 | 0x15, 2185 | 0x17, 2186 | 0x1a, 2187 | 0x10, 2188 | 0x00, 2189 | 0x01, 2190 | 0x00, 2191 | 0x00, 2192 | 0x00, 2193 | 0x00, 2194 | 0x00, 2195 | 0x00, 2196 | 0x00, 2197 | 0x00, 2198 | 0x00, 2199 | 0x00, 2200 | 0x00, 2201 | 0x00, 2202 | 0x00, 2203 | 0x00, 2204 | 0x00, 2205 | 0x00, 2206 | 0x00, 2207 | 0x00, 2208 | 0x00, 2209 | 0x00, 2210 | 0x00, 2211 | 0x00, 2212 | 0x00, 2213 | 0x00, 2214 | 0x00, 2215 | 0x00, 2216 | 0x00, 2217 | 0x00, 2218 | 0x00, 2219 | 0x00, 2220 | 0x00, 2221 | 0x00, 2222 | 0x00, 2223 | 0x00, 2224 | 0x00, 2225 | 0x00, 2226 | 0x00, 2227 | 0x00, 2228 | 0x00, 2229 | 0x00, 2230 | 0x00, 2231 | 0x00, 2232 | 0x00, 2233 | 0x00, 2234 | 0x00, 2235 | 0x00, 2236 | 0x00, 2237 | 0x00, 2238 | 0x00, 2239 | 0x00, 2240 | 0x06, 2241 | 0x06, 2242 | 0x04, 2243 | 0x0a, 2244 | 0x0a, 2245 | 0x09, 2246 | 0x04, 2247 | 0x04, 2248 | 0x06, 2249 | 0x11, 2250 | 0x11, 2251 | 0x0e, 2252 | 0x0e, 2253 | 0x0e, 2254 | 0x0e, 2255 | 0x0a, 2256 | 0x0a, 2257 | 0x0b, 2258 | 0x00, 2259 | 0x00, 2260 | 0x00, 2261 | 0x00, 2262 | 0x00, 2263 | 0x00, 2264 | 0x03, 2265 | 0x03, 2266 | 0x02, 2267 | 0x0b, 2268 | 0x0b, 2269 | 0x09, 2270 | 0x00, 2271 | 0x00, 2272 | 0x00, 2273 | 0x00, 2274 | 0x00, 2275 | 0x00, 2276 | 0x00, 2277 | 0x00, 2278 | 0x00, 2279 | 0x00, 2280 | 0x00, 2281 | 0x00, 2282 | 0x00, 2283 | 0x00, 2284 | 0x00, 2285 | 0x00, 2286 | 0x00, 2287 | 0x00, 2288 | 0x00, 2289 | 0x00, 2290 | 0x00, 2291 | 0x00, 2292 | 0x00, 2293 | 0x00, 2294 | 0x00, 2295 | 0x00, 2296 | 0x00, 2297 | 0x00, 2298 | 0x00, 2299 | 0x00, 2300 | 0x00, 2301 | 0x00, 2302 | 0x00, 2303 | 0x00, 2304 | 0x00, 2305 | 0x00, 2306 | 0x00, 2307 | 0x00, 2308 | 0x00, 2309 | 0x00, 2310 | 0x00, 2311 | 0x00, 2312 | 0x00, 2313 | 0x00, 2314 | 0x00, 2315 | 0x00, 2316 | 0x00, 2317 | 0x00, 2318 | 0x00, 2319 | 0x00, 2320 | 0x00, 2321 | 0x00, 2322 | 0x02, 2323 | 0x03, 2324 | 0x03, 2325 | 0x06, 2326 | 0x07, 2327 | 0x07, 2328 | 0x05, 2329 | 0x04, 2330 | 0x04, 2331 | 0x11, 2332 | 0x10, 2333 | 0x0d, 2334 | 0x11, 2335 | 0x10, 2336 | 0x0e, 2337 | 0x05, 2338 | 0x07, 2339 | 0x0a, 2340 | 0x00, 2341 | 0x00, 2342 | 0x00, 2343 | 0x00, 2344 | 0x00, 2345 | 0x00, 2346 | 0x01, 2347 | 0x01, 2348 | 0x01, 2349 | 0x05, 2350 | 0x07, 2351 | 0x07, 2352 | 0x01, 2353 | 0x00, 2354 | 0x00, 2355 | 0x00, 2356 | 0x00, 2357 | 0x00, 2358 | 0x00, 2359 | 0x00, 2360 | 0x00, 2361 | 0x00, 2362 | 0x00, 2363 | 0x00, 2364 | 0x00, 2365 | 0x00, 2366 | 0x00, 2367 | 0x00, 2368 | 0x00, 2369 | 0x00, 2370 | 0x00, 2371 | 0x00, 2372 | 0x00, 2373 | 0x00, 2374 | 0x00, 2375 | 0x00, 2376 | 0x00, 2377 | 0x00, 2378 | 0x00, 2379 | 0x00, 2380 | 0x00, 2381 | 0x00, 2382 | 0x00, 2383 | 0x00, 2384 | 0x00, 2385 | 0x00, 2386 | 0x00, 2387 | 0x00, 2388 | 0x00, 2389 | 0x00, 2390 | 0x00, 2391 | 0x00, 2392 | 0x00, 2393 | 0x00, 2394 | 0x00, 2395 | 0x00, 2396 | 0x00, 2397 | 0x00, 2398 | 0x00, 2399 | 0x00, 2400 | 0x00, 2401 | 0x00, 2402 | 0x00, 2403 | 0x00, 2404 | 0x04, 2405 | 0x02, 2406 | 0x07, 2407 | 0x0c, 2408 | 0x0a, 2409 | 0x0d, 2410 | 0x0a, 2411 | 0x0b, 2412 | 0x09, 2413 | 0x15, 2414 | 0x15, 2415 | 0x11, 2416 | 0x13, 2417 | 0x16, 2418 | 0x0e, 2419 | 0x08, 2420 | 0x05, 2421 | 0x0f, 2422 | 0x01, 2423 | 0x04, 2424 | 0x00, 2425 | 0x00, 2426 | 0x00, 2427 | 0x00, 2428 | 0x02, 2429 | 0x01, 2430 | 0x03, 2431 | 0x0a, 2432 | 0x07, 2433 | 0x0e, 2434 | 0x04, 2435 | 0x08, 2436 | 0x00, 2437 | 0x00, 2438 | 0x00, 2439 | 0x00, 2440 | 0x00, 2441 | 0x00, 2442 | 0x00, 2443 | 0x00, 2444 | 0x00, 2445 | 0x00, 2446 | 0x00, 2447 | 0x00, 2448 | 0x00, 2449 | 0x00, 2450 | 0x00, 2451 | 0x00, 2452 | 0x00, 2453 | 0x00, 2454 | 0x00, 2455 | 0x00, 2456 | 0x00, 2457 | 0x00, 2458 | 0x00, 2459 | 0x00, 2460 | 0x00, 2461 | 0x00, 2462 | 0x00, 2463 | 0x00, 2464 | 0x00, 2465 | 0x00, 2466 | 0x00, 2467 | 0x00, 2468 | 0x00, 2469 | 0x00, 2470 | 0x00, 2471 | 0x00, 2472 | 0x00, 2473 | 0x00, 2474 | 0x00, 2475 | 0x00, 2476 | 0x00, 2477 | 0x00, 2478 | 0x00, 2479 | 0x00, 2480 | 0x00, 2481 | 0x00, 2482 | 0x00, 2483 | 0x00, 2484 | 0x00, 2485 | 0x00, 2486 | 0x00, 2487 | 0x00, 2488 | 0x00, 2489 | 0x01, 2490 | 0x01, 2491 | 0x00, 2492 | 0x00, 2493 | 0x00, 2494 | 0x00, 2495 | 0x0c, 2496 | 0x0c, 2497 | 0x09, 2498 | 0x10, 2499 | 0x10, 2500 | 0x0e, 2501 | 0x01, 2502 | 0x01, 2503 | 0x05, 2504 | 0x00, 2505 | 0x00, 2506 | 0x00, 2507 | 0x00, 2508 | 0x00, 2509 | 0x00, 2510 | 0x00, 2511 | 0x00, 2512 | 0x00, 2513 | 0x00, 2514 | 0x00, 2515 | 0x00, 2516 | 0x00, 2517 | 0x00, 2518 | 0x00, 2519 | 0x00, 2520 | 0x00, 2521 | 0x00, 2522 | 0x00, 2523 | 0x00, 2524 | 0x00, 2525 | 0x00, 2526 | 0x00, 2527 | 0x00, 2528 | 0x00, 2529 | 0x00, 2530 | 0x00, 2531 | 0x00, 2532 | 0x00, 2533 | 0x00, 2534 | 0x00, 2535 | 0x00, 2536 | 0x00, 2537 | 0x00, 2538 | 0x00, 2539 | 0x00, 2540 | 0x00, 2541 | 0x00, 2542 | 0x00, 2543 | 0x00, 2544 | 0x00, 2545 | 0x00, 2546 | 0x00, 2547 | 0x00, 2548 | 0x00, 2549 | 0x00, 2550 | 0x00, 2551 | 0x00, 2552 | 0x00, 2553 | 0x00, 2554 | 0x00, 2555 | 0x00, 2556 | 0x00, 2557 | 0x00, 2558 | 0x00, 2559 | 0x00, 2560 | 0x00, 2561 | 0x00, 2562 | 0x00, 2563 | 0x00, 2564 | 0x00, 2565 | 0x00, 2566 | 0x00, 2567 | 0x00, 2568 | 0x00, 2569 | 0x00, 2570 | 0x00, 2571 | 0x00, 2572 | 0x00, 2573 | 0x00, 2574 | 0x00, 2575 | 0x00, 2576 | 0x00, 2577 | 0x0b, 2578 | 0x0b, 2579 | 0x08, 2580 | 0x11, 2581 | 0x11, 2582 | 0x0e, 2583 | 0x06, 2584 | 0x04, 2585 | 0x06, 2586 | 0x00, 2587 | 0x00, 2588 | 0x00, 2589 | 0x00, 2590 | 0x00, 2591 | 0x00, 2592 | 0x00, 2593 | 0x00, 2594 | 0x00, 2595 | 0x00, 2596 | 0x00, 2597 | 0x00, 2598 | 0x00, 2599 | 0x00, 2600 | 0x00, 2601 | 0x00, 2602 | 0x00, 2603 | 0x00, 2604 | 0x00, 2605 | 0x00, 2606 | 0x00, 2607 | 0x00, 2608 | 0x00, 2609 | 0x00, 2610 | 0x00, 2611 | 0x00, 2612 | 0x00, 2613 | 0x00, 2614 | 0x00, 2615 | 0x00, 2616 | 0x00, 2617 | 0x00, 2618 | 0x00, 2619 | 0x00, 2620 | 0x00, 2621 | 0x00, 2622 | 0x00, 2623 | 0x00, 2624 | 0x00, 2625 | 0x00, 2626 | 0x00, 2627 | 0x00, 2628 | 0x00, 2629 | 0x00, 2630 | 0x00, 2631 | 0x00, 2632 | 0x00, 2633 | 0x00, 2634 | 0x00, 2635 | 0x00, 2636 | 0x00, 2637 | 0x00, 2638 | 0x00, 2639 | 0x00, 2640 | 0x00, 2641 | 0x00, 2642 | 0x00, 2643 | 0x00, 2644 | 0x00, 2645 | 0x00, 2646 | 0x00, 2647 | 0x00, 2648 | 0x00, 2649 | 0x00, 2650 | 0x00, 2651 | 0x00, 2652 | 0x00, 2653 | 0x00, 2654 | 0x00, 2655 | 0x01, 2656 | 0x00, 2657 | 0x00, 2658 | 0x00, 2659 | 0x0c, 2660 | 0x0b, 2661 | 0x09, 2662 | 0x11, 2663 | 0x12, 2664 | 0x0e, 2665 | 0x03, 2666 | 0x05, 2667 | 0x02, 2668 | 0x00, 2669 | 0x00, 2670 | 0x00, 2671 | 0x00, 2672 | 0x00, 2673 | 0x00, 2674 | 0x00, 2675 | 0x00, 2676 | 0x00, 2677 | 0x00, 2678 | 0x00, 2679 | 0x00, 2680 | 0x00, 2681 | 0x00, 2682 | 0x00, 2683 | 0x00, 2684 | 0x00, 2685 | 0x00, 2686 | 0x00, 2687 | 0x00, 2688 | 0x00, 2689 | 0x00, 2690 | 0x00, 2691 | 0x00, 2692 | 0x00, 2693 | 0x00, 2694 | 0x00, 2695 | 0x00, 2696 | 0x00, 2697 | 0x00, 2698 | 0x00, 2699 | 0x00, 2700 | 0x00, 2701 | 0x00, 2702 | 0x00, 2703 | 0x00, 2704 | 0x00, 2705 | 0x00, 2706 | 0x00, 2707 | 0x00, 2708 | 0x00, 2709 | 0x00, 2710 | 0x00, 2711 | 0x00, 2712 | 0x00, 2713 | 0x00, 2714 | 0x00, 2715 | 0x00, 2716 | 0x00, 2717 | 0x00, 2718 | 0x00, 2719 | 0x00, 2720 | 0x00, 2721 | 0x00, 2722 | 0x00, 2723 | 0x00, 2724 | 0x00, 2725 | 0x00, 2726 | 0x00, 2727 | 0x00, 2728 | 0x00, 2729 | 0x00, 2730 | 0x00, 2731 | 0x00, 2732 | 0x00, 2733 | 0x00, 2734 | 0x00, 2735 | 0x00, 2736 | 0x00, 2737 | 0x00, 2738 | 0x00, 2739 | 0x00, 2740 | 0x00, 2741 | 0x0a, 2742 | 0x0a, 2743 | 0x07, 2744 | 0x11, 2745 | 0x11, 2746 | 0x0e, 2747 | 0x09, 2748 | 0x09, 2749 | 0x0a, 2750 | 0x00, 2751 | 0x00, 2752 | 0x00, 2753 | 0x00, 2754 | 0x00, 2755 | 0x00, 2756 | 0x00, 2757 | 0x00, 2758 | 0x00, 2759 | 0x00, 2760 | 0x00, 2761 | 0x00, 2762 | 0x00, 2763 | 0x00, 2764 | 0x00, 2765 | 0x00, 2766 | 0x00, 2767 | 0x00, 2768 | 0x00, 2769 | 0x00, 2770 | 0x00, 2771 | 0x00, 2772 | 0x00, 2773 | 0x00, 2774 | 0x00, 2775 | 0x00, 2776 | 0x00, 2777 | 0x00, 2778 | 0x00, 2779 | 0x00, 2780 | 0x00, 2781 | 0x00, 2782 | 0x00, 2783 | 0x00, 2784 | 0x00, 2785 | 0x00, 2786 | 0x00, 2787 | 0x00, 2788 | 0x00, 2789 | 0x00, 2790 | 0x00, 2791 | 0x00, 2792 | 0x00, 2793 | 0x00, 2794 | 0x00, 2795 | 0x00, 2796 | 0x00, 2797 | 0x00, 2798 | 0x00, 2799 | 0x00, 2800 | 0x00, 2801 | 0x00, 2802 | 0x00, 2803 | 0x00, 2804 | 0x00, 2805 | 0x00, 2806 | 0x00, 2807 | 0x00, 2808 | 0x00, 2809 | 0x00, 2810 | 0x00, 2811 | 0x00, 2812 | 0x00, 2813 | 0x00, 2814 | 0x00, 2815 | 0x00, 2816 | 0x00, 2817 | 0x00, 2818 | 0x00, 2819 | 0x00, 2820 | 0x00, 2821 | 0x00, 2822 | 0x00, 2823 | 0x05, 2824 | 0x06, 2825 | 0x06, 2826 | 0x12, 2827 | 0x11, 2828 | 0x0d, 2829 | 0x0e, 2830 | 0x0c, 2831 | 0x0b, 2832 | 0x00, 2833 | 0x00, 2834 | 0x00, 2835 | 0x00, 2836 | 0x00, 2837 | 0x00, 2838 | 0x00, 2839 | 0x00, 2840 | 0x00, 2841 | 0x00, 2842 | 0x00, 2843 | 0x00, 2844 | 0x00, 2845 | 0x00, 2846 | 0x00, 2847 | 0x00, 2848 | 0x00, 2849 | 0x00, 2850 | 0x00, 2851 | 0x00, 2852 | 0x00, 2853 | 0x00, 2854 | 0x00, 2855 | 0x00, 2856 | 0x00, 2857 | 0x00, 2858 | 0x00, 2859 | 0x00, 2860 | 0x00, 2861 | 0x00, 2862 | 0x00, 2863 | 0x00, 2864 | 0x00, 2865 | 0x00, 2866 | 0x00, 2867 | 0x00, 2868 | 0x00, 2869 | 0x00, 2870 | 0x00, 2871 | 0x00, 2872 | 0x00, 2873 | 0x00, 2874 | 0x00, 2875 | 0x00, 2876 | 0x00, 2877 | 0x00, 2878 | 0x00, 2879 | 0x00, 2880 | 0x00, 2881 | 0x00, 2882 | 0x00, 2883 | 0x00, 2884 | 0x00, 2885 | 0x00, 2886 | 0x00, 2887 | 0x00, 2888 | 0x00, 2889 | 0x00, 2890 | 0x00, 2891 | 0x00, 2892 | 0x00, 2893 | 0x00, 2894 | 0x00, 2895 | 0x00, 2896 | 0x00, 2897 | 0x00, 2898 | 0x00, 2899 | 0x00, 2900 | 0x00, 2901 | 0x00, 2902 | 0x00, 2903 | 0x00, 2904 | 0x00, 2905 | 0x08, 2906 | 0x05, 2907 | 0x0c, 2908 | 0x16, 2909 | 0x18, 2910 | 0x10, 2911 | 0x0e, 2912 | 0x11, 2913 | 0x08, 2914 | 0x00, 2915 | 0x00, 2916 | 0x00, 2917 | 0x00, 2918 | 0x00, 2919 | 0x00, 2920 | 0x00, 2921 | 0x00, 2922 | 0x00, 2923 | 0x00, 2924 | 0x00, 2925 | 0x00, 2926 | 0x00, 2927 | 0x00, 2928 | 0x00, 2929 | 0x00, 2930 | 0x00, 2931 | 0x00, 2932 | 0x00, 2933 | 0x00, 2934 | 0x00, 2935 | 0x00, 2936 | 0x00, 2937 | 0x00, 2938 | 0x00, 2939 | 0x00, 2940 | 0x00, 2941 | 0x00, 2942 | 0x00, 2943 | 0x00, 2944 | 0x00, 2945 | 0x00, 2946 | 0x00, 2947 | 0x00, 2948 | 0x00, 2949 | 0x00, 2950 | 0x00, 2951 | 0x00, 2952 | 0x00, 2953 | 0x00, 2954 | 0x00, 2955 | 0x00, 2956 | 0x00, 2957 | 0x00, 2958 | 0x00, 2959 | 0x00, 2960 | 0x00, 2961 | 0x00, 2962 | 0x00, 2963 | 0x00, 2964 | 0x00, 2965 | 0x00, 2966 | 0x00, 2967 | 0x00, 2968 | 0x00, 2969 | 0x00, 2970 | 0x00, 2971 | 0x00, 2972 | 0x00, 2973 | 0x00, 2974 | 0x00, 2975 | 0x00, 2976 | 0x00, 2977 | 0x00, 2978 | 0x00, 2979 | 0x00, 2980 | 0x00, 2981 | 0x00, 2982 | 0x00, 2983 | 0x00, 2984 | 0x00, 2985 | 0x00, 2986 | 0x00, 2987 | 0x00, 2988 | 0x00, 2989 | 0x00, 2990 | 0x0e, 2991 | 0x0e, 2992 | 0x0a, 2993 | 0x10, 2994 | 0x10, 2995 | 0x0e, 2996 | 0x00, 2997 | 0x00, 2998 | 0x03, 2999 | 0x00, 3000 | 0x00, 3001 | 0x00, 3002 | 0x00, 3003 | 0x00, 3004 | 0x00, 3005 | 0x00, 3006 | 0x00, 3007 | 0x00, 3008 | 0x00, 3009 | 0x00, 3010 | 0x00, 3011 | 0x00, 3012 | 0x00, 3013 | 0x00, 3014 | 0x00, 3015 | 0x00, 3016 | 0x00, 3017 | 0x00, 3018 | 0x00, 3019 | 0x00, 3020 | 0x00, 3021 | 0x00, 3022 | 0x00, 3023 | 0x00, 3024 | 0x00, 3025 | 0x00, 3026 | 0x00, 3027 | 0x00, 3028 | 0x00, 3029 | 0x00, 3030 | 0x00, 3031 | 0x00, 3032 | 0x00, 3033 | 0x00, 3034 | 0x00, 3035 | 0x00, 3036 | 0x00, 3037 | 0x00, 3038 | 0x00, 3039 | 0x00, 3040 | 0x00, 3041 | 0x00, 3042 | 0x00, 3043 | 0x00, 3044 | 0x00, 3045 | 0x00, 3046 | 0x00, 3047 | 0x00, 3048 | 0x00, 3049 | 0x00, 3050 | 0x00, 3051 | 0x00, 3052 | 0x00, 3053 | 0x00, 3054 | 0x00, 3055 | 0x00, 3056 | 0x00, 3057 | 0x00, 3058 | 0x00, 3059 | 0x00, 3060 | 0x00, 3061 | 0x00, 3062 | 0x00, 3063 | 0x00, 3064 | 0x00, 3065 | 0x00, 3066 | 0x00, 3067 | 0x00, 3068 | 0x00, 3069 | 0x00, 3070 | 0x00, 3071 | 0x00, 3072 | 0x08, 3073 | 0x0a, 3074 | 0x09, 3075 | 0x14, 3076 | 0x12, 3077 | 0x0e, 3078 | 0x07, 3079 | 0x05, 3080 | 0x05, 3081 | 0x00, 3082 | 0x00, 3083 | 0x01, 3084 | 0x00, 3085 | 0x00, 3086 | 0x01, 3087 | 0x00, 3088 | 0x00, 3089 | 0x00, 3090 | 0x00, 3091 | 0x00, 3092 | 0x00, 3093 | 0x00, 3094 | 0x00, 3095 | 0x00, 3096 | 0x00, 3097 | 0x00, 3098 | 0x00, 3099 | 0x00, 3100 | 0x00, 3101 | 0x00, 3102 | 0x00, 3103 | 0x00, 3104 | 0x00, 3105 | 0x00, 3106 | 0x00, 3107 | 0x00, 3108 | 0x00, 3109 | 0x00, 3110 | 0x00, 3111 | 0x00, 3112 | 0x00, 3113 | 0x00, 3114 | 0x00, 3115 | 0x00, 3116 | 0x00, 3117 | 0x00, 3118 | 0x00, 3119 | 0x00, 3120 | 0x00, 3121 | 0x00, 3122 | 0x00, 3123 | 0x00, 3124 | 0x00, 3125 | 0x00, 3126 | 0x00, 3127 | 0x00, 3128 | 0x00, 3129 | 0x00, 3130 | 0x00, 3131 | 0x00, 3132 | 0x00, 3133 | 0x00, 3134 | 0x00, 3135 | 0x00, 3136 | 0x00, 3137 | 0x00, 3138 | 0x00, 3139 | 0x00, 3140 | 0x00, 3141 | 0x00, 3142 | 0x00, 3143 | 0x00, 3144 | 0x00, 3145 | 0x00, 3146 | 0x00, 3147 | 0x00, 3148 | 0x00, 3149 | 0x00, 3150 | 0x00, 3151 | 0x00, 3152 | 0x00, 3153 | 0x00, 3154 | 0x0c, 3155 | 0x08, 3156 | 0x10, 3157 | 0x18, 3158 | 0x1b, 3159 | 0x0f, 3160 | 0x03, 3161 | 0x07, 3162 | 0x00, 3163 | 0x00, 3164 | 0x00, 3165 | 0x00, 3166 | 0x00, 3167 | 0x00, 3168 | 0x00, 3169 | 0x00, 3170 | 0x00, 3171 | 0x00, 3172 | 0x00, 3173 | 0x00, 3174 | 0x00, 3175 | 0x00, 3176 | 0x00, 3177 | 0x00, 3178 | 0x00, 3179 | 0x00, 3180 | 0x00, 3181 | 0x00, 3182 | 0x00, 3183 | 0x00, 3184 | 0x00, 3185 | 0x00, 3186 | 0x00, 3187 | 0x00, 3188 | 0x00, 3189 | 0x00, 3190 | 0x00, 3191 | 0x00, 3192 | 0x00, 3193 | 0x00, 3194 | 0x00, 3195 | 0x00, 3196 | 0x00, 3197 | 0x00, 3198 | 0x00, 3199 | 0x00, 3200 | 0x00, 3201 | 0x00, 3202 | 0x00, 3203 | 0x00, 3204 | 0x00, 3205 | 0x00, 3206 | 0x00, 3207 | 0x00, 3208 | 0x00, 3209 | 0x00, 3210 | 0x00, 3211 | 0x00, 3212 | 0x00, 3213 | 0x00, 3214 | 0x00, 3215 | 0x00, 3216 | 0x00, 3217 | 0x00, 3218 | 0x00, 3219 | 0x00, 3220 | 0x00, 3221 | 0x00, 3222 | 0x00, 3223 | 0x00, 3224 | 0x00, 3225 | 0x00, 3226 | 0x00, 3227 | 0x00, 3228 | 0x00, 3229 | 0x00, 3230 | 0x00, 3231 | 0x00, 3232 | 0x00, 3233 | 0x00, 3234 | 0x00, 3235 | 0x00, 3236 | 0x02, 3237 | 0x02, 3238 | 0x01, 3239 | 0x12, 3240 | 0x12, 3241 | 0x0d, 3242 | 0x0c, 3243 | 0x0c, 3244 | 0x0d, 3245 | 0x07, 3246 | 0x07, 3247 | 0x09, 3248 | 0x04, 3249 | 0x04, 3250 | 0x06, 3251 | 0x00, 3252 | 0x00, 3253 | 0x00, 3254 | 0x00, 3255 | 0x00, 3256 | 0x00, 3257 | 0x00, 3258 | 0x00, 3259 | 0x00, 3260 | 0x00, 3261 | 0x00, 3262 | 0x00, 3263 | 0x00, 3264 | 0x00, 3265 | 0x00, 3266 | 0x00, 3267 | 0x00, 3268 | 0x00, 3269 | 0x00, 3270 | 0x00, 3271 | 0x00, 3272 | 0x00, 3273 | 0x00, 3274 | 0x00, 3275 | 0x00, 3276 | 0x00, 3277 | 0x00, 3278 | 0x00, 3279 | 0x00, 3280 | 0x00, 3281 | 0x00, 3282 | 0x00, 3283 | 0x00, 3284 | 0x00, 3285 | 0x00, 3286 | 0x00, 3287 | 0x00, 3288 | 0x00, 3289 | 0x00, 3290 | 0x00, 3291 | 0x00, 3292 | 0x00, 3293 | 0x00, 3294 | 0x00, 3295 | 0x00, 3296 | 0x00, 3297 | 0x00, 3298 | 0x00, 3299 | 0x00, 3300 | 0x00, 3301 | 0x00, 3302 | 0x00, 3303 | 0x00, 3304 | 0x00, 3305 | 0x00, 3306 | 0x00, 3307 | 0x00, 3308 | 0x00, 3309 | 0x00, 3310 | 0x00, 3311 | 0x00, 3312 | 0x00, 3313 | 0x00, 3314 | 0x00, 3315 | 0x00, 3316 | 0x00, 3317 | 0x00, 3318 | 0x01, 3319 | 0x01, 3320 | 0x01, 3321 | 0x0c, 3322 | 0x0d, 3323 | 0x0c, 3324 | 0x12, 3325 | 0x10, 3326 | 0x0d, 3327 | 0x0b, 3328 | 0x0a, 3329 | 0x0a, 3330 | 0x08, 3331 | 0x07, 3332 | 0x07, 3333 | 0x00, 3334 | 0x00, 3335 | 0x01, 3336 | 0x00, 3337 | 0x00, 3338 | 0x00, 3339 | 0x00, 3340 | 0x00, 3341 | 0x00, 3342 | 0x00, 3343 | 0x00, 3344 | 0x00, 3345 | 0x00, 3346 | 0x00, 3347 | 0x00, 3348 | 0x00, 3349 | 0x00, 3350 | 0x00, 3351 | 0x00, 3352 | 0x00, 3353 | 0x00, 3354 | 0x00, 3355 | 0x00, 3356 | 0x00, 3357 | 0x00, 3358 | 0x00, 3359 | 0x00, 3360 | 0x00, 3361 | 0x00, 3362 | 0x00, 3363 | 0x00, 3364 | 0x00, 3365 | 0x00, 3366 | 0x00, 3367 | 0x00, 3368 | 0x00, 3369 | 0x00, 3370 | 0x00, 3371 | 0x00, 3372 | 0x00, 3373 | 0x00, 3374 | 0x00, 3375 | 0x00, 3376 | 0x00, 3377 | 0x00, 3378 | 0x00, 3379 | 0x00, 3380 | 0x00, 3381 | 0x00, 3382 | 0x00, 3383 | 0x00, 3384 | 0x00, 3385 | 0x00, 3386 | 0x00, 3387 | 0x00, 3388 | 0x00, 3389 | 0x00, 3390 | 0x00, 3391 | 0x00, 3392 | 0x00, 3393 | 0x00, 3394 | 0x00, 3395 | 0x00, 3396 | 0x00, 3397 | 0x00, 3398 | 0x00, 3399 | 0x00, 3400 | 0x01, 3401 | 0x01, 3402 | 0x02, 3403 | 0x10, 3404 | 0x0d, 3405 | 0x13, 3406 | 0x15, 3407 | 0x19, 3408 | 0x0c, 3409 | 0x09, 3410 | 0x0a, 3411 | 0x06, 3412 | 0x02, 3413 | 0x04, 3414 | 0x01, 3415 | 0x00, 3416 | 0x00, 3417 | 0x00, 3418 | 0x00, 3419 | 0x00, 3420 | 0x00, 3421 | 0x00, 3422 | 0x00, 3423 | 0x00, 3424 | 0x00, 3425 | 0x00, 3426 | 0x00, 3427 | 0x00, 3428 | 0x00, 3429 | 0x00, 3430 | 0x00, 3431 | 0x00, 3432 | 0x00, 3433 | 0x00, 3434 | 0x00, 3435 | 0x00, 3436 | 0x00, 3437 | 0x00, 3438 | 0x00, 3439 | 0x00, 3440 | 0x00, 3441 | 0x00, 3442 | 0x00, 3443 | 0x00, 3444 | 0x00, 3445 | 0x00, 3446 | 0x00, 3447 | 0x00, 3448 | 0x00, 3449 | 0x00, 3450 | 0x00, 3451 | 0x00, 3452 | 0x00, 3453 | 0x00, 3454 | 0x00, 3455 | 0x00, 3456 | 0x00, 3457 | 0x00, 3458 | 0x00, 3459 | 0x00, 3460 | 0x00, 3461 | 0x00, 3462 | 0x00, 3463 | 0x00, 3464 | 0x00, 3465 | 0x00, 3466 | 0x00, 3467 | 0x00, 3468 | 0x00, 3469 | 0x00, 3470 | 0x00, 3471 | 0x00, 3472 | 0x00, 3473 | 0x00, 3474 | 0x00, 3475 | 0x00, 3476 | 0x00, 3477 | 0x00, 3478 | 0x00, 3479 | 0x00, 3480 | 0x00, 3481 | 0x00, 3482 | 0x00, 3483 | 0x00, 3484 | 0x00, 3485 | 0x06, 3486 | 0x06, 3487 | 0x04, 3488 | 0x11, 3489 | 0x11, 3490 | 0x0d, 3491 | 0x0e, 3492 | 0x0e, 3493 | 0x0e, 3494 | 0x0e, 3495 | 0x0e, 3496 | 0x0e, 3497 | 0x03, 3498 | 0x03, 3499 | 0x06, 3500 | 0x00, 3501 | 0x00, 3502 | 0x01, 3503 | 0x00, 3504 | 0x00, 3505 | 0x00, 3506 | 0x00, 3507 | 0x00, 3508 | 0x00, 3509 | 0x00, 3510 | 0x00, 3511 | 0x00, 3512 | 0x00, 3513 | 0x00, 3514 | 0x00, 3515 | 0x00, 3516 | 0x00, 3517 | 0x00, 3518 | 0x00, 3519 | 0x00, 3520 | 0x00, 3521 | 0x00, 3522 | 0x00, 3523 | 0x00, 3524 | 0x00, 3525 | 0x00, 3526 | 0x00, 3527 | 0x00, 3528 | 0x00, 3529 | 0x00, 3530 | 0x00, 3531 | 0x00, 3532 | 0x00, 3533 | 0x00, 3534 | 0x00, 3535 | 0x00, 3536 | 0x00, 3537 | 0x00, 3538 | 0x00, 3539 | 0x00, 3540 | 0x00, 3541 | 0x00, 3542 | 0x00, 3543 | 0x00, 3544 | 0x00, 3545 | 0x00, 3546 | 0x00, 3547 | 0x00, 3548 | 0x00, 3549 | 0x00, 3550 | 0x00, 3551 | 0x00, 3552 | 0x00, 3553 | 0x00, 3554 | 0x00, 3555 | 0x00, 3556 | 0x00, 3557 | 0x00, 3558 | 0x00, 3559 | 0x00, 3560 | 0x00, 3561 | 0x00, 3562 | 0x00, 3563 | 0x00, 3564 | 0x00, 3565 | 0x00, 3566 | 0x00, 3567 | 0x02, 3568 | 0x03, 3569 | 0x03, 3570 | 0x0b, 3571 | 0x0c, 3572 | 0x0b, 3573 | 0x12, 3574 | 0x11, 3575 | 0x0d, 3576 | 0x10, 3577 | 0x0f, 3578 | 0x0e, 3579 | 0x09, 3580 | 0x08, 3581 | 0x08, 3582 | 0x00, 3583 | 0x00, 3584 | 0x02, 3585 | 0x00, 3586 | 0x00, 3587 | 0x00, 3588 | 0x00, 3589 | 0x00, 3590 | 0x00, 3591 | 0x00, 3592 | 0x00, 3593 | 0x00, 3594 | 0x00, 3595 | 0x00, 3596 | 0x00, 3597 | 0x00, 3598 | 0x00, 3599 | 0x00, 3600 | 0x00, 3601 | 0x00, 3602 | 0x00, 3603 | 0x00, 3604 | 0x00, 3605 | 0x00, 3606 | 0x00, 3607 | 0x00, 3608 | 0x00, 3609 | 0x00, 3610 | 0x00, 3611 | 0x00, 3612 | 0x00, 3613 | 0x00, 3614 | 0x00, 3615 | 0x00, 3616 | 0x00, 3617 | 0x00, 3618 | 0x00, 3619 | 0x00, 3620 | 0x00, 3621 | 0x00, 3622 | 0x00, 3623 | 0x00, 3624 | 0x00, 3625 | 0x00, 3626 | 0x00, 3627 | 0x00, 3628 | 0x00, 3629 | 0x00, 3630 | 0x00, 3631 | 0x00, 3632 | 0x00, 3633 | 0x00, 3634 | 0x00, 3635 | 0x00, 3636 | 0x00, 3637 | 0x00, 3638 | 0x00, 3639 | 0x00, 3640 | 0x00, 3641 | 0x00, 3642 | 0x00, 3643 | 0x00, 3644 | 0x00, 3645 | 0x00, 3646 | 0x00, 3647 | 0x00, 3648 | 0x00, 3649 | 0x04, 3650 | 0x02, 3651 | 0x07, 3652 | 0x11, 3653 | 0x0e, 3654 | 0x14, 3655 | 0x18, 3656 | 0x1b, 3657 | 0x10, 3658 | 0x12, 3659 | 0x13, 3660 | 0x0e, 3661 | 0x06, 3662 | 0x09, 3663 | 0x02, 3664 | 0x00, 3665 | 0x00, 3666 | 0x00, 3667 | 0x00, 3668 | 0x00, 3669 | 0x00, 3670 | 0x00, 3671 | 0x00, 3672 | 0x00, 3673 | 0x00, 3674 | 0x00, 3675 | 0x00, 3676 | 0x00, 3677 | 0x00, 3678 | 0x00, 3679 | 0x00, 3680 | 0x00, 3681 | 0x00, 3682 | 0x00, 3683 | 0x00, 3684 | 0x00, 3685 | 0x00, 3686 | 0x00, 3687 | 0x00, 3688 | 0x00, 3689 | 0x00, 3690 | 0x00, 3691 | 0x00, 3692 | 0x00, 3693 | 0x00, 3694 | 0x00, 3695 | 0x00, 3696 | 0x00, 3697 | 0x00, 3698 | 0x00, 3699 | 0x00, 3700 | 0x00, 3701 | 0x00, 3702 | 0x00, 3703 | 0x00, 3704 | 0x00, 3705 | 0x00, 3706 | 0x00, 3707 | 0x00, 3708 | 0x00, 3709 | 0x00, 3710 | 0x00, 3711 | 0x00, 3712 | 0x00, 3713 | 0x00, 3714 | 0x00, 3715 | 0x00, 3716 | 0x00, 3717 | 0x00, 3718 | 0x00, 3719 | 0x00, 3720 | 0x00, 3721 | 0x00, 3722 | 0x00, 3723 | 0x00, 3724 | 0x00, 3725 | 0x00, 3726 | 0x00, 3727 | 0x00, 3728 | 0x00, 3729 | 0x00, 3730 | 0x00, 3731 | 0x00, 3732 | 0x00, 3733 | 0x00, 3734 | 0x03, 3735 | 0x03, 3736 | 0x02, 3737 | 0x0e, 3738 | 0x0e, 3739 | 0x0a, 3740 | 0x10, 3741 | 0x10, 3742 | 0x0e, 3743 | 0x0e, 3744 | 0x0e, 3745 | 0x0e, 3746 | 0x06, 3747 | 0x06, 3748 | 0x08, 3749 | 0x00, 3750 | 0x00, 3751 | 0x01, 3752 | 0x00, 3753 | 0x00, 3754 | 0x00, 3755 | 0x00, 3756 | 0x00, 3757 | 0x00, 3758 | 0x00, 3759 | 0x00, 3760 | 0x00, 3761 | 0x00, 3762 | 0x00, 3763 | 0x00, 3764 | 0x00, 3765 | 0x00, 3766 | 0x00, 3767 | 0x00, 3768 | 0x00, 3769 | 0x00, 3770 | 0x00, 3771 | 0x00, 3772 | 0x00, 3773 | 0x00, 3774 | 0x00, 3775 | 0x00, 3776 | 0x00, 3777 | 0x00, 3778 | 0x00, 3779 | 0x00, 3780 | 0x00, 3781 | 0x00, 3782 | 0x00, 3783 | 0x00, 3784 | 0x00, 3785 | 0x00, 3786 | 0x00, 3787 | 0x00, 3788 | 0x00, 3789 | 0x00, 3790 | 0x00, 3791 | 0x00, 3792 | 0x00, 3793 | 0x00, 3794 | 0x00, 3795 | 0x00, 3796 | 0x00, 3797 | 0x00, 3798 | 0x00, 3799 | 0x00, 3800 | 0x00, 3801 | 0x00, 3802 | 0x00, 3803 | 0x00, 3804 | 0x00, 3805 | 0x00, 3806 | 0x00, 3807 | 0x00, 3808 | 0x00, 3809 | 0x00, 3810 | 0x00, 3811 | 0x00, 3812 | 0x00, 3813 | 0x00, 3814 | 0x00, 3815 | 0x00, 3816 | 0x01, 3817 | 0x01, 3818 | 0x01, 3819 | 0x07, 3820 | 0x09, 3821 | 0x08, 3822 | 0x0e, 3823 | 0x0e, 3824 | 0x0c, 3825 | 0x14, 3826 | 0x11, 3827 | 0x0e, 3828 | 0x0b, 3829 | 0x09, 3830 | 0x09, 3831 | 0x02, 3832 | 0x01, 3833 | 0x03, 3834 | 0x00, 3835 | 0x00, 3836 | 0x00, 3837 | 0x00, 3838 | 0x00, 3839 | 0x00, 3840 | 0x00, 3841 | 0x00, 3842 | 0x00, 3843 | 0x00, 3844 | 0x00, 3845 | 0x00, 3846 | 0x00, 3847 | 0x00, 3848 | 0x00, 3849 | 0x00, 3850 | 0x00, 3851 | 0x00, 3852 | 0x00, 3853 | 0x00, 3854 | 0x00, 3855 | 0x00, 3856 | 0x00, 3857 | 0x00, 3858 | 0x00, 3859 | 0x00, 3860 | 0x00, 3861 | 0x00, 3862 | 0x00, 3863 | 0x00, 3864 | 0x00, 3865 | 0x00, 3866 | 0x00, 3867 | 0x00, 3868 | 0x00, 3869 | 0x00, 3870 | 0x00, 3871 | 0x00, 3872 | 0x00, 3873 | 0x00, 3874 | 0x00, 3875 | 0x00, 3876 | 0x00, 3877 | 0x00, 3878 | 0x00, 3879 | 0x00, 3880 | 0x00, 3881 | 0x00, 3882 | 0x00, 3883 | 0x00, 3884 | 0x00, 3885 | 0x00, 3886 | 0x00, 3887 | 0x00, 3888 | 0x00, 3889 | 0x00, 3890 | 0x00, 3891 | 0x00, 3892 | 0x00, 3893 | 0x00, 3894 | 0x00, 3895 | 0x00, 3896 | 0x00, 3897 | 0x00, 3898 | 0x02, 3899 | 0x01, 3900 | 0x03, 3901 | 0x0c, 3902 | 0x09, 3903 | 0x10, 3904 | 0x16, 3905 | 0x16, 3906 | 0x14, 3907 | 0x17, 3908 | 0x1b, 3909 | 0x0e, 3910 | 0x08, 3911 | 0x0a, 3912 | 0x05, 3913 | 0x00, 3914 | 0x00, 3915 | 0x00, 3916 | 0x00, 3917 | 0x00, 3918 | 0x00, 3919 | 0x00, 3920 | 0x00, 3921 | 0x00, 3922 | 0x00, 3923 | 0x00, 3924 | 0x00, 3925 | 0x00, 3926 | 0x00, 3927 | 0x00, 3928 | 0x00, 3929 | 0x00, 3930 | 0x00, 3931 | 0x00, 3932 | 0x00, 3933 | 0x00, 3934 | 0x00, 3935 | 0x00, 3936 | 0x00, 3937 | 0x00, 3938 | 0x00, 3939 | 0x00, 3940 | 0x00, 3941 | 0x00, 3942 | 0x00, 3943 | 0x00, 3944 | 0x00, 3945 | 0x00, 3946 | 0x00, 3947 | 0x00, 3948 | 0x00, 3949 | 0x00, 3950 | 0x00, 3951 | 0x00, 3952 | 0x00, 3953 | 0x00, 3954 | 0x00, 3955 | 0x00, 3956 | 0x00, 3957 | 0x00, 3958 | 0x00, 3959 | 0x00, 3960 | 0x00, 3961 | 0x00, 3962 | 0x00, 3963 | 0x00, 3964 | 0x00, 3965 | 0x00, 3966 | 0x00, 3967 | 0x00, 3968 | 0x00, 3969 | 0x00, 3970 | 0x00, 3971 | 0x00, 3972 | 0x00, 3973 | 0x00, 3974 | 0x00, 3975 | 0x00, 3976 | 0x00, 3977 | 0x00, 3978 | 0x00, 3979 | 0x00, 3980 | 0x00, 3981 | 0x00, 3982 | 0x00, 3983 | 0x01, 3984 | 0x01, 3985 | 0x00, 3986 | 0x06, 3987 | 0x06, 3988 | 0x05, 3989 | 0x12, 3990 | 0x12, 3991 | 0x0e, 3992 | 0x0e, 3993 | 0x0e, 3994 | 0x0e, 3995 | 0x09, 3996 | 0x09, 3997 | 0x0a, 3998 | 0x00, 3999 | 0x00, 4000 | 0x00, 4001 | 0x00, 4002 | 0x00, 4003 | 0x00, 4004 | 0x00, 4005 | 0x00, 4006 | 0x00, 4007 | 0x00, 4008 | 0x00, 4009 | 0x00, 4010 | 0x00, 4011 | 0x00, 4012 | 0x00, 4013 | 0x00, 4014 | 0x00, 4015 | 0x00, 4016 | 0x00, 4017 | 0x00, 4018 | 0x00, 4019 | 0x00, 4020 | 0x00, 4021 | 0x00, 4022 | 0x00, 4023 | 0x00, 4024 | 0x00, 4025 | 0x00, 4026 | 0x00, 4027 | 0x00, 4028 | 0x00, 4029 | 0x00, 4030 | 0x00, 4031 | 0x00, 4032 | 0x00, 4033 | 0x00, 4034 | 0x00, 4035 | 0x00, 4036 | 0x00, 4037 | 0x00, 4038 | 0x00, 4039 | 0x00, 4040 | 0x00, 4041 | 0x00, 4042 | 0x00, 4043 | 0x00, 4044 | 0x00, 4045 | 0x00, 4046 | 0x00, 4047 | 0x00, 4048 | 0x00, 4049 | 0x00, 4050 | 0x00, 4051 | 0x00, 4052 | 0x00, 4053 | 0x00, 4054 | 0x00, 4055 | 0x00, 4056 | 0x00, 4057 | 0x00, 4058 | 0x00, 4059 | 0x00, 4060 | 0x00, 4061 | 0x00, 4062 | 0x00, 4063 | 0x00, 4064 | 0x00, 4065 | 0x00, 4066 | 0x00, 4067 | 0x00, 4068 | 0x03, 4069 | 0x04, 4070 | 0x04, 4071 | 0x14, 4072 | 0x13, 4073 | 0x0e, 4074 | 0x0e, 4075 | 0x0e, 4076 | 0x0e, 4077 | 0x0c, 4078 | 0x0b, 4079 | 0x0b, 4080 | 0x00, 4081 | 0x00, 4082 | 0x00, 4083 | 0x00, 4084 | 0x00, 4085 | 0x00, 4086 | 0x00, 4087 | 0x00, 4088 | 0x00, 4089 | 0x00, 4090 | 0x00, 4091 | 0x00, 4092 | 0x00, 4093 | 0x00, 4094 | 0x00, 4095 | 0x00, 4096 | 0x00, 4097 | 0x00, 4098 | 0x00, 4099 | 0x00, 4100 | 0x00, 4101 | 0x00, 4102 | 0x00, 4103 | 0x00, 4104 | 0x00, 4105 | 0x00, 4106 | 0x00, 4107 | 0x00, 4108 | 0x00, 4109 | 0x00, 4110 | 0x00, 4111 | 0x00, 4112 | 0x00, 4113 | 0x00, 4114 | 0x00, 4115 | 0x00, 4116 | 0x00, 4117 | 0x00, 4118 | 0x00, 4119 | 0x00, 4120 | 0x00, 4121 | 0x00, 4122 | 0x00, 4123 | 0x00, 4124 | 0x00, 4125 | 0x00, 4126 | 0x00, 4127 | 0x00, 4128 | 0x00, 4129 | 0x00, 4130 | 0x00, 4131 | 0x00, 4132 | 0x00, 4133 | 0x00, 4134 | 0x00, 4135 | 0x00, 4136 | 0x00, 4137 | 0x00, 4138 | 0x00, 4139 | 0x00, 4140 | 0x00, 4141 | 0x00, 4142 | 0x00, 4143 | 0x00, 4144 | 0x00, 4145 | 0x00, 4146 | 0x00, 4147 | 0x00, 4148 | 0x00, 4149 | 0x01, 4150 | 0x05, 4151 | 0x04, 4152 | 0x08, 4153 | 0x16, 4154 | 0x18, 4155 | 0x0e, 4156 | 0x0e, 4157 | 0x0f, 4158 | 0x0e, 4159 | 0x0a, 4160 | 0x0c, 4161 | 0x08, 4162 | 0x00, 4163 | 0x00, 4164 | 0x00, 4165 | 0x00, 4166 | 0x00, 4167 | 0x00, 4168 | 0x00, 4169 | 0x00, 4170 | 0x00, 4171 | 0x00, 4172 | 0x00, 4173 | 0x00, 4174 | 0x00, 4175 | 0x00, 4176 | 0x00, 4177 | 0x00, 4178 | 0x00, 4179 | 0x00, 4180 | 0x00, 4181 | 0x00, 4182 | 0x00, 4183 | 0x00, 4184 | 0x00, 4185 | 0x00, 4186 | 0x00, 4187 | 0x00, 4188 | 0x00, 4189 | 0x00, 4190 | 0x00, 4191 | 0x00, 4192 | 0x00, 4193 | 0x00, 4194 | 0x00, 4195 | 0x00, 4196 | 0x00, 4197 | 0x00, 4198 | 0x00, 4199 | 0x00, 4200 | 0x00, 4201 | 0x00, 4202 | 0x00, 4203 | 0x00, 4204 | 0x00, 4205 | 0x00, 4206 | 0x00, 4207 | 0x00, 4208 | 0x00, 4209 | 0x00, 4210 | 0x00, 4211 | 0x00, 4212 | 0x00, 4213 | 0x00, 4214 | 0x00, 4215 | 0x00, 4216 | 0x00, 4217 | 0x00, 4218 | 0x00, 4219 | 0x00, 4220 | 0x00, 4221 | 0x00, 4222 | 0x00, 4223 | 0x00, 4224 | 0x00, 4225 | 0x00, 4226 | 0x00, 4227 | 0x00, 4228 | 0x00, 4229 | 0x00, 4230 | 0x00, 4231 | 0x00, 4232 | 0x00, 4233 | 0x00, 4234 | 0x00, 4235 | 0x13, 4236 | 0x13, 4237 | 0x0e, 4238 | 0x0e, 4239 | 0x0e, 4240 | 0x0e, 4241 | 0x0e, 4242 | 0x0e, 4243 | 0x0e, 4244 | 0x00, 4245 | 0x00, 4246 | 0x03, 4247 | 0x00, 4248 | 0x00, 4249 | 0x00, 4250 | 0x00, 4251 | 0x00, 4252 | 0x00, 4253 | 0x00, 4254 | 0x00, 4255 | 0x00, 4256 | 0x00, 4257 | 0x00, 4258 | 0x00, 4259 | 0x00, 4260 | 0x00, 4261 | 0x00, 4262 | 0x00, 4263 | 0x00, 4264 | 0x00, 4265 | 0x00, 4266 | 0x00, 4267 | 0x00, 4268 | 0x00, 4269 | 0x00, 4270 | 0x00, 4271 | 0x00, 4272 | 0x00, 4273 | 0x00, 4274 | 0x00, 4275 | 0x00, 4276 | 0x00, 4277 | 0x00, 4278 | 0x00, 4279 | 0x00, 4280 | 0x00, 4281 | 0x00, 4282 | 0x00, 4283 | 0x00, 4284 | 0x00, 4285 | 0x00, 4286 | 0x00, 4287 | 0x00, 4288 | 0x00, 4289 | 0x00, 4290 | 0x00, 4291 | 0x00, 4292 | 0x00, 4293 | 0x00, 4294 | 0x00, 4295 | 0x00, 4296 | 0x00, 4297 | 0x00, 4298 | 0x00, 4299 | 0x00, 4300 | 0x00, 4301 | 0x00, 4302 | 0x00, 4303 | 0x00, 4304 | 0x00, 4305 | 0x00, 4306 | 0x00, 4307 | 0x00, 4308 | 0x02, 4309 | 0x01, 4310 | 0x00, 4311 | 0x04, 4312 | 0x03, 4313 | 0x01, 4314 | 0x03, 4315 | 0x03, 4316 | 0x01, 4317 | 0x0d, 4318 | 0x10, 4319 | 0x0e, 4320 | 0x0e, 4321 | 0x0e, 4322 | 0x0e, 4323 | 0x0c, 4324 | 0x0c, 4325 | 0x0d, 4326 | 0x00, 4327 | 0x00, 4328 | 0x03, 4329 | 0x00, 4330 | 0x00, 4331 | 0x00, 4332 | 0x00, 4333 | 0x00, 4334 | 0x00, 4335 | 0x00, 4336 | 0x00, 4337 | 0x00, 4338 | 0x00, 4339 | 0x00, 4340 | 0x00, 4341 | 0x00, 4342 | 0x00, 4343 | 0x00, 4344 | 0x00, 4345 | 0x00, 4346 | 0x00, 4347 | 0x00, 4348 | 0x00, 4349 | 0x00, 4350 | 0x00, 4351 | 0x00, 4352 | 0x00, 4353 | 0x00, 4354 | 0x00, 4355 | 0x00, 4356 | 0x00, 4357 | 0x00, 4358 | 0x00, 4359 | 0x00, 4360 | 0x00, 4361 | 0x00, 4362 | 0x00, 4363 | 0x00, 4364 | 0x00, 4365 | 0x00, 4366 | 0x00, 4367 | 0x00, 4368 | 0x00, 4369 | 0x00, 4370 | 0x00, 4371 | 0x00, 4372 | 0x00, 4373 | 0x00, 4374 | 0x00, 4375 | 0x00, 4376 | 0x00, 4377 | 0x00, 4378 | 0x00, 4379 | 0x00, 4380 | 0x00, 4381 | 0x00, 4382 | 0x00, 4383 | 0x00, 4384 | 0x00, 4385 | 0x00, 4386 | 0x00, 4387 | 0x00, 4388 | 0x00, 4389 | 0x00, 4390 | 0x00, 4391 | 0x01, 4392 | 0x00, 4393 | 0x00, 4394 | 0x02, 4395 | 0x00, 4396 | 0x00, 4397 | 0x00, 4398 | 0x00, 4399 | 0x0a, 4400 | 0x05, 4401 | 0x0e, 4402 | 0x0e, 4403 | 0x0e, 4404 | 0x0e, 4405 | 0x0d, 4406 | 0x0c, 4407 | 0x0f, 4408 | 0x00, 4409 | 0x00, 4410 | 0x05, 4411 | 0x02, 4412 | 0x03, 4413 | 0x00, 4414 | 0x00, 4415 | 0x00, 4416 | 0x00, 4417 | 0x00, 4418 | 0x00, 4419 | 0x00, 4420 | 0x00, 4421 | 0x00, 4422 | 0x00, 4423 | 0x00, 4424 | 0x00, 4425 | 0x00, 4426 | 0x00, 4427 | 0x00, 4428 | 0x00, 4429 | 0x00, 4430 | 0x00, 4431 | 0x00, 4432 | 0x00, 4433 | 0x00, 4434 | 0x00, 4435 | 0x00, 4436 | 0x00, 4437 | 0x00, 4438 | 0x00, 4439 | 0x00, 4440 | 0x00, 4441 | 0x00, 4442 | 0x00, 4443 | 0x00, 4444 | 0x00, 4445 | 0x00, 4446 | 0x00, 4447 | 0x00, 4448 | 0x00, 4449 | 0x00, 4450 | 0x00, 4451 | 0x00, 4452 | 0x00, 4453 | 0x00, 4454 | 0x00, 4455 | 0x00, 4456 | 0x00, 4457 | 0x00, 4458 | 0x00, 4459 | 0x00, 4460 | 0x00, 4461 | 0x00, 4462 | 0x00, 4463 | 0x00, 4464 | 0x00, 4465 | 0x00, 4466 | 0x00, 4467 | 0x00, 4468 | 0x00, 4469 | 0x00, 4470 | 0x00, 4471 | 0x00, 4472 | 0x03, 4473 | 0x03, 4474 | 0x02, 4475 | 0x09, 4476 | 0x09, 4477 | 0x07, 4478 | 0x0b, 4479 | 0x0b, 4480 | 0x0a, 4481 | 0x10, 4482 | 0x10, 4483 | 0x0e, 4484 | 0x0e, 4485 | 0x0e, 4486 | 0x0e, 4487 | 0x0b, 4488 | 0x0b, 4489 | 0x0c, 4490 | 0x00, 4491 | 0x00, 4492 | 0x00, 4493 | 0x00, 4494 | 0x00, 4495 | 0x00, 4496 | 0x00, 4497 | 0x00, 4498 | 0x00, 4499 | 0x00, 4500 | 0x00, 4501 | 0x00, 4502 | 0x00, 4503 | 0x00, 4504 | 0x00, 4505 | 0x00, 4506 | 0x00, 4507 | 0x00, 4508 | 0x00, 4509 | 0x00, 4510 | 0x00, 4511 | 0x00, 4512 | 0x00, 4513 | 0x00, 4514 | 0x00, 4515 | 0x00, 4516 | 0x00, 4517 | 0x00, 4518 | 0x00, 4519 | 0x00, 4520 | 0x00, 4521 | 0x00, 4522 | 0x00, 4523 | 0x00, 4524 | 0x00, 4525 | 0x00, 4526 | 0x00, 4527 | 0x00, 4528 | 0x00, 4529 | 0x00, 4530 | 0x00, 4531 | 0x00, 4532 | 0x00, 4533 | 0x00, 4534 | 0x00, 4535 | 0x00, 4536 | 0x00, 4537 | 0x00, 4538 | 0x00, 4539 | 0x00, 4540 | 0x00, 4541 | 0x00, 4542 | 0x00, 4543 | 0x00, 4544 | 0x00, 4545 | 0x00, 4546 | 0x00, 4547 | 0x00, 4548 | 0x01, 4549 | 0x01, 4550 | 0x00, 4551 | 0x05, 4552 | 0x04, 4553 | 0x01, 4554 | 0x06, 4555 | 0x06, 4556 | 0x04, 4557 | 0x08, 4558 | 0x09, 4559 | 0x08, 4560 | 0x0a, 4561 | 0x0b, 4562 | 0x0b, 4563 | 0x0d, 4564 | 0x0e, 4565 | 0x0e, 4566 | 0x0e, 4567 | 0x0e, 4568 | 0x0e, 4569 | 0x09, 4570 | 0x0a, 4571 | 0x0b, 4572 | 0x00, 4573 | 0x00, 4574 | 0x00, 4575 | 0x00, 4576 | 0x00, 4577 | 0x00, 4578 | 0x00, 4579 | 0x00, 4580 | 0x00, 4581 | 0x00, 4582 | 0x00, 4583 | 0x00, 4584 | 0x00, 4585 | 0x00, 4586 | 0x00, 4587 | 0x00, 4588 | 0x00, 4589 | 0x00, 4590 | 0x00, 4591 | 0x00, 4592 | 0x00, 4593 | 0x00, 4594 | 0x00, 4595 | 0x00, 4596 | 0x00, 4597 | 0x00, 4598 | 0x00, 4599 | 0x00, 4600 | 0x00, 4601 | 0x00, 4602 | 0x00, 4603 | 0x00, 4604 | 0x00, 4605 | 0x00, 4606 | 0x00, 4607 | 0x00, 4608 | 0x00, 4609 | 0x00, 4610 | 0x00, 4611 | 0x00, 4612 | 0x00, 4613 | 0x00, 4614 | 0x00, 4615 | 0x00, 4616 | 0x00, 4617 | 0x00, 4618 | 0x00, 4619 | 0x00, 4620 | 0x00, 4621 | 0x00, 4622 | 0x00, 4623 | 0x00, 4624 | 0x00, 4625 | 0x00, 4626 | 0x00, 4627 | 0x00, 4628 | 0x00, 4629 | 0x00, 4630 | 0x00, 4631 | 0x01, 4632 | 0x00, 4633 | 0x01, 4634 | 0x04, 4635 | 0x00, 4636 | 0x00, 4637 | 0x00, 4638 | 0x00, 4639 | 0x02, 4640 | 0x00, 4641 | 0x03, 4642 | 0x06, 4643 | 0x05, 4644 | 0x08, 4645 | 0x0c, 4646 | 0x0a, 4647 | 0x0e, 4648 | 0x0e, 4649 | 0x0e, 4650 | 0x0e, 4651 | 0x0a, 4652 | 0x09, 4653 | 0x0c, 4654 | 0x00, 4655 | 0x00, 4656 | 0x00, 4657 | 0x00, 4658 | 0x00, 4659 | 0x00, 4660 | 0x00, 4661 | 0x00, 4662 | 0x00, 4663 | 0x00, 4664 | 0x00, 4665 | 0x00, 4666 | 0x00, 4667 | 0x00, 4668 | 0x00, 4669 | 0x00, 4670 | 0x00, 4671 | 0x00, 4672 | 0x00, 4673 | 0x00, 4674 | 0x00, 4675 | 0x00, 4676 | 0x00, 4677 | 0x00, 4678 | 0x00, 4679 | 0x00, 4680 | 0x00, 4681 | 0x00, 4682 | 0x00, 4683 | 0x00, 4684 | 0x00, 4685 | 0x00, 4686 | 0x00, 4687 | 0x00, 4688 | 0x00, 4689 | 0x00, 4690 | 0x00, 4691 | 0x00, 4692 | 0x00, 4693 | 0x00, 4694 | 0x00, 4695 | 0x00, 4696 | 0x00, 4697 | 0x00, 4698 | 0x00, 4699 | 0x00, 4700 | 0x00, 4701 | 0x00, 4702 | 0x00, 4703 | 0x00, 4704 | 0x00, 4705 | 0x00, 4706 | 0x00, 4707 | 0x00, 4708 | 0x00, 4709 | 0x00, 4710 | 0x00, 4711 | 0x00, 4712 | 0x02, 4713 | 0x02, 4714 | 0x01, 4715 | 0x0b, 4716 | 0x0b, 4717 | 0x08, 4718 | 0x0e, 4719 | 0x0e, 4720 | 0x0d, 4721 | 0x0f, 4722 | 0x0f, 4723 | 0x0e, 4724 | 0x0e, 4725 | 0x0e, 4726 | 0x0e, 4727 | 0x0e, 4728 | 0x0e, 4729 | 0x0e, 4730 | 0x0e, 4731 | 0x0e, 4732 | 0x0e, 4733 | 0x08, 4734 | 0x08, 4735 | 0x0a, 4736 | 0x00, 4737 | 0x00, 4738 | 0x00, 4739 | 0x00, 4740 | 0x00, 4741 | 0x00, 4742 | 0x00, 4743 | 0x00, 4744 | 0x00, 4745 | 0x00, 4746 | 0x00, 4747 | 0x00, 4748 | 0x00, 4749 | 0x00, 4750 | 0x00, 4751 | 0x00, 4752 | 0x00, 4753 | 0x00, 4754 | 0x00, 4755 | 0x00, 4756 | 0x00, 4757 | 0x00, 4758 | 0x00, 4759 | 0x00, 4760 | 0x00, 4761 | 0x00, 4762 | 0x00, 4763 | 0x00, 4764 | 0x00, 4765 | 0x00, 4766 | 0x00, 4767 | 0x00, 4768 | 0x00, 4769 | 0x00, 4770 | 0x00, 4771 | 0x00, 4772 | 0x00, 4773 | 0x00, 4774 | 0x00, 4775 | 0x00, 4776 | 0x00, 4777 | 0x00, 4778 | 0x00, 4779 | 0x00, 4780 | 0x00, 4781 | 0x00, 4782 | 0x00, 4783 | 0x00, 4784 | 0x00, 4785 | 0x00, 4786 | 0x00, 4787 | 0x00, 4788 | 0x00, 4789 | 0x00, 4790 | 0x00, 4791 | 0x04, 4792 | 0x03, 4793 | 0x01, 4794 | 0x07, 4795 | 0x06, 4796 | 0x03, 4797 | 0x09, 4798 | 0x0a, 4799 | 0x09, 4800 | 0x0c, 4801 | 0x0d, 4802 | 0x0d, 4803 | 0x0e, 4804 | 0x0e, 4805 | 0x0e, 4806 | 0x0e, 4807 | 0x0e, 4808 | 0x0e, 4809 | 0x0c, 4810 | 0x0d, 4811 | 0x0e, 4812 | 0x08, 4813 | 0x0a, 4814 | 0x0c, 4815 | 0x06, 4816 | 0x06, 4817 | 0x08, 4818 | 0x02, 4819 | 0x00, 4820 | 0x00, 4821 | 0x00, 4822 | 0x00, 4823 | 0x00, 4824 | 0x00, 4825 | 0x00, 4826 | 0x00, 4827 | 0x00, 4828 | 0x00, 4829 | 0x00, 4830 | 0x00, 4831 | 0x00, 4832 | 0x00, 4833 | 0x00, 4834 | 0x00, 4835 | 0x00, 4836 | 0x00, 4837 | 0x00, 4838 | 0x00, 4839 | 0x00, 4840 | 0x00, 4841 | 0x00, 4842 | 0x00, 4843 | 0x00, 4844 | 0x00, 4845 | 0x00, 4846 | 0x00, 4847 | 0x00, 4848 | 0x00, 4849 | 0x00, 4850 | 0x00, 4851 | 0x00, 4852 | 0x00, 4853 | 0x00, 4854 | 0x00, 4855 | 0x00, 4856 | 0x00, 4857 | 0x00, 4858 | 0x00, 4859 | 0x00, 4860 | 0x00, 4861 | 0x00, 4862 | 0x00, 4863 | 0x00, 4864 | 0x00, 4865 | 0x00, 4866 | 0x00, 4867 | 0x00, 4868 | 0x00, 4869 | 0x00, 4870 | 0x00, 4871 | 0x00, 4872 | 0x00, 4873 | 0x01, 4874 | 0x03, 4875 | 0x00, 4876 | 0x00, 4877 | 0x02, 4878 | 0x00, 4879 | 0x03, 4880 | 0x01, 4881 | 0x05, 4882 | 0x09, 4883 | 0x07, 4884 | 0x0c, 4885 | 0x0d, 4886 | 0x0d, 4887 | 0x0e, 4888 | 0x0e, 4889 | 0x0e, 4890 | 0x0e, 4891 | 0x0d, 4892 | 0x0c, 4893 | 0x10, 4894 | 0x0e, 4895 | 0x0b, 4896 | 0x14, 4897 | 0x0e, 4898 | 0x0e, 4899 | 0x10, 4900 | 0x05, 4901 | 0x0a, 4902 | 0x00, 4903 | 0x00, 4904 | 0x00, 4905 | 0x00, 4906 | 0x00, 4907 | 0x00, 4908 | 0x00, 4909 | 0x00, 4910 | 0x00, 4911 | 0x00, 4912 | 0x00, 4913 | 0x00, 4914 | 0x00, 4915 | 0x00, 4916 | 0x00, 4917 | 0x00, 4918 | 0x00, 4919 | 0x00, 4920 | 0x00, 4921 | 0x00, 4922 | 0x00, 4923 | 0x00, 4924 | 0x00, 4925 | 0x00, 4926 | 0x00, 4927 | 0x00, 4928 | 0x00, 4929 | 0x00, 4930 | 0x00, 4931 | 0x00, 4932 | 0x00, 4933 | 0x00, 4934 | 0x00, 4935 | 0x00, 4936 | 0x00, 4937 | 0x00, 4938 | 0x00, 4939 | 0x00, 4940 | 0x00, 4941 | 0x00, 4942 | 0x00, 4943 | 0x00, 4944 | 0x00, 4945 | 0x00, 4946 | 0x00, 4947 | 0x00, 4948 | 0x00, 4949 | 0x00, 4950 | 0x00, 4951 | 0x00, 4952 | 0x01, 4953 | 0x01, 4954 | 0x01, 4955 | 0x08, 4956 | 0x08, 4957 | 0x06, 4958 | 0x0f, 4959 | 0x0f, 4960 | 0x0c, 4961 | 0x0f, 4962 | 0x0f, 4963 | 0x0e, 4964 | 0x0e, 4965 | 0x0e, 4966 | 0x0e, 4967 | 0x0e, 4968 | 0x0e, 4969 | 0x0e, 4970 | 0x0e, 4971 | 0x0e, 4972 | 0x0e, 4973 | 0x0a, 4974 | 0x0a, 4975 | 0x0b, 4976 | 0x01, 4977 | 0x01, 4978 | 0x04, 4979 | 0x00, 4980 | 0x00, 4981 | 0x00, 4982 | 0x00, 4983 | 0x00, 4984 | 0x00, 4985 | 0x00, 4986 | 0x00, 4987 | 0x00, 4988 | 0x00, 4989 | 0x00, 4990 | 0x00, 4991 | 0x00, 4992 | 0x00, 4993 | 0x00, 4994 | 0x00, 4995 | 0x00, 4996 | 0x00, 4997 | 0x00, 4998 | 0x00, 4999 | 0x00, 5000 | 0x00, 5001 | 0x00, 5002 | 0x00, 5003 | 0x00, 5004 | 0x00, 5005 | 0x00, 5006 | 0x00, 5007 | 0x00, 5008 | 0x00, 5009 | 0x00, 5010 | 0x00, 5011 | 0x00, 5012 | 0x00, 5013 | 0x00, 5014 | 0x00, 5015 | 0x00, 5016 | 0x00, 5017 | 0x00, 5018 | 0x00, 5019 | 0x00, 5020 | 0x00, 5021 | 0x00, 5022 | 0x00, 5023 | 0x00, 5024 | 0x00, 5025 | 0x00, 5026 | 0x00, 5027 | 0x00, 5028 | 0x00, 5029 | 0x00, 5030 | 0x00, 5031 | 0x02, 5032 | 0x01, 5033 | 0x00, 5034 | 0x08, 5035 | 0x06, 5036 | 0x03, 5037 | 0x08, 5038 | 0x08, 5039 | 0x07, 5040 | 0x0b, 5041 | 0x0d, 5042 | 0x0d, 5043 | 0x0e, 5044 | 0x0e, 5045 | 0x0e, 5046 | 0x0e, 5047 | 0x0e, 5048 | 0x0e, 5049 | 0x0c, 5050 | 0x0c, 5051 | 0x0e, 5052 | 0x08, 5053 | 0x0a, 5054 | 0x0c, 5055 | 0x07, 5056 | 0x07, 5057 | 0x09, 5058 | 0x05, 5059 | 0x03, 5060 | 0x03, 5061 | 0x00, 5062 | 0x00, 5063 | 0x00, 5064 | 0x00, 5065 | 0x00, 5066 | 0x00, 5067 | 0x00, 5068 | 0x00, 5069 | 0x00, 5070 | 0x00, 5071 | 0x00, 5072 | 0x00, 5073 | 0x00, 5074 | 0x00, 5075 | 0x00, 5076 | 0x00, 5077 | 0x00, 5078 | 0x00, 5079 | 0x00, 5080 | 0x00, 5081 | 0x00, 5082 | 0x00, 5083 | 0x00, 5084 | 0x00, 5085 | 0x00, 5086 | 0x00, 5087 | 0x00, 5088 | 0x00, 5089 | 0x00, 5090 | 0x00, 5091 | 0x00, 5092 | 0x00, 5093 | 0x00, 5094 | 0x00, 5095 | 0x00, 5096 | 0x00, 5097 | 0x00, 5098 | 0x00, 5099 | 0x00, 5100 | 0x00, 5101 | 0x00, 5102 | 0x00, 5103 | 0x00, 5104 | 0x00, 5105 | 0x00, 5106 | 0x00, 5107 | 0x00, 5108 | 0x00, 5109 | 0x00, 5110 | 0x00, 5111 | 0x00, 5112 | 0x00, 5113 | 0x00, 5114 | 0x01, 5115 | 0x00, 5116 | 0x01, 5117 | 0x05, 5118 | 0x00, 5119 | 0x00, 5120 | 0x00, 5121 | 0x01, 5122 | 0x08, 5123 | 0x05, 5124 | 0x0b, 5125 | 0x0d, 5126 | 0x0c, 5127 | 0x0e, 5128 | 0x0e, 5129 | 0x0e, 5130 | 0x0e, 5131 | 0x0d, 5132 | 0x0c, 5133 | 0x10, 5134 | 0x0e, 5135 | 0x0b, 5136 | 0x14, 5137 | 0x0f, 5138 | 0x0f, 5139 | 0x12, 5140 | 0x0a, 5141 | 0x0d, 5142 | 0x07, 5143 | 0x02, 5144 | 0x04, 5145 | 0x00, 5146 | 0x00, 5147 | 0x00, 5148 | 0x00, 5149 | 0x00, 5150 | 0x00, 5151 | 0x00, 5152 | 0x00, 5153 | 0x00, 5154 | 0x00, 5155 | 0x00, 5156 | 0x00, 5157 | 0x00, 5158 | 0x00, 5159 | 0x00, 5160 | 0x00, 5161 | 0x00, 5162 | 0x00, 5163 | 0x00, 5164 | 0x00, 5165 | 0x00, 5166 | 0x00, 5167 | 0x00, 5168 | 0x00, 5169 | 0x00, 5170 | 0x00, 5171 | 0x00, 5172 | 0x00, 5173 | 0x00, 5174 | 0x00, 5175 | 0x00, 5176 | 0x00, 5177 | 0x00, 5178 | 0x00, 5179 | 0x00, 5180 | 0x00, 5181 | 0x00, 5182 | 0x00, 5183 | 0x00, 5184 | 0x00, 5185 | 0x00, 5186 | 0x00, 5187 | 0x00, 5188 | 0x00, 5189 | 0x00, 5190 | 0x00, 5191 | 0x00, 5192 | 0x01, 5193 | 0x01, 5194 | 0x01, 5195 | 0x04, 5196 | 0x04, 5197 | 0x03, 5198 | 0x0f, 5199 | 0x0f, 5200 | 0x0c, 5201 | 0x0f, 5202 | 0x0f, 5203 | 0x0e, 5204 | 0x0e, 5205 | 0x0e, 5206 | 0x0e, 5207 | 0x0e, 5208 | 0x0e, 5209 | 0x0e, 5210 | 0x0e, 5211 | 0x0e, 5212 | 0x0e, 5213 | 0x0a, 5214 | 0x0a, 5215 | 0x0b, 5216 | 0x02, 5217 | 0x02, 5218 | 0x04, 5219 | 0x00, 5220 | 0x00, 5221 | 0x00, 5222 | 0x00, 5223 | 0x00, 5224 | 0x00, 5225 | 0x00, 5226 | 0x00, 5227 | 0x00, 5228 | 0x00, 5229 | 0x00, 5230 | 0x00, 5231 | 0x00, 5232 | 0x00, 5233 | 0x00, 5234 | 0x00, 5235 | 0x00, 5236 | 0x00, 5237 | 0x00, 5238 | 0x00, 5239 | 0x00, 5240 | 0x00, 5241 | 0x00, 5242 | 0x00, 5243 | 0x00, 5244 | 0x00, 5245 | 0x00, 5246 | 0x00, 5247 | 0x00, 5248 | 0x00, 5249 | 0x00, 5250 | 0x00, 5251 | 0x00, 5252 | 0x00, 5253 | 0x00, 5254 | 0x00, 5255 | 0x00, 5256 | 0x00, 5257 | 0x00, 5258 | 0x00, 5259 | 0x00, 5260 | 0x00, 5261 | 0x00, 5262 | 0x00, 5263 | 0x00, 5264 | 0x00, 5265 | 0x00, 5266 | 0x00, 5267 | 0x00, 5268 | 0x00, 5269 | 0x00, 5270 | 0x00, 5271 | 0x07, 5272 | 0x05, 5273 | 0x01, 5274 | 0x04, 5275 | 0x04, 5276 | 0x03, 5277 | 0x06, 5278 | 0x06, 5279 | 0x05, 5280 | 0x0b, 5281 | 0x0c, 5282 | 0x0c, 5283 | 0x0e, 5284 | 0x0e, 5285 | 0x0e, 5286 | 0x0e, 5287 | 0x0e, 5288 | 0x0e, 5289 | 0x0c, 5290 | 0x0c, 5291 | 0x0e, 5292 | 0x08, 5293 | 0x0a, 5294 | 0x0c, 5295 | 0x07, 5296 | 0x07, 5297 | 0x09, 5298 | 0x04, 5299 | 0x03, 5300 | 0x03, 5301 | 0x00, 5302 | 0x00, 5303 | 0x00, 5304 | 0x00, 5305 | 0x00, 5306 | 0x00, 5307 | 0x00, 5308 | 0x00, 5309 | 0x00, 5310 | 0x00, 5311 | 0x00, 5312 | 0x00, 5313 | 0x00, 5314 | 0x00, 5315 | 0x00, 5316 | 0x00, 5317 | 0x00, 5318 | 0x00, 5319 | 0x00, 5320 | 0x00, 5321 | 0x00, 5322 | 0x00, 5323 | 0x00, 5324 | 0x00, 5325 | 0x00, 5326 | 0x00, 5327 | 0x00, 5328 | 0x00, 5329 | 0x00, 5330 | 0x00, 5331 | 0x00, 5332 | 0x00, 5333 | 0x00, 5334 | 0x00, 5335 | 0x00, 5336 | 0x00, 5337 | 0x00, 5338 | 0x00, 5339 | 0x00, 5340 | 0x00, 5341 | 0x00, 5342 | 0x00, 5343 | 0x00, 5344 | 0x00, 5345 | 0x00, 5346 | 0x00, 5347 | 0x00, 5348 | 0x00, 5349 | 0x00, 5350 | 0x00, 5351 | 0x00, 5352 | 0x00, 5353 | 0x02, 5354 | 0x06, 5355 | 0x00, 5356 | 0x00, 5357 | 0x00, 5358 | 0x00, 5359 | 0x00, 5360 | 0x00, 5361 | 0x00, 5362 | 0x06, 5363 | 0x02, 5364 | 0x0b, 5365 | 0x0d, 5366 | 0x0c, 5367 | 0x0e, 5368 | 0x0e, 5369 | 0x0e, 5370 | 0x0e, 5371 | 0x0d, 5372 | 0x0c, 5373 | 0x10, 5374 | 0x0e, 5375 | 0x0b, 5376 | 0x14, 5377 | 0x0f, 5378 | 0x0f, 5379 | 0x11, 5380 | 0x0a, 5381 | 0x0d, 5382 | 0x07, 5383 | 0x02, 5384 | 0x04, 5385 | 0x00, 5386 | 0x00, 5387 | 0x00, 5388 | 0x00, 5389 | 0x00, 5390 | 0x00, 5391 | 0x00, 5392 | 0x00, 5393 | 0x00, 5394 | 0x00, 5395 | 0x00, 5396 | 0x00, 5397 | 0x00, 5398 | 0x00, 5399 | 0x00, 5400 | 0x00, 5401 | 0x00, 5402 | 0x00, 5403 | 0x00, 5404 | 0x00, 5405 | 0x00, 5406 | 0x00, 5407 | 0x00, 5408 | 0x00, 5409 | 0x00, 5410 | 0x00, 5411 | 0x00, 5412 | 0x00, 5413 | 0x00, 5414 | 0x00, 5415 | 0x00, 5416 | 0x00, 5417 | 0x00, 5418 | 0x00, 5419 | 0x00, 5420 | 0x00, 5421 | 0x00, 5422 | 0x00, 5423 | 0x00, 5424 | 0x00, 5425 | 0x00, 5426 | 0x00, 5427 | 0x00, 5428 | 0x00, 5429 | 0x00, 5430 | 0x00, 5431 | 0x00, 5432 | 0x01, 5433 | 0x01, 5434 | 0x00, 5435 | 0x0d, 5436 | 0x0d, 5437 | 0x09, 5438 | 0x0d, 5439 | 0x0d, 5440 | 0x0c, 5441 | 0x0f, 5442 | 0x0f, 5443 | 0x0e, 5444 | 0x0e, 5445 | 0x0e, 5446 | 0x0e, 5447 | 0x0e, 5448 | 0x0e, 5449 | 0x0e, 5450 | 0x0e, 5451 | 0x0e, 5452 | 0x0e, 5453 | 0x0a, 5454 | 0x0a, 5455 | 0x0b, 5456 | 0x02, 5457 | 0x02, 5458 | 0x04, 5459 | 0x00, 5460 | 0x00, 5461 | 0x00, 5462 | 0x00, 5463 | 0x00, 5464 | 0x00, 5465 | 0x00, 5466 | 0x00, 5467 | 0x00, 5468 | 0x00, 5469 | 0x00, 5470 | 0x00, 5471 | 0x00, 5472 | 0x00, 5473 | 0x00, 5474 | 0x00, 5475 | 0x00, 5476 | 0x00, 5477 | 0x00, 5478 | 0x00, 5479 | 0x00, 5480 | 0x00, 5481 | 0x00, 5482 | 0x00, 5483 | 0x00, 5484 | 0x00, 5485 | 0x00, 5486 | 0x00, 5487 | 0x00, 5488 | 0x00, 5489 | 0x00, 5490 | 0x00, 5491 | 0x00, 5492 | 0x00, 5493 | 0x00, 5494 | 0x00, 5495 | 0x00, 5496 | 0x00, 5497 | 0x00, 5498 | 0x00, 5499 | 0x00, 5500 | 0x00, 5501 | 0x00, 5502 | 0x00, 5503 | 0x00, 5504 | 0x00, 5505 | 0x00, 5506 | 0x00, 5507 | 0x00, 5508 | 0x02, 5509 | 0x01, 5510 | 0x00, 5511 | 0x05, 5512 | 0x04, 5513 | 0x01, 5514 | 0x04, 5515 | 0x04, 5516 | 0x03, 5517 | 0x09, 5518 | 0x0b, 5519 | 0x0a, 5520 | 0x0c, 5521 | 0x0d, 5522 | 0x0d, 5523 | 0x0e, 5524 | 0x0e, 5525 | 0x0e, 5526 | 0x0e, 5527 | 0x0e, 5528 | 0x0e, 5529 | 0x0e, 5530 | 0x0e, 5531 | 0x0e, 5532 | 0x09, 5533 | 0x0a, 5534 | 0x0d, 5535 | 0x05, 5536 | 0x06, 5537 | 0x09, 5538 | 0x04, 5539 | 0x03, 5540 | 0x03, 5541 | 0x01, 5542 | 0x00, 5543 | 0x00, 5544 | 0x00, 5545 | 0x00, 5546 | 0x00, 5547 | 0x00, 5548 | 0x00, 5549 | 0x00, 5550 | 0x00, 5551 | 0x00, 5552 | 0x00, 5553 | 0x00, 5554 | 0x00, 5555 | 0x00, 5556 | 0x00, 5557 | 0x00, 5558 | 0x00, 5559 | 0x00, 5560 | 0x00, 5561 | 0x00, 5562 | 0x00, 5563 | 0x00, 5564 | 0x00, 5565 | 0x00, 5566 | 0x00, 5567 | 0x00, 5568 | 0x00, 5569 | 0x00, 5570 | 0x00, 5571 | 0x00, 5572 | 0x00, 5573 | 0x00, 5574 | 0x00, 5575 | 0x00, 5576 | 0x00, 5577 | 0x00, 5578 | 0x00, 5579 | 0x00, 5580 | 0x00, 5581 | 0x00, 5582 | 0x00, 5583 | 0x00, 5584 | 0x00, 5585 | 0x00, 5586 | 0x00, 5587 | 0x00, 5588 | 0x00, 5589 | 0x00, 5590 | 0x01, 5591 | 0x02, 5592 | 0x00, 5593 | 0x00, 5594 | 0x03, 5595 | 0x00, 5596 | 0x00, 5597 | 0x00, 5598 | 0x00, 5599 | 0x03, 5600 | 0x00, 5601 | 0x06, 5602 | 0x0a, 5603 | 0x08, 5604 | 0x0b, 5605 | 0x0d, 5606 | 0x0c, 5607 | 0x0e, 5608 | 0x0e, 5609 | 0x0e, 5610 | 0x0e, 5611 | 0x0e, 5612 | 0x0e, 5613 | 0x0e, 5614 | 0x0c, 5615 | 0x09, 5616 | 0x12, 5617 | 0x0c, 5618 | 0x0b, 5619 | 0x11, 5620 | 0x0a, 5621 | 0x0d, 5622 | 0x07, 5623 | 0x02, 5624 | 0x04, 5625 | 0x00, 5626 | 0x00, 5627 | 0x00, 5628 | 0x00, 5629 | 0x00, 5630 | 0x00, 5631 | 0x00, 5632 | 0x00, 5633 | 0x00, 5634 | 0x00, 5635 | 0x00, 5636 | 0x00, 5637 | 0x00, 5638 | 0x00, 5639 | 0x00, 5640 | 0x00, 5641 | 0x00, 5642 | 0x00, 5643 | 0x00, 5644 | 0x00, 5645 | 0x00, 5646 | 0x00, 5647 | 0x00, 5648 | 0x00, 5649 | 0x00, 5650 | 0x00, 5651 | 0x00, 5652 | 0x00, 5653 | 0x00, 5654 | 0x00, 5655 | 0x00, 5656 | 0x00, 5657 | 0x00, 5658 | 0x00, 5659 | 0x00, 5660 | 0x00, 5661 | 0x00, 5662 | 0x00, 5663 | 0x00, 5664 | 0x00, 5665 | 0x00, 5666 | 0x00, 5667 | 0x00, 5668 | 0x00, 5669 | 0x00, 5670 | 0x00, 5671 | 0x00, 5672 | 0x04, 5673 | 0x04, 5674 | 0x03, 5675 | 0x0c, 5676 | 0x0c, 5677 | 0x09, 5678 | 0x0e, 5679 | 0x0e, 5680 | 0x0d, 5681 | 0x0f, 5682 | 0x0f, 5683 | 0x0e, 5684 | 0x0e, 5685 | 0x0e, 5686 | 0x0e, 5687 | 0x0e, 5688 | 0x0e, 5689 | 0x0e, 5690 | 0x0e, 5691 | 0x0e, 5692 | 0x0e, 5693 | 0x0e, 5694 | 0x0e, 5695 | 0x0e, 5696 | 0x05, 5697 | 0x05, 5698 | 0x07, 5699 | 0x00, 5700 | 0x00, 5701 | 0x00, 5702 | 0x00, 5703 | 0x00, 5704 | 0x00, 5705 | 0x00, 5706 | 0x00, 5707 | 0x00, 5708 | 0x00, 5709 | 0x00, 5710 | 0x00, 5711 | 0x00, 5712 | 0x00, 5713 | 0x00, 5714 | 0x00, 5715 | 0x00, 5716 | 0x00, 5717 | 0x00, 5718 | 0x00, 5719 | 0x00, 5720 | 0x00, 5721 | 0x00, 5722 | 0x00, 5723 | 0x00, 5724 | 0x00, 5725 | 0x00, 5726 | 0x00, 5727 | 0x00, 5728 | 0x00, 5729 | 0x00, 5730 | 0x00, 5731 | 0x00, 5732 | 0x00, 5733 | 0x00, 5734 | 0x00, 5735 | 0x00, 5736 | 0x00, 5737 | 0x00, 5738 | 0x00, 5739 | 0x00, 5740 | 0x00, 5741 | 0x00, 5742 | 0x00, 5743 | 0x00, 5744 | 0x00, 5745 | 0x00, 5746 | 0x00, 5747 | 0x00, 5748 | 0x00, 5749 | 0x00, 5750 | 0x00, 5751 | 0x00, 5752 | 0x00, 5753 | 0x00, 5754 | 0x07, 5755 | 0x06, 5756 | 0x03, 5757 | 0x0d, 5758 | 0x0d, 5759 | 0x0a, 5760 | 0x0c, 5761 | 0x0d, 5762 | 0x0d, 5763 | 0x0e, 5764 | 0x0e, 5765 | 0x0e, 5766 | 0x0c, 5767 | 0x0d, 5768 | 0x0e, 5769 | 0x0a, 5770 | 0x0b, 5771 | 0x0d, 5772 | 0x0d, 5773 | 0x0d, 5774 | 0x0d, 5775 | 0x07, 5776 | 0x09, 5777 | 0x0b, 5778 | 0x07, 5779 | 0x05, 5780 | 0x06, 5781 | 0x01, 5782 | 0x00, 5783 | 0x00, 5784 | 0x00, 5785 | 0x00, 5786 | 0x00, 5787 | 0x00, 5788 | 0x00, 5789 | 0x00, 5790 | 0x00, 5791 | 0x00, 5792 | 0x00, 5793 | 0x00, 5794 | 0x00, 5795 | 0x00, 5796 | 0x00, 5797 | 0x00, 5798 | 0x00, 5799 | 0x00, 5800 | 0x00, 5801 | 0x00, 5802 | 0x00, 5803 | 0x00, 5804 | 0x00, 5805 | 0x00, 5806 | 0x00, 5807 | 0x00, 5808 | 0x00, 5809 | 0x00, 5810 | 0x00, 5811 | 0x00, 5812 | 0x00, 5813 | 0x00, 5814 | 0x00, 5815 | 0x00, 5816 | 0x00, 5817 | 0x00, 5818 | 0x00, 5819 | 0x00, 5820 | 0x00, 5821 | 0x00, 5822 | 0x00, 5823 | 0x00, 5824 | 0x00, 5825 | 0x00, 5826 | 0x00, 5827 | 0x00, 5828 | 0x00, 5829 | 0x00, 5830 | 0x00, 5831 | 0x00, 5832 | 0x00, 5833 | 0x00, 5834 | 0x00, 5835 | 0x00, 5836 | 0x05, 5837 | 0x07, 5838 | 0x00, 5839 | 0x09, 5840 | 0x09, 5841 | 0x06, 5842 | 0x0a, 5843 | 0x09, 5844 | 0x0c, 5845 | 0x0d, 5846 | 0x0d, 5847 | 0x0e, 5848 | 0x0d, 5849 | 0x0c, 5850 | 0x0f, 5851 | 0x0e, 5852 | 0x0c, 5853 | 0x12, 5854 | 0x12, 5855 | 0x12, 5856 | 0x12, 5857 | 0x10, 5858 | 0x0d, 5859 | 0x16, 5860 | 0x0e, 5861 | 0x11, 5862 | 0x0b, 5863 | 0x04, 5864 | 0x07, 5865 | 0x00, 5866 | 0x00, 5867 | 0x00, 5868 | 0x00, 5869 | 0x00, 5870 | 0x00, 5871 | 0x00, 5872 | 0x00, 5873 | 0x00, 5874 | 0x00, 5875 | 0x00, 5876 | 0x00, 5877 | 0x00, 5878 | 0x00, 5879 | 0x00, 5880 | 0x00, 5881 | 0x00, 5882 | 0x00, 5883 | 0x00, 5884 | 0x00, 5885 | 0x00, 5886 | 0x00, 5887 | 0x00, 5888 | 0x00, 5889 | 0x00, 5890 | 0x00, 5891 | 0x00, 5892 | 0x00, 5893 | 0x00, 5894 | 0x00, 5895 | 0x00, 5896 | 0x00, 5897 | 0x00, 5898 | 0x00, 5899 | 0x00, 5900 | 0x00, 5901 | 0x00, 5902 | 0x00, 5903 | 0x00, 5904 | 0x00, 5905 | 0x00, 5906 | 0x00, 5907 | 0x00, 5908 | 0x00, 5909 | 0x00, 5910 | 0x00, 5911 | 0x00, 5912 | 0x00, 5913 | 0x00, 5914 | 0x00, 5915 | 0x00, 5916 | 0x00, 5917 | 0x00, 5918 | 0x0a, 5919 | 0x0a, 5920 | 0x07, 5921 | 0x11, 5922 | 0x11, 5923 | 0x0e, 5924 | 0x0e, 5925 | 0x0e, 5926 | 0x0e, 5927 | 0x0e, 5928 | 0x0e, 5929 | 0x0e, 5930 | 0x0b, 5931 | 0x0b, 5932 | 0x0c, 5933 | 0x05, 5934 | 0x05, 5935 | 0x07, 5936 | 0x07, 5937 | 0x07, 5938 | 0x07, 5939 | 0x00, 5940 | 0x00, 5941 | 0x00, 5942 | 0x00, 5943 | 0x00, 5944 | 0x00, 5945 | 0x00, 5946 | 0x00, 5947 | 0x00, 5948 | 0x00, 5949 | 0x00, 5950 | 0x00, 5951 | 0x00, 5952 | 0x00, 5953 | 0x00, 5954 | 0x00, 5955 | 0x00, 5956 | 0x00, 5957 | 0x00, 5958 | 0x00, 5959 | 0x00, 5960 | 0x00, 5961 | 0x00, 5962 | 0x00, 5963 | 0x00, 5964 | 0x00, 5965 | 0x00, 5966 | 0x00, 5967 | 0x00, 5968 | 0x00, 5969 | 0x00, 5970 | 0x00, 5971 | 0x00, 5972 | 0x00, 5973 | 0x00, 5974 | 0x00, 5975 | 0x00, 5976 | 0x00, 5977 | 0x00, 5978 | 0x00, 5979 | 0x00, 5980 | 0x00, 5981 | 0x00, 5982 | 0x00, 5983 | 0x00, 5984 | 0x00, 5985 | 0x00, 5986 | 0x00, 5987 | 0x00, 5988 | 0x00, 5989 | 0x00, 5990 | 0x00, 5991 | 0x00, 5992 | 0x00, 5993 | 0x00, 5994 | 0x00, 5995 | 0x00, 5996 | 0x00, 5997 | 0x00, 5998 | 0x00, 5999 | 0x00, 6000 | 0x04, 6001 | 0x06, 6002 | 0x06, 6003 | 0x0a, 6004 | 0x0c, 6005 | 0x0b, 6006 | 0x0b, 6007 | 0x0b, 6008 | 0x0b, 6009 | 0x0b, 6010 | 0x0b, 6011 | 0x0b, 6012 | 0x0a, 6013 | 0x09, 6014 | 0x0a, 6015 | 0x07, 6016 | 0x06, 6017 | 0x06, 6018 | 0x06, 6019 | 0x06, 6020 | 0x06, 6021 | 0x02, 6022 | 0x00, 6023 | 0x00, 6024 | 0x00, 6025 | 0x00, 6026 | 0x00, 6027 | 0x00, 6028 | 0x00, 6029 | 0x00, 6030 | 0x00, 6031 | 0x00, 6032 | 0x00, 6033 | 0x00, 6034 | 0x00, 6035 | 0x00, 6036 | 0x00, 6037 | 0x00, 6038 | 0x00, 6039 | 0x00, 6040 | 0x00, 6041 | 0x00, 6042 | 0x00, 6043 | 0x00, 6044 | 0x00, 6045 | 0x00, 6046 | 0x00, 6047 | 0x00, 6048 | 0x00, 6049 | 0x00, 6050 | 0x00, 6051 | 0x00, 6052 | 0x00, 6053 | 0x00, 6054 | 0x00, 6055 | 0x00, 6056 | 0x00, 6057 | 0x00, 6058 | 0x00, 6059 | 0x00, 6060 | 0x00, 6061 | 0x00, 6062 | 0x00, 6063 | 0x00, 6064 | 0x00, 6065 | 0x00, 6066 | 0x00, 6067 | 0x00, 6068 | 0x00, 6069 | 0x00, 6070 | 0x00, 6071 | 0x00, 6072 | 0x00, 6073 | 0x00, 6074 | 0x00, 6075 | 0x00, 6076 | 0x00, 6077 | 0x00, 6078 | 0x00, 6079 | 0x00, 6080 | 0x00, 6081 | 0x00, 6082 | 0x08, 6083 | 0x04, 6084 | 0x0c, 6085 | 0x13, 6086 | 0x10, 6087 | 0x17, 6088 | 0x17, 6089 | 0x17, 6090 | 0x17, 6091 | 0x17, 6092 | 0x17, 6093 | 0x17, 6094 | 0x14, 6095 | 0x15, 6096 | 0x13, 6097 | 0x0e, 6098 | 0x10, 6099 | 0x0b, 6100 | 0x0b, 6101 | 0x0b, 6102 | 0x0b, 6103 | 0x04, 6104 | 0x07, 6105 | 0x01, 6106 | 0x00, 6107 | 0x00, 6108 | 0x00, 6109 | 0x00, 6110 | 0x00, 6111 | 0x00, 6112 | 0x00, 6113 | 0x00, 6114 | 0x00, 6115 | 0x00, 6116 | 0x00, 6117 | 0x00, 6118 | 0x00, 6119 | 0x00, 6120 | 0x00, 6121 | 0x00, 6122 | 0x00, 6123 | 0x00, 6124 | 0x00, 6125 | 0x00, 6126 | 0x00, 6127 | 0x00, 6128 | 0x00, 6129 | 0x00, 6130 | 0x00, 6131 | 0x00, 6132 | 0x00, 6133 | 0x00, 6134 | 0x00, 6135 | 0x00, 6136 | 0x00, 6137 | 0x00, 6138 | 0x00, 6139 | 0x00, 6140 | 0x00, 6141 | 0x00, 6142 | 0x00, 6143 | 0x00, 6144 | 0x00, 6145 | 0x00, 6146 | 0x00, 6147 | 0x00, 6148 | 0x00, 6149 | 0x00, 6150 | 0x00, 6151 | 0x00, 6152 | 0x00, 6153 | 0x00, 6154 | 0x00, 6155 | 0x00, 6156 | 0x00, 6157 | 0x00, 6158 | 0x00, 6159 | 0x00, 6160 | 0x00, 6161 | 0x00, 6162 | 0x00, 6163 | 0x00, 6164 | 0x00, 6165 | 0x00, 6166 | 0x00, 6167 | 0x00, 6168 | 0x00, 6169 | 0x00, 6170 | 0x00, 6171 | 0x00, 6172 | 0x00, 6173 | 0x00, 6174 | 0x00, 6175 | 0x00, 6176 | 0x00, 6177 | 0x00, 6178 | 0x00, 6179 | 0x00, 6180 | 0x00, 6181 | 0x00, 6182 | 0x00, 6183 | 0x00, 6184 | 0x00, 6185 | 0x00, 6186 | 0x00, 6187 | 0x00, 6188 | 0x00, 6189 | 0x00, 6190 | 0x00, 6191 | 0x00, 6192 | 0x00, 6193 | 0x00, 6194 | 0x00, 6195 | 0x00, 6196 | 0x00, 6197 | 0x00, 6198 | 0x00, 6199 | 0x00, 6200 | 0x00, 6201 | 0x00, 6202 | 0x00, 6203 | 0x00, 6204 | 0x00, 6205 | 0x00, 6206 | 0x00, 6207 | 0x00, 6208 | 0x00, 6209 | 0x00, 6210 | 0x00, 6211 | 0x00, 6212 | 0x00, 6213 | 0x00, 6214 | 0x00, 6215 | 0x00, 6216 | 0x00, 6217 | 0x00, 6218 | 0x00, 6219 | 0x00, 6220 | 0x00, 6221 | 0x00, 6222 | 0x00, 6223 | 0x00, 6224 | 0x00, 6225 | 0x00, 6226 | 0x00, 6227 | 0x00, 6228 | 0x00, 6229 | 0x00, 6230 | 0x00, 6231 | 0x00, 6232 | 0x00, 6233 | 0x00, 6234 | 0x00, 6235 | 0x00, 6236 | 0x00, 6237 | 0x00, 6238 | 0x00, 6239 | 0x00, 6240 | 0x00, 6241 | 0x00, 6242 | 0x00, 6243 | 0x00, 6244 | 0x00, 6245 | 0x00, 6246 | 0x00, 6247 | 0x00, 6248 | 0x00, 6249 | 0x00, 6250 | 0x00, 6251 | 0x00, 6252 | 0x00, 6253 | 0x00, 6254 | 0x00, 6255 | 0x00, 6256 | 0x00, 6257 | 0x00, 6258 | 0x00, 6259 | 0x00, 6260 | 0x00, 6261 | 0x00, 6262 | 0x00, 6263 | 0x00, 6264 | 0x00, 6265 | 0x00, 6266 | 0x00, 6267 | 0x00, 6268 | 0x00, 6269 | 0x00, 6270 | 0x00, 6271 | 0x00, 6272 | 0x00, 6273 | 0x00, 6274 | 0x00, 6275 | 0x00, 6276 | 0x00, 6277 | 0x00, 6278 | 0x00, 6279 | 0x00, 6280 | 0x00, 6281 | 0x00, 6282 | 0x00, 6283 | 0x00, 6284 | 0x00, 6285 | 0x00, 6286 | 0x00, 6287 | 0x00, 6288 | 0x00, 6289 | 0x00, 6290 | 0x00, 6291 | 0x00, 6292 | 0x00, 6293 | 0x00, 6294 | 0x00, 6295 | 0x00, 6296 | 0x00, 6297 | 0x00, 6298 | 0x00, 6299 | 0x00, 6300 | 0x00, 6301 | 0x00, 6302 | 0x00, 6303 | 0x00, 6304 | 0x00, 6305 | 0x00, 6306 | 0x00, 6307 | 0x00, 6308 | 0x00, 6309 | 0x00, 6310 | 0x00, 6311 | 0x00, 6312 | 0x00, 6313 | 0x00, 6314 | 0x00, 6315 | 0x00, 6316 | 0x00, 6317 | 0x00, 6318 | 0x00, 6319 | 0x00, 6320 | 0x00, 6321 | 0x00, 6322 | 0x00, 6323 | 0x00, 6324 | 0x00, 6325 | 0x00, 6326 | 0x00, 6327 | 0x00, 6328 | 0x00, 6329 | 0x00, 6330 | 0x00, 6331 | 0x00, 6332 | 0x00, 6333 | 0x00, 6334 | 0x00, 6335 | 0x00, 6336 | 0x00, 6337 | 0x00, 6338 | 0x00, 6339 | 0x00, 6340 | 0x00, 6341 | 0x00, 6342 | 0x00, 6343 | 0x00, 6344 | 0x00, 6345 | 0x00, 6346 | 0x00, 6347 | 0x00, 6348 | 0x00, 6349 | 0x00, 6350 | 0x00, 6351 | 0x00, 6352 | 0x00, 6353 | 0x00, 6354 | 0x00, 6355 | 0x00, 6356 | 0x00, 6357 | 0x00, 6358 | 0x00, 6359 | 0x00, 6360 | 0x00, 6361 | 0x00, 6362 | 0x00, 6363 | 0x00, 6364 | 0x00, 6365 | 0x00, 6366 | 0x00, 6367 | 0x00, 6368 | 0x00, 6369 | 0x00, 6370 | 0x00, 6371 | 0x00, 6372 | 0x00, 6373 | 0x00, 6374 | 0x00, 6375 | 0x00, 6376 | 0x00, 6377 | 0x00, 6378 | 0x00, 6379 | 0x00, 6380 | 0x00, 6381 | 0x00, 6382 | 0x00, 6383 | 0x00, 6384 | 0x00, 6385 | 0x00, 6386 | 0x00, 6387 | 0x00, 6388 | 0x00, 6389 | 0x00, 6390 | 0x00, 6391 | 0x00, 6392 | 0x00, 6393 | 0x00, 6394 | 0x00, 6395 | 0x00, 6396 | 0x00, 6397 | 0x00, 6398 | 0x00, 6399 | 0x00, 6400 | 0x00, 6401 | 0x00, 6402 | 0x00, 6403 | 0x00, 6404 | 0x00, 6405 | 0x00, 6406 | 0x00, 6407 | 0x00, 6408 | 0x00, 6409 | 0x00, 6410 | 0x00, 6411 | 0x00, 6412 | 0x00, 6413 | 0x00, 6414 | 0x00, 6415 | 0x00, 6416 | 0x00, 6417 | 0x00, 6418 | 0x00, 6419 | 0x00, 6420 | 0x00, 6421 | 0x00, 6422 | 0x00, 6423 | 0x00, 6424 | 0x00, 6425 | 0x00, 6426 | 0x00, 6427 | 0x00, 6428 | 0x00, 6429 | 0x00, 6430 | 0x00, 6431 | 0x00, 6432 | 0x00, 6433 | 0x00, 6434 | 0x00, 6435 | 0x00, 6436 | 0x00, 6437 | 0x00, 6438 | 0x00, 6439 | 0x00, 6440 | 0x00, 6441 | 0x00, 6442 | 0x00, 6443 | 0x00, 6444 | 0x00, 6445 | 0x00, 6446 | 0x00, 6447 | 0x00, 6448 | 0x00, 6449 | 0x00, 6450 | 0x00, 6451 | 0x00, 6452 | 0x00, 6453 | 0x00, 6454 | 0x00, 6455 | 0x00, 6456 | 0x00, 6457 | 0x00, 6458 | 0x00, 6459 | 0x00, 6460 | 0x00, 6461 | 0x00, 6462 | 0x00, 6463 | 0x00, 6464 | 0x00, 6465 | 0x00, 6466 | 0x00, 6467 | 0x00, 6468 | 0x00, 6469 | 0x00, 6470 | 0x00, 6471 | 0x00, 6472 | 0x00, 6473 | 0x00, 6474 | 0x00, 6475 | 0x00, 6476 | 0x00, 6477 | 0x00, 6478 | 0x00, 6479 | 0x00, 6480 | 0x00, 6481 | 0x00, 6482 | 0x00, 6483 | 0x00, 6484 | 0x00, 6485 | 0x00, 6486 | 0x00, 6487 | 0x00, 6488 | 0x00, 6489 | 0x00, 6490 | 0x00, 6491 | 0x00, 6492 | 0x00, 6493 | 0x00, 6494 | 0x00, 6495 | 0x00, 6496 | 0x00, 6497 | 0x00, 6498 | 0x00, 6499 | 0x00, 6500 | 0x00, 6501 | 0x00, 6502 | 0x00, 6503 | 0x00, 6504 | 0x00, 6505 | 0x00, 6506 | 0x00, 6507 | 0x00, 6508 | 0x00, 6509 | 0x00, 6510 | 0x00, 6511 | 0x00, 6512 | 0x00, 6513 | 0x00, 6514 | 0x00, 6515 | 0x00, 6516 | 0x00, 6517 | 0x00, 6518 | 0x00, 6519 | 0x00, 6520 | 0x00, 6521 | 0x00, 6522 | 0x00, 6523 | 0x00, 6524 | 0x00, 6525 | 0x00, 6526 | 0x00, 6527 | 0x00, 6528 | 0x00, 6529 | 0x00, 6530 | 0x00, 6531 | 0x00, 6532 | 0x00, 6533 | 0x00, 6534 | 0x00, 6535 | 0x00, 6536 | 0x00, 6537 | 0x00, 6538 | 0x00, 6539 | 0x00, 6540 | 0x00, 6541 | 0x00, 6542 | 0x00, 6543 | 0x00, 6544 | 0x00, 6545 | 0x00, 6546 | 0x00, 6547 | 0x00, 6548 | 0x00, 6549 | 0x00, 6550 | 0x00, 6551 | 0x00, 6552 | 0x00, 6553 | 0x00, 6554 | 0x00, 6555 | 0x00, 6556 | 0x00, 6557 | 0x00, 6558 | 0x00, 6559 | 0x00, 6560 | 0x00, 6561 | 0x00, 6562 | 0x00, 6563 | 0x00, 6564 | 0x00, 6565 | 0x00, 6566 | 0x00, 6567 | 0x00, 6568 | 0x00, 6569 | 0x00, 6570 | 0x00, 6571 | 0x00, 6572 | 0x00, 6573 | 0x00, 6574 | 0x00, 6575 | 0x00, 6576 | 0x00, 6577 | 0x00, 6578 | 0x00, 6579 | 0x00, 6580 | 0x00, 6581 | 0x00, 6582 | 0x00, 6583 | 0x00, 6584 | 0x00, 6585 | 0x00, 6586 | 0x00, 6587 | 0x00, 6588 | 0x00, 6589 | 0x00, 6590 | 0x00, 6591 | 0x00, 6592 | 0x00, 6593 | 0x00, 6594 | 0x00, 6595 | 0x00, 6596 | 0x00, 6597 | 0x00, 6598 | 0x00, 6599 | 0x00, 6600 | 0x00, 6601 | 0x00, 6602 | 0x00, 6603 | 0x00, 6604 | 0x00, 6605 | 0x00, 6606 | 0x00, 6607 | 0x00, 6608 | 0x00, 6609 | 0x00, 6610 | 0x00, 6611 | 0x00, 6612 | 0x00, 6613 | 0x00, 6614 | 0x00, 6615 | 0x00, 6616 | 0x00, 6617 | 0x00, 6618 | 0x00, 6619 | 0x00, 6620 | 0x00, 6621 | 0x00, 6622 | 0x00, 6623 | 0x00, 6624 | 0x00, 6625 | 0x00, 6626 | 0x00, 6627 | 0x00, 6628 | 0x00, 6629 | 0x00, 6630 | 0x00, 6631 | 0x00, 6632 | 0x00, 6633 | 0x00, 6634 | 0x00, 6635 | 0x00, 6636 | 0x00, 6637 | 0x00, 6638 | 0x00, 6639 | 0x00, 6640 | 0x00, 6641 | 0x00, 6642 | 0x00, 6643 | 0x00, 6644 | 0x00, 6645 | 0x00, 6646 | 0x00, 6647 | 0x00, 6648 | 0x00, 6649 | 0x00, 6650 | 0x00, 6651 | 0x00, 6652 | 0x00, 6653 | 0x00, 6654 | 0x00, 6655 | 0x00, 6656 | 0x00, 6657 | 0x00, 6658 | 0x00, 6659 | 0x00, 6660 | 0x00, 6661 | 0x00, 6662 | 0x00, 6663 | 0x00, 6664 | 0x00, 6665 | 0x00, 6666 | 0x00, 6667 | 0x00, 6668 | 0x00, 6669 | 0x00, 6670 | 0x00, 6671 | 0x00, 6672 | 0x00, 6673 | 0x00, 6674 | 0x00, 6675 | 0x00, 6676 | 0x00, 6677 | 0x00, 6678 | 0x00, 6679 | 0x00, 6680 | 0x00, 6681 | 0x00, 6682 | 0x00, 6683 | 0x00, 6684 | 0x00, 6685 | 0x00, 6686 | 0x00, 6687 | 0x00, 6688 | 0x00, 6689 | 0x00, 6690 | 0x00, 6691 | 0x00, 6692 | 0x00, 6693 | 0x00, 6694 | 0x00, 6695 | 0x00, 6696 | 0x00, 6697 | 0x00, 6698 | 0x00, 6699 | 0x00, 6700 | 0x00, 6701 | 0x00, 6702 | 0x00, 6703 | 0x00, 6704 | 0x00, 6705 | 0x00, 6706 | 0x00, 6707 | 0x00, 6708 | 0x00, 6709 | 0x00, 6710 | 0x00, 6711 | 0x00, 6712 | 0x00, 6713 | 0x00, 6714 | 0x00, 6715 | 0x00, 6716 | 0x00, 6717 | 0x00, 6718 | 0x00, 6719 | 0x00, 6720 | 0x00, 6721 | 0x00, 6722 | 0x00, 6723 | 0x00, 6724 | 0x00, 6725 | -------------------------------------------------------------------------------- /convolution_data/conv_weight: -------------------------------------------------------------------------------- 1 | 0x2e, 2 | 0xec, 3 | 0x32, 4 | 0xd1, 5 | 0x1f, 6 | 0xeb, 7 | 0xef, 8 | 0xf5, 9 | 0x25 10 | -------------------------------------------------------------------------------- /convolution_data/max_pooling_activation_fixed: -------------------------------------------------------------------------------- 1 | 0x00, 2 | 0x00, 3 | 0x00, 4 | 0x00, 5 | 0x00, 6 | 0x00, 7 | 0x00, 8 | 0x00, 9 | 0x00, 10 | 0x00, 11 | 0x00, 12 | 0x00, 13 | 0x00, 14 | 0x00, 15 | 0x00, 16 | 0x00, 17 | 0x00, 18 | 0x00, 19 | 0x00, 20 | 0x00, 21 | 0x00, 22 | 0x00, 23 | 0x00, 24 | 0x00, 25 | 0x00, 26 | 0x00, 27 | 0x00, 28 | 0x00, 29 | 0x00, 30 | 0x00, 31 | 0x00, 32 | 0x00, 33 | 0x00, 34 | 0x00, 35 | 0x00, 36 | 0x00, 37 | 0x00, 38 | 0x00, 39 | 0x00, 40 | 0x00, 41 | 0x00, 42 | 0x00, 43 | 0x00, 44 | 0x00, 45 | 0x00, 46 | 0x00, 47 | 0x00, 48 | 0x00, 49 | 0x00, 50 | 0x00, 51 | 0x00, 52 | 0x00, 53 | 0x00, 54 | 0x00, 55 | 0x00, 56 | 0x00, 57 | 0x00, 58 | 0x00, 59 | 0x00, 60 | 0x00, 61 | 0x00, 62 | 0x00, 63 | 0x00, 64 | 0x00, 65 | 0x00, 66 | 0x00, 67 | 0x00, 68 | 0x00, 69 | 0x00, 70 | 0x00, 71 | 0x00, 72 | 0x00, 73 | 0x00, 74 | 0x00, 75 | 0x00, 76 | 0x00, 77 | 0x00, 78 | 0x00, 79 | 0x00, 80 | 0x00, 81 | 0x00, 82 | 0x00, 83 | 0x00, 84 | 0x00, 85 | 0x00, 86 | 0x00, 87 | 0x00, 88 | 0x00, 89 | 0x00, 90 | 0x00, 91 | 0x00, 92 | 0x00, 93 | 0x00, 94 | 0x00, 95 | 0x00, 96 | 0x00, 97 | 0x00, 98 | 0x00, 99 | 0x00, 100 | 0x00, 101 | 0x00, 102 | 0x00, 103 | 0x00, 104 | 0x00, 105 | 0x00, 106 | 0x00, 107 | 0x00, 108 | 0x00, 109 | 0x00, 110 | 0x00, 111 | 0x00, 112 | 0x00, 113 | 0x00, 114 | 0x00, 115 | 0x00, 116 | 0x00, 117 | 0x00, 118 | 0x00, 119 | 0x00, 120 | 0x00, 121 | 0x00, 122 | 0x00, 123 | 0x00, 124 | 0x00, 125 | 0x00, 126 | 0x00, 127 | 0x00, 128 | 0x00, 129 | 0x00, 130 | 0x00, 131 | 0x00, 132 | 0x00, 133 | 0x00, 134 | 0x00, 135 | 0x00, 136 | 0x00, 137 | 0x00, 138 | 0x00, 139 | 0x00, 140 | 0x00, 141 | 0x00, 142 | 0x00, 143 | 0x00, 144 | 0x00, 145 | 0x00, 146 | 0x00, 147 | 0x00, 148 | 0x00, 149 | 0x00, 150 | 0x00, 151 | 0x00, 152 | 0x00, 153 | 0x00, 154 | 0x00, 155 | 0x00, 156 | 0x00, 157 | 0x00, 158 | 0x00, 159 | 0x00, 160 | 0x00, 161 | 0x00, 162 | 0x00, 163 | 0x00, 164 | 0x00, 165 | 0x00, 166 | 0x00, 167 | 0x00, 168 | 0x00, 169 | 0x00, 170 | 0x00, 171 | 0x00, 172 | 0x00, 173 | 0x00, 174 | 0x00, 175 | 0x00, 176 | 0x00, 177 | 0x00, 178 | 0x00, 179 | 0x00, 180 | 0x00, 181 | 0x00, 182 | 0x00, 183 | 0x00, 184 | 0x00, 185 | 0x00, 186 | 0x00, 187 | 0x00, 188 | 0x00, 189 | 0x00, 190 | 0x00, 191 | 0x00, 192 | 0x00, 193 | 0x00, 194 | 0x00, 195 | 0x00, 196 | 0x00, 197 | 0x00, 198 | 0x00, 199 | 0x00, 200 | 0x00, 201 | 0x00, 202 | 0x00, 203 | 0x00, 204 | 0x00, 205 | 0x00, 206 | 0x00, 207 | 0x00, 208 | 0x00, 209 | 0x00, 210 | 0x00, 211 | 0x00, 212 | 0x00, 213 | 0x00, 214 | 0x00, 215 | 0x00, 216 | 0x00, 217 | 0x00, 218 | 0x00, 219 | 0x00, 220 | 0x00, 221 | 0x00, 222 | 0x00, 223 | 0x00, 224 | 0x00, 225 | 0x00, 226 | 0x00, 227 | 0x00, 228 | 0x00, 229 | 0x00, 230 | 0x00, 231 | 0x00, 232 | 0x00, 233 | 0x00, 234 | 0x00, 235 | 0x00, 236 | 0x00, 237 | 0x00, 238 | 0x00, 239 | 0x00, 240 | 0x00, 241 | 0x00, 242 | 0x00, 243 | 0x00, 244 | 0x00, 245 | 0x00, 246 | 0x00, 247 | 0x00, 248 | 0x00, 249 | 0x00, 250 | 0x00, 251 | 0x00, 252 | 0x00, 253 | 0x00, 254 | 0x00, 255 | 0x00, 256 | 0x00, 257 | 0x00, 258 | 0x00, 259 | 0x00, 260 | 0x00, 261 | 0x00, 262 | 0x00, 263 | 0x00, 264 | 0x00, 265 | 0x00, 266 | 0x00, 267 | 0x00, 268 | 0x00, 269 | 0x00, 270 | 0x00, 271 | 0x05, 272 | 0x03, 273 | 0x01, 274 | 0x03, 275 | 0x02, 276 | 0x00, 277 | 0x06, 278 | 0x04, 279 | 0x05, 280 | 0x02, 281 | 0x02, 282 | 0x00, 283 | 0x01, 284 | 0x00, 285 | 0x00, 286 | 0x00, 287 | 0x00, 288 | 0x00, 289 | 0x00, 290 | 0x00, 291 | 0x00, 292 | 0x00, 293 | 0x00, 294 | 0x00, 295 | 0x00, 296 | 0x00, 297 | 0x00, 298 | 0x00, 299 | 0x00, 300 | 0x00, 301 | 0x00, 302 | 0x00, 303 | 0x00, 304 | 0x00, 305 | 0x00, 306 | 0x00, 307 | 0x00, 308 | 0x01, 309 | 0x00, 310 | 0x00, 311 | 0x00, 312 | 0x09, 313 | 0x09, 314 | 0x08, 315 | 0x0a, 316 | 0x0a, 317 | 0x00, 318 | 0x0c, 319 | 0x0c, 320 | 0x10, 321 | 0x0e, 322 | 0x0e, 323 | 0x04, 324 | 0x07, 325 | 0x00, 326 | 0x00, 327 | 0x00, 328 | 0x00, 329 | 0x00, 330 | 0x00, 331 | 0x00, 332 | 0x00, 333 | 0x00, 334 | 0x00, 335 | 0x00, 336 | 0x00, 337 | 0x00, 338 | 0x00, 339 | 0x00, 340 | 0x00, 341 | 0x01, 342 | 0x01, 343 | 0x00, 344 | 0x02, 345 | 0x02, 346 | 0x03, 347 | 0x02, 348 | 0x02, 349 | 0x06, 350 | 0x03, 351 | 0x03, 352 | 0x03, 353 | 0x07, 354 | 0x08, 355 | 0x09, 356 | 0x09, 357 | 0x0a, 358 | 0x02, 359 | 0x0b, 360 | 0x0c, 361 | 0x0e, 362 | 0x0f, 363 | 0x10, 364 | 0x06, 365 | 0x09, 366 | 0x01, 367 | 0x00, 368 | 0x00, 369 | 0x00, 370 | 0x00, 371 | 0x00, 372 | 0x00, 373 | 0x00, 374 | 0x00, 375 | 0x00, 376 | 0x00, 377 | 0x00, 378 | 0x00, 379 | 0x00, 380 | 0x00, 381 | 0x02, 382 | 0x0a, 383 | 0x07, 384 | 0x04, 385 | 0x06, 386 | 0x07, 387 | 0x0a, 388 | 0x0a, 389 | 0x0a, 390 | 0x10, 391 | 0x0e, 392 | 0x0e, 393 | 0x0e, 394 | 0x0e, 395 | 0x0e, 396 | 0x0e, 397 | 0x0e, 398 | 0x0d, 399 | 0x09, 400 | 0x10, 401 | 0x10, 402 | 0x0d, 403 | 0x0d, 404 | 0x0b, 405 | 0x03, 406 | 0x03, 407 | 0x00, 408 | 0x00, 409 | 0x00, 410 | 0x00, 411 | 0x00, 412 | 0x00, 413 | 0x00, 414 | 0x00, 415 | 0x00, 416 | 0x00, 417 | 0x00, 418 | 0x00, 419 | 0x00, 420 | 0x00, 421 | 0x00, 422 | 0x03, 423 | 0x12, 424 | 0x12, 425 | 0x0e, 426 | 0x0e, 427 | 0x0e, 428 | 0x0e, 429 | 0x0e, 430 | 0x0e, 431 | 0x0e, 432 | 0x0e, 433 | 0x0e, 434 | 0x0e, 435 | 0x0e, 436 | 0x0e, 437 | 0x0e, 438 | 0x0e, 439 | 0x11, 440 | 0x0f, 441 | 0x11, 442 | 0x14, 443 | 0x13, 444 | 0x14, 445 | 0x11, 446 | 0x0a, 447 | 0x05, 448 | 0x03, 449 | 0x00, 450 | 0x00, 451 | 0x00, 452 | 0x00, 453 | 0x00, 454 | 0x00, 455 | 0x00, 456 | 0x00, 457 | 0x00, 458 | 0x00, 459 | 0x00, 460 | 0x00, 461 | 0x00, 462 | 0x00, 463 | 0x02, 464 | 0x13, 465 | 0x13, 466 | 0x10, 467 | 0x0e, 468 | 0x0e, 469 | 0x0e, 470 | 0x0e, 471 | 0x0e, 472 | 0x0e, 473 | 0x0e, 474 | 0x10, 475 | 0x10, 476 | 0x12, 477 | 0x13, 478 | 0x0e, 479 | 0x0f, 480 | 0x08, 481 | 0x07, 482 | 0x07, 483 | 0x07, 484 | 0x06, 485 | 0x04, 486 | 0x04, 487 | 0x01, 488 | 0x00, 489 | 0x00, 490 | 0x00, 491 | 0x00, 492 | 0x00, 493 | 0x00, 494 | 0x00, 495 | 0x00, 496 | 0x00, 497 | 0x00, 498 | 0x00, 499 | 0x00, 500 | 0x00, 501 | 0x00, 502 | 0x00, 503 | 0x00, 504 | 0x01, 505 | 0x11, 506 | 0x11, 507 | 0x0f, 508 | 0x0e, 509 | 0x0e, 510 | 0x13, 511 | 0x0e, 512 | 0x0e, 513 | 0x0e, 514 | 0x0e, 515 | 0x0b, 516 | 0x0a, 517 | 0x0f, 518 | 0x0f, 519 | 0x11, 520 | 0x0d, 521 | 0x00, 522 | 0x00, 523 | 0x00, 524 | 0x00, 525 | 0x00, 526 | 0x00, 527 | 0x00, 528 | 0x00, 529 | 0x00, 530 | 0x00, 531 | 0x00, 532 | 0x00, 533 | 0x00, 534 | 0x00, 535 | 0x00, 536 | 0x00, 537 | 0x00, 538 | 0x00, 539 | 0x00, 540 | 0x00, 541 | 0x00, 542 | 0x00, 543 | 0x00, 544 | 0x00, 545 | 0x00, 546 | 0x0f, 547 | 0x11, 548 | 0x16, 549 | 0x11, 550 | 0x13, 551 | 0x1a, 552 | 0x0e, 553 | 0x0e, 554 | 0x0d, 555 | 0x10, 556 | 0x11, 557 | 0x10, 558 | 0x15, 559 | 0x15, 560 | 0x1a, 561 | 0x10, 562 | 0x01, 563 | 0x00, 564 | 0x00, 565 | 0x00, 566 | 0x00, 567 | 0x00, 568 | 0x00, 569 | 0x00, 570 | 0x00, 571 | 0x00, 572 | 0x00, 573 | 0x00, 574 | 0x00, 575 | 0x00, 576 | 0x00, 577 | 0x00, 578 | 0x00, 579 | 0x00, 580 | 0x00, 581 | 0x00, 582 | 0x00, 583 | 0x00, 584 | 0x00, 585 | 0x00, 586 | 0x00, 587 | 0x04, 588 | 0x07, 589 | 0x0c, 590 | 0x0d, 591 | 0x0b, 592 | 0x15, 593 | 0x13, 594 | 0x16, 595 | 0x08, 596 | 0x0f, 597 | 0x04, 598 | 0x00, 599 | 0x02, 600 | 0x03, 601 | 0x0a, 602 | 0x0e, 603 | 0x08, 604 | 0x00, 605 | 0x00, 606 | 0x00, 607 | 0x00, 608 | 0x00, 609 | 0x00, 610 | 0x00, 611 | 0x00, 612 | 0x00, 613 | 0x00, 614 | 0x00, 615 | 0x00, 616 | 0x00, 617 | 0x00, 618 | 0x00, 619 | 0x00, 620 | 0x00, 621 | 0x00, 622 | 0x00, 623 | 0x00, 624 | 0x00, 625 | 0x00, 626 | 0x00, 627 | 0x00, 628 | 0x00, 629 | 0x00, 630 | 0x01, 631 | 0x00, 632 | 0x00, 633 | 0x0c, 634 | 0x11, 635 | 0x11, 636 | 0x06, 637 | 0x06, 638 | 0x00, 639 | 0x00, 640 | 0x00, 641 | 0x00, 642 | 0x00, 643 | 0x00, 644 | 0x00, 645 | 0x00, 646 | 0x00, 647 | 0x00, 648 | 0x00, 649 | 0x00, 650 | 0x00, 651 | 0x00, 652 | 0x00, 653 | 0x00, 654 | 0x00, 655 | 0x00, 656 | 0x00, 657 | 0x00, 658 | 0x00, 659 | 0x00, 660 | 0x00, 661 | 0x00, 662 | 0x00, 663 | 0x00, 664 | 0x00, 665 | 0x00, 666 | 0x00, 667 | 0x00, 668 | 0x00, 669 | 0x00, 670 | 0x00, 671 | 0x00, 672 | 0x01, 673 | 0x00, 674 | 0x0c, 675 | 0x11, 676 | 0x12, 677 | 0x09, 678 | 0x0a, 679 | 0x00, 680 | 0x00, 681 | 0x00, 682 | 0x00, 683 | 0x00, 684 | 0x00, 685 | 0x00, 686 | 0x00, 687 | 0x00, 688 | 0x00, 689 | 0x00, 690 | 0x00, 691 | 0x00, 692 | 0x00, 693 | 0x00, 694 | 0x00, 695 | 0x00, 696 | 0x00, 697 | 0x00, 698 | 0x00, 699 | 0x00, 700 | 0x00, 701 | 0x00, 702 | 0x00, 703 | 0x00, 704 | 0x00, 705 | 0x00, 706 | 0x00, 707 | 0x00, 708 | 0x00, 709 | 0x00, 710 | 0x00, 711 | 0x00, 712 | 0x00, 713 | 0x00, 714 | 0x00, 715 | 0x08, 716 | 0x16, 717 | 0x18, 718 | 0x11, 719 | 0x0b, 720 | 0x00, 721 | 0x00, 722 | 0x00, 723 | 0x00, 724 | 0x00, 725 | 0x00, 726 | 0x00, 727 | 0x00, 728 | 0x00, 729 | 0x00, 730 | 0x00, 731 | 0x00, 732 | 0x00, 733 | 0x00, 734 | 0x00, 735 | 0x00, 736 | 0x00, 737 | 0x00, 738 | 0x00, 739 | 0x00, 740 | 0x00, 741 | 0x00, 742 | 0x00, 743 | 0x00, 744 | 0x00, 745 | 0x00, 746 | 0x00, 747 | 0x00, 748 | 0x00, 749 | 0x00, 750 | 0x00, 751 | 0x00, 752 | 0x00, 753 | 0x00, 754 | 0x00, 755 | 0x00, 756 | 0x00, 757 | 0x0e, 758 | 0x0e, 759 | 0x14, 760 | 0x0e, 761 | 0x05, 762 | 0x00, 763 | 0x01, 764 | 0x01, 765 | 0x00, 766 | 0x00, 767 | 0x00, 768 | 0x00, 769 | 0x00, 770 | 0x00, 771 | 0x00, 772 | 0x00, 773 | 0x00, 774 | 0x00, 775 | 0x00, 776 | 0x00, 777 | 0x00, 778 | 0x00, 779 | 0x00, 780 | 0x00, 781 | 0x00, 782 | 0x00, 783 | 0x00, 784 | 0x00, 785 | 0x00, 786 | 0x00, 787 | 0x00, 788 | 0x00, 789 | 0x00, 790 | 0x00, 791 | 0x00, 792 | 0x00, 793 | 0x00, 794 | 0x00, 795 | 0x00, 796 | 0x00, 797 | 0x00, 798 | 0x0c, 799 | 0x10, 800 | 0x1b, 801 | 0x0f, 802 | 0x0d, 803 | 0x07, 804 | 0x09, 805 | 0x06, 806 | 0x00, 807 | 0x00, 808 | 0x00, 809 | 0x00, 810 | 0x00, 811 | 0x00, 812 | 0x00, 813 | 0x00, 814 | 0x00, 815 | 0x00, 816 | 0x00, 817 | 0x00, 818 | 0x00, 819 | 0x00, 820 | 0x00, 821 | 0x00, 822 | 0x00, 823 | 0x00, 824 | 0x00, 825 | 0x00, 826 | 0x00, 827 | 0x00, 828 | 0x00, 829 | 0x00, 830 | 0x00, 831 | 0x00, 832 | 0x00, 833 | 0x00, 834 | 0x00, 835 | 0x00, 836 | 0x00, 837 | 0x00, 838 | 0x00, 839 | 0x01, 840 | 0x02, 841 | 0x10, 842 | 0x15, 843 | 0x19, 844 | 0x0b, 845 | 0x0a, 846 | 0x07, 847 | 0x00, 848 | 0x01, 849 | 0x00, 850 | 0x00, 851 | 0x00, 852 | 0x00, 853 | 0x00, 854 | 0x00, 855 | 0x00, 856 | 0x00, 857 | 0x00, 858 | 0x00, 859 | 0x00, 860 | 0x00, 861 | 0x00, 862 | 0x00, 863 | 0x00, 864 | 0x00, 865 | 0x00, 866 | 0x00, 867 | 0x00, 868 | 0x00, 869 | 0x00, 870 | 0x00, 871 | 0x00, 872 | 0x00, 873 | 0x00, 874 | 0x00, 875 | 0x00, 876 | 0x00, 877 | 0x00, 878 | 0x00, 879 | 0x00, 880 | 0x00, 881 | 0x00, 882 | 0x06, 883 | 0x11, 884 | 0x11, 885 | 0x12, 886 | 0x10, 887 | 0x0f, 888 | 0x09, 889 | 0x08, 890 | 0x02, 891 | 0x00, 892 | 0x00, 893 | 0x00, 894 | 0x00, 895 | 0x00, 896 | 0x00, 897 | 0x00, 898 | 0x00, 899 | 0x00, 900 | 0x00, 901 | 0x00, 902 | 0x00, 903 | 0x00, 904 | 0x00, 905 | 0x00, 906 | 0x00, 907 | 0x00, 908 | 0x00, 909 | 0x00, 910 | 0x00, 911 | 0x00, 912 | 0x00, 913 | 0x00, 914 | 0x00, 915 | 0x00, 916 | 0x00, 917 | 0x00, 918 | 0x00, 919 | 0x00, 920 | 0x00, 921 | 0x00, 922 | 0x00, 923 | 0x04, 924 | 0x11, 925 | 0x14, 926 | 0x1b, 927 | 0x12, 928 | 0x13, 929 | 0x0e, 930 | 0x0e, 931 | 0x08, 932 | 0x00, 933 | 0x01, 934 | 0x00, 935 | 0x00, 936 | 0x00, 937 | 0x00, 938 | 0x00, 939 | 0x00, 940 | 0x00, 941 | 0x00, 942 | 0x00, 943 | 0x00, 944 | 0x00, 945 | 0x00, 946 | 0x00, 947 | 0x00, 948 | 0x00, 949 | 0x00, 950 | 0x00, 951 | 0x00, 952 | 0x00, 953 | 0x00, 954 | 0x00, 955 | 0x00, 956 | 0x00, 957 | 0x00, 958 | 0x00, 959 | 0x00, 960 | 0x00, 961 | 0x00, 962 | 0x00, 963 | 0x00, 964 | 0x00, 965 | 0x02, 966 | 0x03, 967 | 0x0c, 968 | 0x16, 969 | 0x16, 970 | 0x1b, 971 | 0x0e, 972 | 0x0a, 973 | 0x02, 974 | 0x03, 975 | 0x00, 976 | 0x00, 977 | 0x00, 978 | 0x00, 979 | 0x00, 980 | 0x00, 981 | 0x00, 982 | 0x00, 983 | 0x00, 984 | 0x00, 985 | 0x00, 986 | 0x00, 987 | 0x00, 988 | 0x00, 989 | 0x00, 990 | 0x00, 991 | 0x00, 992 | 0x00, 993 | 0x00, 994 | 0x00, 995 | 0x00, 996 | 0x00, 997 | 0x00, 998 | 0x00, 999 | 0x00, 1000 | 0x00, 1001 | 0x00, 1002 | 0x00, 1003 | 0x00, 1004 | 0x00, 1005 | 0x00, 1006 | 0x00, 1007 | 0x00, 1008 | 0x01, 1009 | 0x06, 1010 | 0x06, 1011 | 0x14, 1012 | 0x0e, 1013 | 0x0e, 1014 | 0x0c, 1015 | 0x0b, 1016 | 0x00, 1017 | 0x00, 1018 | 0x00, 1019 | 0x00, 1020 | 0x00, 1021 | 0x00, 1022 | 0x00, 1023 | 0x00, 1024 | 0x00, 1025 | 0x00, 1026 | 0x00, 1027 | 0x00, 1028 | 0x00, 1029 | 0x00, 1030 | 0x00, 1031 | 0x00, 1032 | 0x00, 1033 | 0x00, 1034 | 0x00, 1035 | 0x00, 1036 | 0x00, 1037 | 0x00, 1038 | 0x00, 1039 | 0x00, 1040 | 0x00, 1041 | 0x00, 1042 | 0x00, 1043 | 0x00, 1044 | 0x00, 1045 | 0x00, 1046 | 0x00, 1047 | 0x00, 1048 | 0x00, 1049 | 0x00, 1050 | 0x05, 1051 | 0x08, 1052 | 0x18, 1053 | 0x0e, 1054 | 0x0f, 1055 | 0x0e, 1056 | 0x0e, 1057 | 0x03, 1058 | 0x00, 1059 | 0x00, 1060 | 0x00, 1061 | 0x00, 1062 | 0x00, 1063 | 0x00, 1064 | 0x00, 1065 | 0x00, 1066 | 0x00, 1067 | 0x00, 1068 | 0x00, 1069 | 0x00, 1070 | 0x00, 1071 | 0x00, 1072 | 0x00, 1073 | 0x00, 1074 | 0x00, 1075 | 0x00, 1076 | 0x00, 1077 | 0x00, 1078 | 0x00, 1079 | 0x00, 1080 | 0x00, 1081 | 0x00, 1082 | 0x00, 1083 | 0x00, 1084 | 0x00, 1085 | 0x00, 1086 | 0x00, 1087 | 0x00, 1088 | 0x02, 1089 | 0x01, 1090 | 0x04, 1091 | 0x03, 1092 | 0x03, 1093 | 0x10, 1094 | 0x0e, 1095 | 0x0e, 1096 | 0x0d, 1097 | 0x0f, 1098 | 0x05, 1099 | 0x03, 1100 | 0x00, 1101 | 0x00, 1102 | 0x00, 1103 | 0x00, 1104 | 0x00, 1105 | 0x00, 1106 | 0x00, 1107 | 0x00, 1108 | 0x00, 1109 | 0x00, 1110 | 0x00, 1111 | 0x00, 1112 | 0x00, 1113 | 0x00, 1114 | 0x00, 1115 | 0x00, 1116 | 0x00, 1117 | 0x00, 1118 | 0x00, 1119 | 0x00, 1120 | 0x00, 1121 | 0x00, 1122 | 0x00, 1123 | 0x00, 1124 | 0x00, 1125 | 0x00, 1126 | 0x01, 1127 | 0x01, 1128 | 0x05, 1129 | 0x06, 1130 | 0x06, 1131 | 0x09, 1132 | 0x0b, 1133 | 0x0b, 1134 | 0x10, 1135 | 0x0e, 1136 | 0x0e, 1137 | 0x0b, 1138 | 0x0c, 1139 | 0x00, 1140 | 0x00, 1141 | 0x00, 1142 | 0x00, 1143 | 0x00, 1144 | 0x00, 1145 | 0x00, 1146 | 0x00, 1147 | 0x00, 1148 | 0x00, 1149 | 0x00, 1150 | 0x00, 1151 | 0x00, 1152 | 0x00, 1153 | 0x00, 1154 | 0x00, 1155 | 0x00, 1156 | 0x00, 1157 | 0x00, 1158 | 0x00, 1159 | 0x00, 1160 | 0x00, 1161 | 0x00, 1162 | 0x00, 1163 | 0x00, 1164 | 0x00, 1165 | 0x00, 1166 | 0x00, 1167 | 0x02, 1168 | 0x02, 1169 | 0x0b, 1170 | 0x0e, 1171 | 0x0e, 1172 | 0x0f, 1173 | 0x0e, 1174 | 0x0e, 1175 | 0x0e, 1176 | 0x0e, 1177 | 0x0e, 1178 | 0x0a, 1179 | 0x0c, 1180 | 0x00, 1181 | 0x00, 1182 | 0x00, 1183 | 0x00, 1184 | 0x00, 1185 | 0x00, 1186 | 0x00, 1187 | 0x00, 1188 | 0x00, 1189 | 0x00, 1190 | 0x00, 1191 | 0x00, 1192 | 0x00, 1193 | 0x00, 1194 | 0x00, 1195 | 0x00, 1196 | 0x00, 1197 | 0x00, 1198 | 0x00, 1199 | 0x00, 1200 | 0x00, 1201 | 0x00, 1202 | 0x00, 1203 | 0x00, 1204 | 0x00, 1205 | 0x00, 1206 | 0x00, 1207 | 0x04, 1208 | 0x07, 1209 | 0x06, 1210 | 0x0a, 1211 | 0x0c, 1212 | 0x0d, 1213 | 0x0e, 1214 | 0x0e, 1215 | 0x0e, 1216 | 0x0d, 1217 | 0x10, 1218 | 0x14, 1219 | 0x0e, 1220 | 0x10, 1221 | 0x0a, 1222 | 0x00, 1223 | 0x00, 1224 | 0x00, 1225 | 0x00, 1226 | 0x00, 1227 | 0x00, 1228 | 0x00, 1229 | 0x00, 1230 | 0x00, 1231 | 0x00, 1232 | 0x00, 1233 | 0x00, 1234 | 0x00, 1235 | 0x00, 1236 | 0x00, 1237 | 0x00, 1238 | 0x00, 1239 | 0x00, 1240 | 0x00, 1241 | 0x00, 1242 | 0x00, 1243 | 0x00, 1244 | 0x00, 1245 | 0x02, 1246 | 0x08, 1247 | 0x06, 1248 | 0x08, 1249 | 0x0f, 1250 | 0x0f, 1251 | 0x0f, 1252 | 0x0e, 1253 | 0x0e, 1254 | 0x0e, 1255 | 0x0e, 1256 | 0x0e, 1257 | 0x0a, 1258 | 0x0b, 1259 | 0x04, 1260 | 0x00, 1261 | 0x00, 1262 | 0x00, 1263 | 0x00, 1264 | 0x00, 1265 | 0x00, 1266 | 0x00, 1267 | 0x00, 1268 | 0x00, 1269 | 0x00, 1270 | 0x00, 1271 | 0x00, 1272 | 0x00, 1273 | 0x00, 1274 | 0x00, 1275 | 0x00, 1276 | 0x00, 1277 | 0x00, 1278 | 0x00, 1279 | 0x00, 1280 | 0x00, 1281 | 0x00, 1282 | 0x00, 1283 | 0x00, 1284 | 0x01, 1285 | 0x01, 1286 | 0x04, 1287 | 0x0f, 1288 | 0x0f, 1289 | 0x0f, 1290 | 0x0e, 1291 | 0x0e, 1292 | 0x0e, 1293 | 0x0e, 1294 | 0x0e, 1295 | 0x0d, 1296 | 0x10, 1297 | 0x14, 1298 | 0x0f, 1299 | 0x12, 1300 | 0x0d, 1301 | 0x04, 1302 | 0x00, 1303 | 0x00, 1304 | 0x00, 1305 | 0x00, 1306 | 0x00, 1307 | 0x00, 1308 | 0x00, 1309 | 0x00, 1310 | 0x00, 1311 | 0x00, 1312 | 0x00, 1313 | 0x00, 1314 | 0x00, 1315 | 0x00, 1316 | 0x00, 1317 | 0x00, 1318 | 0x00, 1319 | 0x00, 1320 | 0x00, 1321 | 0x00, 1322 | 0x00, 1323 | 0x00, 1324 | 0x07, 1325 | 0x04, 1326 | 0x04, 1327 | 0x06, 1328 | 0x0b, 1329 | 0x0c, 1330 | 0x0e, 1331 | 0x0e, 1332 | 0x0e, 1333 | 0x0d, 1334 | 0x10, 1335 | 0x14, 1336 | 0x0f, 1337 | 0x11, 1338 | 0x0d, 1339 | 0x04, 1340 | 0x00, 1341 | 0x00, 1342 | 0x00, 1343 | 0x00, 1344 | 0x00, 1345 | 0x00, 1346 | 0x00, 1347 | 0x00, 1348 | 0x00, 1349 | 0x00, 1350 | 0x00, 1351 | 0x00, 1352 | 0x00, 1353 | 0x00, 1354 | 0x00, 1355 | 0x00, 1356 | 0x00, 1357 | 0x00, 1358 | 0x00, 1359 | 0x00, 1360 | 0x02, 1361 | 0x01, 1362 | 0x05, 1363 | 0x04, 1364 | 0x04, 1365 | 0x0d, 1366 | 0x0d, 1367 | 0x0d, 1368 | 0x0f, 1369 | 0x0e, 1370 | 0x0e, 1371 | 0x0e, 1372 | 0x0e, 1373 | 0x0e, 1374 | 0x0a, 1375 | 0x0b, 1376 | 0x04, 1377 | 0x01, 1378 | 0x00, 1379 | 0x00, 1380 | 0x00, 1381 | 0x00, 1382 | 0x00, 1383 | 0x00, 1384 | 0x00, 1385 | 0x00, 1386 | 0x00, 1387 | 0x00, 1388 | 0x00, 1389 | 0x00, 1390 | 0x00, 1391 | 0x00, 1392 | 0x00, 1393 | 0x00, 1394 | 0x00, 1395 | 0x00, 1396 | 0x00, 1397 | 0x00, 1398 | 0x00, 1399 | 0x00, 1400 | 0x00, 1401 | 0x04, 1402 | 0x04, 1403 | 0x0c, 1404 | 0x0e, 1405 | 0x0e, 1406 | 0x0f, 1407 | 0x0e, 1408 | 0x0e, 1409 | 0x0e, 1410 | 0x0e, 1411 | 0x0e, 1412 | 0x0e, 1413 | 0x0e, 1414 | 0x12, 1415 | 0x0c, 1416 | 0x11, 1417 | 0x0d, 1418 | 0x04, 1419 | 0x00, 1420 | 0x00, 1421 | 0x00, 1422 | 0x00, 1423 | 0x00, 1424 | 0x00, 1425 | 0x00, 1426 | 0x00, 1427 | 0x00, 1428 | 0x00, 1429 | 0x00, 1430 | 0x00, 1431 | 0x00, 1432 | 0x00, 1433 | 0x00, 1434 | 0x00, 1435 | 0x00, 1436 | 0x00, 1437 | 0x00, 1438 | 0x00, 1439 | 0x00, 1440 | 0x00, 1441 | 0x00, 1442 | 0x07, 1443 | 0x07, 1444 | 0x0d, 1445 | 0x0c, 1446 | 0x0d, 1447 | 0x0e, 1448 | 0x0e, 1449 | 0x0f, 1450 | 0x0e, 1451 | 0x12, 1452 | 0x12, 1453 | 0x10, 1454 | 0x16, 1455 | 0x11, 1456 | 0x07, 1457 | 0x00, 1458 | 0x00, 1459 | 0x00, 1460 | 0x00, 1461 | 0x00, 1462 | 0x00, 1463 | 0x00, 1464 | 0x00, 1465 | 0x00, 1466 | 0x00, 1467 | 0x00, 1468 | 0x00, 1469 | 0x00, 1470 | 0x00, 1471 | 0x00, 1472 | 0x00, 1473 | 0x00, 1474 | 0x00, 1475 | 0x00, 1476 | 0x00, 1477 | 0x00, 1478 | 0x00, 1479 | 0x00, 1480 | 0x00, 1481 | 0x00, 1482 | 0x00, 1483 | 0x0a, 1484 | 0x0a, 1485 | 0x11, 1486 | 0x0e, 1487 | 0x0e, 1488 | 0x0e, 1489 | 0x0e, 1490 | 0x0c, 1491 | 0x07, 1492 | 0x07, 1493 | 0x07, 1494 | 0x02, 1495 | 0x00, 1496 | 0x00, 1497 | 0x00, 1498 | 0x00, 1499 | 0x00, 1500 | 0x00, 1501 | 0x00, 1502 | 0x00, 1503 | 0x00, 1504 | 0x00, 1505 | 0x00, 1506 | 0x00, 1507 | 0x00, 1508 | 0x00, 1509 | 0x00, 1510 | 0x00, 1511 | 0x00, 1512 | 0x00, 1513 | 0x00, 1514 | 0x00, 1515 | 0x00, 1516 | 0x00, 1517 | 0x00, 1518 | 0x00, 1519 | 0x00, 1520 | 0x00, 1521 | 0x00, 1522 | 0x00, 1523 | 0x00, 1524 | 0x08, 1525 | 0x0c, 1526 | 0x13, 1527 | 0x17, 1528 | 0x17, 1529 | 0x17, 1530 | 0x17, 1531 | 0x15, 1532 | 0x10, 1533 | 0x0b, 1534 | 0x0b, 1535 | 0x07, 1536 | 0x01, 1537 | 0x00, 1538 | 0x00, 1539 | 0x00, 1540 | 0x00, 1541 | 0x00, 1542 | 0x00, 1543 | 0x00, 1544 | 0x00, 1545 | 0x00, 1546 | 0x00, 1547 | 0x00, 1548 | 0x00, 1549 | 0x00, 1550 | 0x00, 1551 | 0x00, 1552 | 0x00, 1553 | 0x00, 1554 | 0x00, 1555 | 0x00, 1556 | 0x00, 1557 | 0x00, 1558 | 0x00, 1559 | 0x00, 1560 | 0x00, 1561 | 0x00, 1562 | 0x00, 1563 | 0x00, 1564 | 0x00, 1565 | 0x00, 1566 | 0x00, 1567 | 0x00, 1568 | 0x00, 1569 | 0x00, 1570 | 0x00, 1571 | 0x00, 1572 | 0x00, 1573 | 0x00, 1574 | 0x00, 1575 | 0x00, 1576 | 0x00, 1577 | 0x00, 1578 | 0x00, 1579 | 0x00, 1580 | 0x00, 1581 | 0x00, 1582 | 0x00, 1583 | 0x00, 1584 | 0x00, 1585 | 0x00, 1586 | 0x00, 1587 | 0x00, 1588 | 0x00, 1589 | 0x00, 1590 | 0x00, 1591 | 0x00, 1592 | 0x00, 1593 | 0x00, 1594 | 0x00, 1595 | 0x00, 1596 | 0x00, 1597 | 0x00, 1598 | 0x00, 1599 | 0x00, 1600 | 0x00, 1601 | 0x00, 1602 | 0x00, 1603 | 0x00, 1604 | 0x00, 1605 | 0x00, 1606 | 0x00, 1607 | 0x00, 1608 | 0x00, 1609 | 0x00, 1610 | 0x00, 1611 | 0x00, 1612 | 0x00, 1613 | 0x00, 1614 | 0x00, 1615 | 0x00, 1616 | 0x00, 1617 | 0x00, 1618 | 0x00, 1619 | 0x00, 1620 | 0x00, 1621 | 0x00, 1622 | 0x00, 1623 | 0x00, 1624 | 0x00, 1625 | 0x00, 1626 | 0x00, 1627 | 0x00, 1628 | 0x00, 1629 | 0x00, 1630 | 0x00, 1631 | 0x00, 1632 | 0x00, 1633 | 0x00, 1634 | 0x00, 1635 | 0x00, 1636 | 0x00, 1637 | 0x00, 1638 | 0x00, 1639 | 0x00, 1640 | 0x00, 1641 | 0x00, 1642 | 0x00, 1643 | 0x00, 1644 | 0x00, 1645 | 0x00, 1646 | 0x00, 1647 | 0x00, 1648 | 0x00, 1649 | 0x00, 1650 | 0x00, 1651 | 0x00, 1652 | 0x00, 1653 | 0x00, 1654 | 0x00, 1655 | 0x00, 1656 | 0x00, 1657 | 0x00, 1658 | 0x00, 1659 | 0x00, 1660 | 0x00, 1661 | 0x00, 1662 | 0x00, 1663 | 0x00, 1664 | 0x00, 1665 | 0x00, 1666 | 0x00, 1667 | 0x00, 1668 | 0x00, 1669 | 0x00, 1670 | 0x00, 1671 | 0x00, 1672 | 0x00, 1673 | 0x00, 1674 | 0x00, 1675 | 0x00, 1676 | 0x00, 1677 | 0x00, 1678 | 0x00, 1679 | 0x00, 1680 | 0x00, 1681 | 0x00, 1682 | -------------------------------------------------------------------------------- /design_1_wrapper.v: -------------------------------------------------------------------------------- 1 | //Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. 2 | //-------------------------------------------------------------------------------- 3 | //Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018 4 | //Date : Mon Nov 18 14:12:13 2019 5 | //Host : A133-05 running 64-bit major release (build 9200) 6 | //Command : generate_target design_1_wrapper.bd 7 | //Design : design_1_wrapper 8 | //Purpose : IP block netlist 9 | //-------------------------------------------------------------------------------- 10 | `timescale 1 ps / 1 ps 11 | 12 | module design_1_wrapper 13 | (AHB_INTERFACE_0_haddr, 14 | AHB_INTERFACE_0_hburst, 15 | AHB_INTERFACE_0_hprot, 16 | AHB_INTERFACE_0_hrdata, 17 | AHB_INTERFACE_0_hready_in, 18 | AHB_INTERFACE_0_hready_out, 19 | AHB_INTERFACE_0_hresp, 20 | AHB_INTERFACE_0_hsize, 21 | AHB_INTERFACE_0_htrans, 22 | AHB_INTERFACE_0_hwdata, 23 | AHB_INTERFACE_0_hwrite, 24 | AHB_INTERFACE_0_sel, 25 | DDR_0_addr, 26 | DDR_0_ba, 27 | DDR_0_cas_n, 28 | DDR_0_ck_n, 29 | DDR_0_ck_p, 30 | DDR_0_cke, 31 | DDR_0_cs_n, 32 | DDR_0_dm, 33 | DDR_0_dq, 34 | DDR_0_dqs_n, 35 | DDR_0_dqs_p, 36 | DDR_0_odt, 37 | DDR_0_ras_n, 38 | DDR_0_reset_n, 39 | DDR_0_we_n, 40 | FIXED_IO_0_ddr_vrn, 41 | FIXED_IO_0_ddr_vrp, 42 | FIXED_IO_0_mio, 43 | FIXED_IO_0_ps_clk, 44 | FIXED_IO_0_ps_porb, 45 | FIXED_IO_0_ps_srstb, 46 | UART0_rxd, 47 | UART0_txd, 48 | clk, 49 | reset); 50 | input [31:0]AHB_INTERFACE_0_haddr; 51 | input [2:0]AHB_INTERFACE_0_hburst; 52 | input [3:0]AHB_INTERFACE_0_hprot; 53 | output [31:0]AHB_INTERFACE_0_hrdata; 54 | input AHB_INTERFACE_0_hready_in; 55 | output AHB_INTERFACE_0_hready_out; 56 | output AHB_INTERFACE_0_hresp; 57 | input [2:0]AHB_INTERFACE_0_hsize; 58 | input [1:0]AHB_INTERFACE_0_htrans; 59 | input [31:0]AHB_INTERFACE_0_hwdata; 60 | input AHB_INTERFACE_0_hwrite; 61 | input AHB_INTERFACE_0_sel; 62 | inout [14:0]DDR_0_addr; 63 | inout [2:0]DDR_0_ba; 64 | inout DDR_0_cas_n; 65 | inout DDR_0_ck_n; 66 | inout DDR_0_ck_p; 67 | inout DDR_0_cke; 68 | inout DDR_0_cs_n; 69 | inout [3:0]DDR_0_dm; 70 | inout [31:0]DDR_0_dq; 71 | inout [3:0]DDR_0_dqs_n; 72 | inout [3:0]DDR_0_dqs_p; 73 | inout DDR_0_odt; 74 | inout DDR_0_ras_n; 75 | inout DDR_0_reset_n; 76 | inout DDR_0_we_n; 77 | inout FIXED_IO_0_ddr_vrn; 78 | inout FIXED_IO_0_ddr_vrp; 79 | inout [53:0]FIXED_IO_0_mio; 80 | inout FIXED_IO_0_ps_clk; 81 | inout FIXED_IO_0_ps_porb; 82 | inout FIXED_IO_0_ps_srstb; 83 | input UART0_rxd; 84 | output UART0_txd; 85 | output clk; 86 | output [0:0]reset; 87 | 88 | wire [31:0]AHB_INTERFACE_0_haddr; 89 | wire [2:0]AHB_INTERFACE_0_hburst; 90 | wire [3:0]AHB_INTERFACE_0_hprot; 91 | wire [31:0]AHB_INTERFACE_0_hrdata; 92 | wire AHB_INTERFACE_0_hready_in; 93 | wire AHB_INTERFACE_0_hready_out; 94 | wire AHB_INTERFACE_0_hresp; 95 | wire [2:0]AHB_INTERFACE_0_hsize; 96 | wire [1:0]AHB_INTERFACE_0_htrans; 97 | wire [31:0]AHB_INTERFACE_0_hwdata; 98 | wire AHB_INTERFACE_0_hwrite; 99 | wire AHB_INTERFACE_0_sel; 100 | wire [14:0]DDR_0_addr; 101 | wire [2:0]DDR_0_ba; 102 | wire DDR_0_cas_n; 103 | wire DDR_0_ck_n; 104 | wire DDR_0_ck_p; 105 | wire DDR_0_cke; 106 | wire DDR_0_cs_n; 107 | wire [3:0]DDR_0_dm; 108 | wire [31:0]DDR_0_dq; 109 | wire [3:0]DDR_0_dqs_n; 110 | wire [3:0]DDR_0_dqs_p; 111 | wire DDR_0_odt; 112 | wire DDR_0_ras_n; 113 | wire DDR_0_reset_n; 114 | wire DDR_0_we_n; 115 | wire FIXED_IO_0_ddr_vrn; 116 | wire FIXED_IO_0_ddr_vrp; 117 | wire [53:0]FIXED_IO_0_mio; 118 | wire FIXED_IO_0_ps_clk; 119 | wire FIXED_IO_0_ps_porb; 120 | wire FIXED_IO_0_ps_srstb; 121 | wire UART0_rxd; 122 | wire UART0_txd; 123 | wire clk; 124 | wire [0:0]reset; 125 | 126 | design_1 design_1_i 127 | (.AHB_INTERFACE_0_haddr(AHB_INTERFACE_0_haddr), 128 | .AHB_INTERFACE_0_hburst(AHB_INTERFACE_0_hburst), 129 | .AHB_INTERFACE_0_hprot(AHB_INTERFACE_0_hprot), 130 | .AHB_INTERFACE_0_hrdata(AHB_INTERFACE_0_hrdata), 131 | .AHB_INTERFACE_0_hready_in(AHB_INTERFACE_0_hready_in), 132 | .AHB_INTERFACE_0_hready_out(AHB_INTERFACE_0_hready_out), 133 | .AHB_INTERFACE_0_hresp(AHB_INTERFACE_0_hresp), 134 | .AHB_INTERFACE_0_hsize(AHB_INTERFACE_0_hsize), 135 | .AHB_INTERFACE_0_htrans(AHB_INTERFACE_0_htrans), 136 | .AHB_INTERFACE_0_hwdata(AHB_INTERFACE_0_hwdata), 137 | .AHB_INTERFACE_0_hwrite(AHB_INTERFACE_0_hwrite), 138 | .AHB_INTERFACE_0_sel(AHB_INTERFACE_0_sel), 139 | .DDR_0_addr(DDR_0_addr), 140 | .DDR_0_ba(DDR_0_ba), 141 | .DDR_0_cas_n(DDR_0_cas_n), 142 | .DDR_0_ck_n(DDR_0_ck_n), 143 | .DDR_0_ck_p(DDR_0_ck_p), 144 | .DDR_0_cke(DDR_0_cke), 145 | .DDR_0_cs_n(DDR_0_cs_n), 146 | .DDR_0_dm(DDR_0_dm), 147 | .DDR_0_dq(DDR_0_dq), 148 | .DDR_0_dqs_n(DDR_0_dqs_n), 149 | .DDR_0_dqs_p(DDR_0_dqs_p), 150 | .DDR_0_odt(DDR_0_odt), 151 | .DDR_0_ras_n(DDR_0_ras_n), 152 | .DDR_0_reset_n(DDR_0_reset_n), 153 | .DDR_0_we_n(DDR_0_we_n), 154 | .FIXED_IO_0_ddr_vrn(FIXED_IO_0_ddr_vrn), 155 | .FIXED_IO_0_ddr_vrp(FIXED_IO_0_ddr_vrp), 156 | .FIXED_IO_0_mio(FIXED_IO_0_mio), 157 | .FIXED_IO_0_ps_clk(FIXED_IO_0_ps_clk), 158 | .FIXED_IO_0_ps_porb(FIXED_IO_0_ps_porb), 159 | .FIXED_IO_0_ps_srstb(FIXED_IO_0_ps_srstb), 160 | .UART0_rxd(UART0_rxd), 161 | .UART0_txd(UART0_txd), 162 | .clk(clk), 163 | .reset(reset)); 164 | endmodule 165 | -------------------------------------------------------------------------------- /diagram.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mayshin10/CNN-Accelerator/17f56cdef814c9433389baff7aaae47a19001006/diagram.png -------------------------------------------------------------------------------- /main.c: -------------------------------------------------------------------------------- 1 | #include 2 | #include 3 | #include 4 | #include "platform.h" 5 | #include "xil_printf.h" 6 | 7 | #define SIGN_MASK 0x80 8 | 9 | //weight : (1,0,7) 10 | //image, activations : (1,5,2) 11 | //multiplication & accumulation tmp result : (1,8,7) 12 | //all weight, image, activations, result use 2's complement 13 | 14 | int main() { 15 | 16 | init_platform(); 17 | 18 | uint8_t input_image[7056] = { 19 | #include "image_84_84_x10" 20 | }; 21 | uint8_t conv_weight[9] = { 22 | #include "conv_weight" 23 | }; 24 | 25 | uint8_t conv_activation[6724] = { 26 | #include "conv_activation_fixed" 27 | }; 28 | 29 | uint8_t max_pooling_activation[1681] = { 30 | #include "max_pooling_activation_fixed" 31 | }; 32 | 33 | uint32_t *start_sig = (uint32_t *) 0x50000000; 34 | uint32_t *end_sig = (uint32_t *) 0x50000004; 35 | 36 | uint8_t *input_image_fpga = (uint8_t *) 0x40000000; 37 | uint8_t *conv_weight_fpga = (uint8_t *) 0x40010000; 38 | uint8_t *activation_fpga = (uint8_t *) 0x40020000; 39 | 40 | XTime start, end; 41 | 42 | print("\n@@@@@@ Accel Start @@@@@@\n"); 43 | //Calculation Start 44 | XTime_GetTime(&start); 45 | 46 | memcpy(input_image_fpga, input_image, 7056*sizeof(uint8_t)); 47 | memcpy(conv_weight_fpga, conv_weight, 9*sizeof(uint8_t)); 48 | 49 | start_sig[0] = 0x01020304; 50 | 51 | //Wait for Finishing Sig 52 | while(1) { 53 | if (end_sig[0] == 0x04030201) 54 | break; 55 | } 56 | 57 | //Calculation End 58 | XTime_GetTime(&end); 59 | print("@@@@@@ Accel Finish @@@@@@\n\n"); 60 | 61 | int i; 62 | //Correctness Check 63 | for (i=0; i<1681; i++) 64 | { 65 | uint8_t act = max_pooling_activation[i]; 66 | uint8_t lower_bound; 67 | uint8_t upper_bound = act +1; 68 | if ( act == 0 ) 69 | lower_bound = act; 70 | else 71 | lower_bound = act -1; 72 | 73 | if ( activation_fpga[i] & 0x80 != 0 ) 74 | break; 75 | if ( activation_fpga[i] < lower_bound ) 76 | break; 77 | if ( upper_bound < activation_fpga[i] ) 78 | break; 79 | } 80 | 81 | if ( i == SIZE ) { 82 | print("@@@@@@ CORRECT @@@@@@\n"); 83 | 84 | printf("FPGA:\t%.3lf ms\n", (double)(end-start)/(COUNTS_PER_SECOND/1000)); 85 | } 86 | else { 87 | print("@@@@@@ FAIL @@@@@@"); 88 | 89 | printf("Index %d : Activation should be %x, but %x is calculated\n", i, max_pooling_activation[i], activation_fpga[i]); 90 | } 91 | 92 | 93 | cleanup_platform(); 94 | return 0; 95 | } 96 | -------------------------------------------------------------------------------- /result.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mayshin10/CNN-Accelerator/17f56cdef814c9433389baff7aaae47a19001006/result.png -------------------------------------------------------------------------------- /start_end.v: -------------------------------------------------------------------------------- 1 | module start_end( 2 | output reg [31:0]AHB_INTERFACE_0_haddr, 3 | output reg [2:0]AHB_INTERFACE_0_hburst, 4 | output reg [3:0]AHB_INTERFACE_0_hprot, 5 | input [31:0]AHB_INTERFACE_0_hrdata, 6 | output reg AHB_INTERFACE_0_hready_in, 7 | input AHB_INTERFACE_0_hready_out, 8 | input AHB_INTERFACE_0_hresp, 9 | output reg [2:0]AHB_INTERFACE_0_hsize, 10 | output reg [1:0]AHB_INTERFACE_0_htrans, 11 | output reg [31:0]AHB_INTERFACE_0_hwdata, 12 | output reg AHB_INTERFACE_0_hwrite, 13 | output reg AHB_INTERFACE_0_sel, 14 | output reg start, 15 | input finish, 16 | input clk, 17 | input reset 18 | ); 19 | 20 | reg [3:0] state; 21 | wire [31:0] start_code; 22 | assign start_code = 32'h01020304; 23 | wire [31:0] finish_code; 24 | assign finish_code = 32'h04030201; 25 | reg [31:0] read_data_reg; 26 | always @(posedge clk) begin 27 | if ( !reset ) begin 28 | AHB_INTERFACE_0_haddr <= 0; 29 | AHB_INTERFACE_0_hburst <= 0; 30 | AHB_INTERFACE_0_hprot <= 0; 31 | AHB_INTERFACE_0_hready_in <= 0; 32 | AHB_INTERFACE_0_hsize <= 0; 33 | AHB_INTERFACE_0_htrans <= 0; 34 | AHB_INTERFACE_0_hwdata <= 0; 35 | AHB_INTERFACE_0_hwrite <= 0; 36 | AHB_INTERFACE_0_sel <= 0; 37 | start <= 0; 38 | state <= 0; 39 | end 40 | else begin 41 | case(state) 42 | 4'd0: begin // try to read 43 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 44 | AHB_INTERFACE_0_htrans <= 2'b10; 45 | AHB_INTERFACE_0_haddr <= 32'h5000_0000; // start code position 46 | AHB_INTERFACE_0_hburst <= 0; 47 | AHB_INTERFACE_0_hsize <= 3'b010; 48 | AHB_INTERFACE_0_hready_in <= 1; 49 | AHB_INTERFACE_0_sel <= 1; 50 | AHB_INTERFACE_0_hprot <= 1; 51 | 52 | state <= 4'd1; 53 | end 54 | end 55 | 4'd1: begin // read the data 56 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 57 | read_data_reg <= AHB_INTERFACE_0_hrdata; 58 | AHB_INTERFACE_0_sel <= 0; 59 | AHB_INTERFACE_0_hprot <= 0; 60 | AHB_INTERFACE_0_hready_in <= 1; 61 | 62 | state <= 4'd2; 63 | end 64 | else begin 65 | AHB_INTERFACE_0_hready_in <= 0; 66 | end 67 | AHB_INTERFACE_0_htrans <= 0; 68 | end 69 | 4'd2: begin // compare the data to start code 70 | if ( read_data_reg == start_code ) begin 71 | start <= 1; 72 | state <= 4'd3; 73 | end 74 | else begin 75 | state <= 4'd0; 76 | end 77 | end 78 | 4'd3: begin // wait for finish signal from processing module 79 | if ( finish == 1 ) begin 80 | state <= 4'd4; 81 | end 82 | AHB_INTERFACE_0_haddr <= 0; 83 | AHB_INTERFACE_0_hburst <= 0; 84 | AHB_INTERFACE_0_hprot <= 0; 85 | AHB_INTERFACE_0_hready_in <= 0; 86 | AHB_INTERFACE_0_hsize <= 0; 87 | AHB_INTERFACE_0_htrans <= 0; 88 | AHB_INTERFACE_0_hwdata <= 0; 89 | AHB_INTERFACE_0_hwrite <= 0; 90 | AHB_INTERFACE_0_sel <= 0; 91 | end 92 | 4'd4: begin // write finish code 93 | if ( AHB_INTERFACE_0_hready_out == 1 && AHB_INTERFACE_0_hresp == 0) begin 94 | AHB_INTERFACE_0_htrans <= 2'b10; 95 | AHB_INTERFACE_0_haddr <= 32'h5000_0004; 96 | AHB_INTERFACE_0_hburst <= 0; 97 | AHB_INTERFACE_0_hsize <= 3'b010; //Byte 98 | AHB_INTERFACE_0_hready_in <= 1; 99 | AHB_INTERFACE_0_sel <= 1; 100 | AHB_INTERFACE_0_hprot <= 9; 101 | AHB_INTERFACE_0_hwdata <= finish_code; 102 | AHB_INTERFACE_0_hwrite <= 1; 103 | state <= 4'd5; 104 | end 105 | end 106 | 4'd5: begin 107 | AHB_INTERFACE_0_htrans <= 0; 108 | AHB_INTERFACE_0_hready_in <= 0; 109 | state <= 4'd6; 110 | end 111 | 4'd6: begin 112 | if ( AHB_INTERFACE_0_hready_out == 0 && AHB_INTERFACE_0_hresp == 0) begin 113 | AHB_INTERFACE_0_sel <= 0; 114 | AHB_INTERFACE_0_hprot <= 0; 115 | AHB_INTERFACE_0_hwdata <= 0; 116 | AHB_INTERFACE_0_hwrite <= 0; 117 | AHB_INTERFACE_0_hready_in <= 1; 118 | state <= 4'd7; 119 | end 120 | end 121 | default: begin 122 | AHB_INTERFACE_0_haddr <= 0; 123 | AHB_INTERFACE_0_hburst <= 0; 124 | AHB_INTERFACE_0_hprot <= 0; 125 | AHB_INTERFACE_0_hready_in <= 0; 126 | AHB_INTERFACE_0_hsize <= 0; 127 | AHB_INTERFACE_0_htrans <= 0; 128 | AHB_INTERFACE_0_hwdata <= 0; 129 | AHB_INTERFACE_0_hwrite <= 0; 130 | AHB_INTERFACE_0_sel <= 0; 131 | end 132 | 133 | endcase 134 | end 135 | end 136 | endmodule -------------------------------------------------------------------------------- /top.xdc: -------------------------------------------------------------------------------- 1 | set_property IOSTANDARD "LVCMOS33" [get_ports "UART0_txd"] 2 | set_property IOSTANDARD "LVCMOS33" [get_ports "UART0_rxd"] 3 | set_property PACKAGE_PIN "U5" [get_ports "UART0_txd"] 4 | set_property PACKAGE_PIN "U6" [get_ports "UART0_rxd"] 5 | 6 | set_property IOSTANDARD "LVCMOS33" [get_ports "led[*]"] 7 | set_property PACKAGE_PIN "T16" [get_ports "led[7]"] 8 | set_property PACKAGE_PIN "T17" [get_ports "led[6]"] 9 | set_property PACKAGE_PIN "R19" [get_ports "led[5]"] 10 | set_property PACKAGE_PIN "T19" [get_ports "led[4]"] 11 | set_property PACKAGE_PIN "R18" [get_ports "led[3]"] 12 | set_property PACKAGE_PIN "T18" [get_ports "led[2]"] 13 | set_property PACKAGE_PIN "P16" [get_ports "led[1]"] 14 | set_property PACKAGE_PIN "R16" [get_ports "led[0]"] 15 | 16 | 17 | set_property IOSTANDARD "LVCMOS33" [get_ports "push_n[*]"] 18 | set_property PACKAGE_PIN "AA19" [get_ports "push_n[2]"] 19 | set_property PACKAGE_PIN "Y19" [get_ports "push_n[1]"] 20 | set_property PACKAGE_PIN "AA18" [get_ports "push_n[0]"] -------------------------------------------------------------------------------- /top/design_1_wrapper.v: -------------------------------------------------------------------------------- 1 | //Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. 2 | //-------------------------------------------------------------------------------- 3 | //Tool Version: Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 4 | //Date : Thu Apr 18 21:10:19 2019 5 | //Host : changsu-desktop running 64-bit Ubuntu 16.04.6 LTS 6 | //Command : generate_target design_1_wrapper.bd 7 | //Design : design_1_wrapper 8 | //Purpose : IP block netlist 9 | //-------------------------------------------------------------------------------- 10 | `timescale 1 ps / 1 ps 11 | 12 | module top 13 | ( 14 | DDR_addr, 15 | DDR_ba, 16 | DDR_cas_n, 17 | DDR_ck_n, 18 | DDR_ck_p, 19 | DDR_cke, 20 | DDR_cs_n, 21 | DDR_dm, 22 | DDR_dq, 23 | DDR_dqs_n, 24 | DDR_dqs_p, 25 | DDR_odt, 26 | DDR_ras_n, 27 | DDR_reset_n, 28 | DDR_we_n, 29 | FIXED_IO_ddr_vrn, 30 | FIXED_IO_ddr_vrp, 31 | FIXED_IO_mio, 32 | FIXED_IO_ps_clk, 33 | FIXED_IO_ps_porb, 34 | FIXED_IO_ps_srstb, 35 | UART0_rxd, 36 | UART0_txd, 37 | led, 38 | push_n); 39 | 40 | 41 | inout [14:0]DDR_addr; 42 | inout [2:0]DDR_ba; 43 | inout DDR_cas_n; 44 | inout DDR_ck_n; 45 | inout DDR_ck_p; 46 | inout DDR_cke; 47 | inout DDR_cs_n; 48 | inout [3:0]DDR_dm; 49 | inout [31:0]DDR_dq; 50 | inout [3:0]DDR_dqs_n; 51 | inout [3:0]DDR_dqs_p; 52 | inout DDR_odt; 53 | inout DDR_ras_n; 54 | inout DDR_reset_n; 55 | inout DDR_we_n; 56 | inout FIXED_IO_ddr_vrn; 57 | inout FIXED_IO_ddr_vrp; 58 | inout [53:0]FIXED_IO_mio; 59 | inout FIXED_IO_ps_clk; 60 | inout FIXED_IO_ps_porb; 61 | inout FIXED_IO_ps_srstb; 62 | input UART0_rxd; 63 | output UART0_txd; 64 | output [7:0] led; 65 | input [2:0] push_n; 66 | 67 | wire [2:0] push; 68 | assign push = ~push_n; 69 | 70 | wire [14:0]DDR_addr; 71 | wire [2:0]DDR_ba; 72 | wire DDR_cas_n; 73 | wire DDR_ck_n; 74 | wire DDR_ck_p; 75 | wire DDR_cke; 76 | wire DDR_cs_n; 77 | wire [3:0]DDR_dm; 78 | wire [31:0]DDR_dq; 79 | wire [3:0]DDR_dqs_n; 80 | wire [3:0]DDR_dqs_p; 81 | wire DDR_odt; 82 | wire DDR_ras_n; 83 | wire DDR_reset_n; 84 | wire DDR_we_n; 85 | wire FIXED_IO_ddr_vrn; 86 | wire FIXED_IO_ddr_vrp; 87 | wire [53:0]FIXED_IO_mio; 88 | wire FIXED_IO_ps_clk; 89 | wire FIXED_IO_ps_porb; 90 | wire FIXED_IO_ps_srstb; 91 | wire UART0_rxd; 92 | wire UART0_txd; 93 | 94 | wire clk; 95 | wire [0:0]reset; 96 | 97 | wire [31:0]AHB_INTERFACE_0_hrdata; 98 | wire AHB_INTERFACE_0_hready_out; 99 | wire AHB_INTERFACE_0_hresp; 100 | 101 | wire [31:0]AHB_INTERFACE_0_haddr_p; 102 | wire [2:0]AHB_INTERFACE_0_hburst_p; 103 | wire [3:0]AHB_INTERFACE_0_hprot_p; 104 | wire AHB_INTERFACE_0_hready_in_p; 105 | wire [2:0]AHB_INTERFACE_0_hsize_p; 106 | wire [1:0]AHB_INTERFACE_0_htrans_p; 107 | wire [31:0]AHB_INTERFACE_0_hwdata_p; 108 | wire AHB_INTERFACE_0_hwrite_p; 109 | wire AHB_INTERFACE_0_sel_p; 110 | 111 | wire [31:0]AHB_INTERFACE_0_haddr_sf; 112 | wire [2:0]AHB_INTERFACE_0_hburst_sf; 113 | wire [3:0]AHB_INTERFACE_0_hprot_sf; 114 | wire AHB_INTERFACE_0_hready_in_sf; 115 | wire [2:0]AHB_INTERFACE_0_hsize_sf; 116 | wire [1:0]AHB_INTERFACE_0_htrans_sf; 117 | wire [31:0]AHB_INTERFACE_0_hwdata_sf; 118 | wire AHB_INTERFACE_0_hwrite_sf; 119 | wire AHB_INTERFACE_0_sel_sf; 120 | 121 | wire [31:0]AHB_INTERFACE_0_haddr; 122 | wire [2:0]AHB_INTERFACE_0_hburst; 123 | wire [3:0]AHB_INTERFACE_0_hprot; 124 | wire AHB_INTERFACE_0_hready_in; 125 | wire [2:0]AHB_INTERFACE_0_hsize; 126 | wire [1:0]AHB_INTERFACE_0_htrans; 127 | wire [31:0]AHB_INTERFACE_0_hwdata; 128 | wire AHB_INTERFACE_0_hwrite; 129 | wire AHB_INTERFACE_0_sel; 130 | 131 | assign AHB_INTERFACE_0_haddr = AHB_INTERFACE_0_haddr_p | AHB_INTERFACE_0_haddr_sf; 132 | assign AHB_INTERFACE_0_hburst = AHB_INTERFACE_0_hburst_p | AHB_INTERFACE_0_hburst_sf; 133 | assign AHB_INTERFACE_0_hprot = AHB_INTERFACE_0_hprot_p | AHB_INTERFACE_0_hprot_sf; 134 | assign AHB_INTERFACE_0_hready_in = AHB_INTERFACE_0_hready_in_p | AHB_INTERFACE_0_hready_in_sf; 135 | assign AHB_INTERFACE_0_hsize = AHB_INTERFACE_0_hsize_p | AHB_INTERFACE_0_hsize_sf; 136 | assign AHB_INTERFACE_0_htrans = AHB_INTERFACE_0_htrans_p | AHB_INTERFACE_0_htrans_sf; 137 | assign AHB_INTERFACE_0_hwdata = AHB_INTERFACE_0_hwdata_p | AHB_INTERFACE_0_hwdata_sf; 138 | assign AHB_INTERFACE_0_hwrite = AHB_INTERFACE_0_hwrite_p | AHB_INTERFACE_0_hwrite_sf; 139 | assign AHB_INTERFACE_0_sel = AHB_INTERFACE_0_sel_p | AHB_INTERFACE_0_sel_sf; 140 | 141 | wire [3:0] state_test; 142 | wire start; 143 | wire finish; 144 | 145 | assign led[7] = start; 146 | assign led[6] = finish; 147 | 148 | vector_add p_1 ( 149 | .AHB_INTERFACE_0_haddr(AHB_INTERFACE_0_haddr_p), 150 | .AHB_INTERFACE_0_hburst(AHB_INTERFACE_0_hburst_p), 151 | .AHB_INTERFACE_0_hprot(AHB_INTERFACE_0_hprot_p), 152 | .AHB_INTERFACE_0_hrdata(AHB_INTERFACE_0_hrdata),// 153 | .AHB_INTERFACE_0_hready_in(AHB_INTERFACE_0_hready_in_p), 154 | .AHB_INTERFACE_0_hready_out(AHB_INTERFACE_0_hready_out),// 155 | .AHB_INTERFACE_0_hresp(AHB_INTERFACE_0_hresp),// 156 | .AHB_INTERFACE_0_hsize(AHB_INTERFACE_0_hsize_p), 157 | .AHB_INTERFACE_0_htrans(AHB_INTERFACE_0_htrans_p), 158 | .AHB_INTERFACE_0_hwdata(AHB_INTERFACE_0_hwdata_p), 159 | .AHB_INTERFACE_0_hwrite(AHB_INTERFACE_0_hwrite_p), 160 | .AHB_INTERFACE_0_sel(AHB_INTERFACE_0_sel_p), 161 | .start(start), 162 | .finish(finish), 163 | .clk(clk), 164 | .reset(reset), 165 | .state_test(state_test) 166 | ); 167 | 168 | start_end sf_1 ( 169 | .AHB_INTERFACE_0_haddr(AHB_INTERFACE_0_haddr_sf), 170 | .AHB_INTERFACE_0_hburst(AHB_INTERFACE_0_hburst_sf), 171 | .AHB_INTERFACE_0_hprot(AHB_INTERFACE_0_hprot_sf), 172 | .AHB_INTERFACE_0_hrdata(AHB_INTERFACE_0_hrdata),// 173 | .AHB_INTERFACE_0_hready_in(AHB_INTERFACE_0_hready_in_sf), 174 | .AHB_INTERFACE_0_hready_out(AHB_INTERFACE_0_hready_out),// 175 | .AHB_INTERFACE_0_hresp(AHB_INTERFACE_0_hresp),// 176 | .AHB_INTERFACE_0_hsize(AHB_INTERFACE_0_hsize_sf), 177 | .AHB_INTERFACE_0_htrans(AHB_INTERFACE_0_htrans_sf), 178 | .AHB_INTERFACE_0_hwdata(AHB_INTERFACE_0_hwdata_sf), 179 | .AHB_INTERFACE_0_hwrite(AHB_INTERFACE_0_hwrite_sf), 180 | .AHB_INTERFACE_0_sel(AHB_INTERFACE_0_sel_sf), 181 | .start(start), 182 | .finish(finish), 183 | .clk(clk), 184 | .reset(reset) 185 | ); 186 | 187 | design_1 design_1_i 188 | (.AHB_INTERFACE_0_haddr(AHB_INTERFACE_0_haddr), 189 | .AHB_INTERFACE_0_hburst(AHB_INTERFACE_0_hburst), 190 | .AHB_INTERFACE_0_hprot(AHB_INTERFACE_0_hprot), 191 | .AHB_INTERFACE_0_hrdata(AHB_INTERFACE_0_hrdata), 192 | .AHB_INTERFACE_0_hready_in(AHB_INTERFACE_0_hready_in), 193 | .AHB_INTERFACE_0_hready_out(AHB_INTERFACE_0_hready_out), 194 | .AHB_INTERFACE_0_hresp(AHB_INTERFACE_0_hresp), 195 | .AHB_INTERFACE_0_hsize(AHB_INTERFACE_0_hsize), 196 | .AHB_INTERFACE_0_htrans(AHB_INTERFACE_0_htrans), 197 | .AHB_INTERFACE_0_hwdata(AHB_INTERFACE_0_hwdata), 198 | .AHB_INTERFACE_0_hwrite(AHB_INTERFACE_0_hwrite), 199 | .AHB_INTERFACE_0_sel(AHB_INTERFACE_0_sel), 200 | .DDR_0_addr(DDR_addr), 201 | .DDR_0_ba(DDR_ba), 202 | .DDR_0_cas_n(DDR_cas_n), 203 | .DDR_0_ck_n(DDR_ck_n), 204 | .DDR_0_ck_p(DDR_ck_p), 205 | .DDR_0_cke(DDR_cke), 206 | .DDR_0_cs_n(DDR_cs_n), 207 | .DDR_0_dm(DDR_dm), 208 | .DDR_0_dq(DDR_dq), 209 | .DDR_0_dqs_n(DDR_dqs_n), 210 | .DDR_0_dqs_p(DDR_dqs_p), 211 | .DDR_0_odt(DDR_odt), 212 | .DDR_0_ras_n(DDR_ras_n), 213 | .DDR_0_reset_n(DDR_reset_n), 214 | .DDR_0_we_n(DDR_we_n), 215 | .FIXED_IO_0_ddr_vrn(FIXED_IO_ddr_vrn), 216 | .FIXED_IO_0_ddr_vrp(FIXED_IO_ddr_vrp), 217 | .FIXED_IO_0_mio(FIXED_IO_mio), 218 | .FIXED_IO_0_ps_clk(FIXED_IO_ps_clk), 219 | .FIXED_IO_0_ps_porb(FIXED_IO_ps_porb), 220 | .FIXED_IO_0_ps_srstb(FIXED_IO_ps_srstb), 221 | .UART0_rxd(UART0_rxd), 222 | .UART0_txd(UART0_txd), 223 | .clk(clk), 224 | .reset(reset)); 225 | endmodule 226 | -------------------------------------------------------------------------------- /vector_add.v: -------------------------------------------------------------------------------- 1 | module vector_add 2 | ( 3 | output reg [31:0]AHB_INTERFACE_0_haddr, //indicate read/write address 4 | output reg [2:0]AHB_INTERFACE_0_hburst, 5 | output reg [3:0]AHB_INTERFACE_0_hprot, 6 | input [31:0]AHB_INTERFACE_0_hrdata, //datastream you read 7 | output reg AHB_INTERFACE_0_hready_in, //indicate whether the slave are ready 8 | input AHB_INTERFACE_0_hready_out, //indicate whether the master are ready 9 | input AHB_INTERFACE_0_hresp, 10 | output reg [2:0]AHB_INTERFACE_0_hsize, //we use 010 value that indicate 4byte word size 11 | output reg [1:0]AHB_INTERFACE_0_htrans, 12 | output reg [31:0]AHB_INTERFACE_0_hwdata, //datastream you write 13 | output reg AHB_INTERFACE_0_hwrite, 14 | output reg AHB_INTERFACE_0_sel, 15 | input start, //start when this value go to 1 from 0. 16 | output reg finish, //put finish to 1 when this process is over 17 | input clk, 18 | input reset, 19 | output [6:0] state_test //to check the state number when we run simulation 20 | ); 21 | 22 | reg [6:0] state; // 7bit state. maximum state is 127 23 | assign state_test = state; 24 | reg [31:0] address_offset; // it is set to read data phase 25 | reg [31:0] address_offset_max; // it is set to write data phase 26 | reg [1:0] max_cnt; // count for max_pooling 27 | reg col; // check whether you read first column or not 28 | reg row; // check whether you read odd row or not 29 | reg [7:0] max1; // to store max pooling result in first order of 4 byte in write data. 30 | reg [7:0] max2; // to store max pooling result in second order of 4 byte in write data. 31 | reg [7:0] max3; // to store max pooling result in third order of 4 byte in write data. 32 | reg [7:0] max4; // to store max pooling result in last order of 4 byte in write data. 33 | reg [7:0] temp; // to store max pooling result in out of order of 4 byte in write data, temporarily 34 | 35 | reg [671:0] databus_1; //the first databus 36 | reg [671:0] databus_2; //the second databus 37 | reg [671:0] databus_3; //the third databus 38 | 39 | reg signed [7:0] w1; // 16 of weigh value 40 | reg signed [7:0] w2; 41 | reg signed [7:0] w3; 42 | reg signed [7:0] w4; 43 | reg signed [7:0] w5; 44 | reg signed [7:0] w6; 45 | reg signed [7:0] w7; 46 | reg signed [7:0] w8; 47 | reg signed [7:0] w9; 48 | reg signed [7:0] im1; // 24 of image value 49 | reg signed [7:0] im2; 50 | reg signed [7:0] im3; 51 | reg signed [7:0] im4; 52 | reg signed [7:0] im5; 53 | reg signed [7:0] im6; 54 | reg signed [7:0] im7; 55 | reg signed [7:0] im8; 56 | reg signed [7:0] im9; 57 | reg signed [7:0] im10; 58 | reg signed [7:0] im11; 59 | reg signed [7:0] im12; 60 | reg signed [7:0] im13; 61 | reg signed [7:0] im14; 62 | reg signed [7:0] im15; 63 | reg signed [7:0] im16; 64 | reg signed [7:0] im17; 65 | reg signed [7:0] im18; 66 | reg signed [7:0] im19; 67 | reg signed [7:0] im20; 68 | reg signed [7:0] im21; 69 | reg signed [7:0] im22; 70 | reg signed [7:0] im23; 71 | reg signed [7:0] im24; 72 | 73 | wire signed [7:0] temp1; //8 convolution value 74 | wire signed [7:0] temp2; 75 | wire signed [7:0] temp3; 76 | wire signed [7:0] temp4; 77 | wire [7:0] temp5; 78 | wire [7:0] temp6; 79 | wire [7:0] temp7; 80 | wire [7:0] temp8; 81 | 82 | // calculate convolution in wire. 83 | 84 | assign temp1 =((im1*w1 + im2*w2 + im3*w3 + im5*w4 + im6*w5 + im7*w6 + im9*w7 + im10*w8 + im11*w9) >0 ? (((im1*w1 + im2*w2 + im3*w3 + im5*w4 + im6*w5 + im7*w6 + im9*w7 + im10*w8 + im11*w9) >> 7)&255) : 0); 85 | assign temp2 =((im2*w1 + im3*w2 + im4*w3 + im6*w4 + im7*w5 + im8*w6 + im10*w7 + im11*w8 + im12*w9) >0 ? (((im2*w1 + im3*w2 + im4*w3 + im6*w4 + im7*w5 + im8*w6 + im10*w7 + im11*w8 + im12*w9) >> 7)&255) : 0); 86 | assign temp3 =((im5*w1 + im6*w2 + im7*w3 + im9*w4 + im10*w5 + im11*w6 + im13*w7 + im14*w8 + im15*w9) >0 ? (((im5*w1 + im6*w2 + im7*w3 + im9*w4 + im10*w5 + im11*w6 + im13*w7 + im14*w8 + im15*w9)>> 7)&255) : 0); 87 | assign temp4 =((im6*w1 + im7*w2 + im8*w3 + im10*w4 + im11*w5 + im12*w6 + im14*w7 + im15*w8 + im16*w9) >0 ? (((im6*w1 + im7*w2 + im8*w3 + im10*w4 + im11*w5 + im12*w6 + im14*w7 + im15*w8 + im16*w9) >> 7)&255) : 0); 88 | 89 | assign temp5 =((im17*w1 + im18*w2 + im1*w3 + im19*w4 + im20*w5 + im5*w6 + im21*w7 + im22*w8 + im9*w9) >0 ? (((im17*w1 + im18*w2 + im1*w3 + im19*w4 + im20*w5 + im5*w6 + im21*w7 + im22*w8 + im9*w9) >> 7)&255) : 0); 90 | assign temp6 =((im18*w1 + im1*w2 + im2*w3 + im20*w4 + im5*w5 + im6*w6 + im22*w7 + im9*w8 + im10*w9) >0 ? (((im18*w1 + im1*w2 + im2*w3 + im20*w4 + im5*w5 + im6*w6 + im22*w7 + im9*w8 + im10*w9) >> 7)&255) : 0); 91 | assign temp7 =((im19*w1 + im20*w2 + im5*w3 + im21*w4 + im22*w5 + im9*w6 + im23*w7 + im24*w8 + im13*w9) >0 ? (((im19*w1 + im20*w2 + im5*w3 + im21*w4 + im22*w5 + im9*w6 + im23*w7 + im24*w8 + im13*w9) >> 7)&255) : 0); 92 | assign temp8 =((im20*w1 + im5*w2 + im6*w3 + im22*w4 + im9*w5 + im10*w6 + im24*w7 + im13*w8 + im14*w9) >0 ? (((im20*w1 + im5*w2 + im6*w3 + im22*w4 + im9*w5 + im10*w6 + im24*w7 + im13*w8 + im14*w9) >> 7)&255) : 0); 93 | 94 | 95 | always @(posedge clk) begin 96 | 97 | if ( !reset ) begin 98 | //initialization all registor 99 | AHB_INTERFACE_0_haddr <= 0; 100 | AHB_INTERFACE_0_hburst <= 0; 101 | AHB_INTERFACE_0_hprot <= 0; 102 | AHB_INTERFACE_0_hready_in <= 0; 103 | AHB_INTERFACE_0_hsize <= 0; 104 | AHB_INTERFACE_0_htrans <= 0; 105 | AHB_INTERFACE_0_hwdata <= 0; 106 | AHB_INTERFACE_0_hwrite <= 0; 107 | AHB_INTERFACE_0_sel <= 0; 108 | address_offset <= 0; 109 | address_offset_max <= 0; 110 | finish <= 0; 111 | state <= 0; 112 | max_cnt <= 0; 113 | col<= 0; 114 | row <=0; 115 | max1<= 0; 116 | max2<= 0; 117 | max3<= 0; 118 | max4<= 0; 119 | temp<= 0; 120 | databus_1 <=0; 121 | databus_2 <=0; 122 | databus_3 <=0; 123 | w1 <= 0; 124 | w2 <= 0; 125 | w3 <= 0; 126 | w4 <= 0; 127 | w5 <= 0; 128 | w6 <= 0; 129 | w7 <= 0; 130 | w8 <= 0; 131 | w9 <= 0; 132 | im1 <= 0; 133 | im2 <= 0; 134 | im3 <= 0; 135 | im4 <= 0; 136 | im5 <= 0; 137 | im6 <= 0; 138 | im7 <= 0; 139 | im8 <= 0; 140 | im9 <= 0; 141 | im10 <= 0; 142 | im11 <= 0; 143 | im12 <= 0; 144 | im13 <= 0; 145 | im14 <= 0; 146 | im15 <= 0; 147 | im16 <= 0; 148 | im17 <= 0; 149 | im18 <= 0; 150 | im19 <= 0; 151 | im20 <= 0; 152 | im21 <= 0; 153 | im22 <= 0; 154 | im23 <= 0; 155 | im24 <= 0; 156 | end 157 | else begin 158 | case(state) 159 | //loop1 160 | 7'd0: begin 161 | if ( start ) 162 | state <= 7'd1; 163 | end 164 | 165 | 7'd1: begin //try to read first 4 weight value 166 | if ( (AHB_INTERFACE_0_hready_out == 1) ) begin 167 | AHB_INTERFACE_0_htrans <= 2'b10; 168 | AHB_INTERFACE_0_haddr <= 32'h4001_0000; 169 | AHB_INTERFACE_0_hburst <= 0; 170 | AHB_INTERFACE_0_hsize <= 3'b010; 171 | AHB_INTERFACE_0_hready_in <= 1; 172 | AHB_INTERFACE_0_sel <= 1; 173 | AHB_INTERFACE_0_hprot <= 1; 174 | 175 | state <= 7'd2; 176 | 177 | end 178 | end 179 | 180 | 7'd2: begin 181 | AHB_INTERFACE_0_hready_in <= 0; 182 | AHB_INTERFACE_0_htrans <= 0; 183 | state <= 7'd3; 184 | end 185 | 186 | 7'd3: begin //write weight value in my registor 187 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 188 | w1 <= AHB_INTERFACE_0_hrdata[7:0]; 189 | w2 <= AHB_INTERFACE_0_hrdata[15:8]; 190 | w3 <= AHB_INTERFACE_0_hrdata[23:16]; 191 | w4 <= AHB_INTERFACE_0_hrdata[31:24]; 192 | AHB_INTERFACE_0_sel <= 0; 193 | AHB_INTERFACE_0_hprot <= 0; 194 | AHB_INTERFACE_0_hready_in <= 1; 195 | 196 | state <= 7'd4; 197 | 198 | end 199 | end 200 | 201 | 7'd4: begin //try to read second 4 weight value 202 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 203 | AHB_INTERFACE_0_htrans <= 2'b10; 204 | AHB_INTERFACE_0_haddr <= 32'h4001_0000 + 4; 205 | AHB_INTERFACE_0_hburst <= 0; 206 | AHB_INTERFACE_0_hsize <= 3'b010; 207 | AHB_INTERFACE_0_hready_in <= 1; 208 | AHB_INTERFACE_0_sel <= 1; 209 | AHB_INTERFACE_0_hprot <= 1; 210 | 211 | state <= 7'd5; 212 | 213 | end 214 | end 215 | 216 | 7'd5: begin 217 | AHB_INTERFACE_0_hready_in <= 0; 218 | AHB_INTERFACE_0_htrans <= 0; 219 | state <= 7'd6; 220 | end 221 | 222 | 7'd6: begin //write weight value in my registor 223 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 224 | w5 <= AHB_INTERFACE_0_hrdata[7:0]; 225 | w6 <= AHB_INTERFACE_0_hrdata[15:8]; 226 | w7 <= AHB_INTERFACE_0_hrdata[23:16]; 227 | w8 <= AHB_INTERFACE_0_hrdata[31:24]; 228 | AHB_INTERFACE_0_sel <= 0; 229 | AHB_INTERFACE_0_hprot <= 0; 230 | AHB_INTERFACE_0_hready_in <= 1; 231 | state <= 7'd7; 232 | end 233 | end 234 | 235 | 7'd7: begin //try to read last one weight value 236 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 237 | AHB_INTERFACE_0_htrans <= 2'b10; 238 | AHB_INTERFACE_0_haddr <= 32'h4001_0000 + 8; 239 | AHB_INTERFACE_0_hburst <= 0; 240 | AHB_INTERFACE_0_hsize <= 3'b010; 241 | AHB_INTERFACE_0_hready_in <= 1; 242 | AHB_INTERFACE_0_sel <= 1; 243 | AHB_INTERFACE_0_hprot <= 1; 244 | state <= 7'd8; 245 | end 246 | end 247 | 248 | 7'd8: begin 249 | AHB_INTERFACE_0_hready_in <= 0; 250 | AHB_INTERFACE_0_htrans <= 0; 251 | state <= 7'd9; 252 | end 253 | 254 | 7'd9: begin //write weight value in my registor 255 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 256 | w9 <= AHB_INTERFACE_0_hrdata[7:0]; 257 | AHB_INTERFACE_0_sel <= 0; 258 | AHB_INTERFACE_0_hprot <= 0; 259 | AHB_INTERFACE_0_hready_in <= 1; 260 | state <= 7'd10; 261 | end 262 | end 263 | 264 | //loop2 265 | 7'd10: begin //try to read first line of image array 266 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 267 | AHB_INTERFACE_0_htrans <= 2'b10; 268 | AHB_INTERFACE_0_haddr <= 32'h4000_0000 + address_offset; 269 | AHB_INTERFACE_0_hburst <= 0; 270 | AHB_INTERFACE_0_hsize <= 3'b010; 271 | AHB_INTERFACE_0_hready_in <= 1; 272 | AHB_INTERFACE_0_sel <= 1; 273 | AHB_INTERFACE_0_hprot <= 1; 274 | state <= 7'd11; 275 | end 276 | end 277 | 278 | 7'd11: begin 279 | AHB_INTERFACE_0_hready_in <= 0; 280 | AHB_INTERFACE_0_htrans <= 0; 281 | state <= 7'd12; 282 | end 283 | 284 | 7'd12: begin //store the first row of image array in my databus_1 285 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 286 | databus_1 <= databus_1 | (AHB_INTERFACE_0_hrdata<<(address_offset*8)); 287 | 288 | 289 | AHB_INTERFACE_0_sel <= 0; 290 | AHB_INTERFACE_0_hprot <= 0; 291 | AHB_INTERFACE_0_hready_in <= 1; 292 | 293 | state <= 7'd13; 294 | 295 | end 296 | end 297 | 298 | 7'd13: begin //try to read first line of image array 299 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 300 | AHB_INTERFACE_0_htrans <= 2'b10; 301 | AHB_INTERFACE_0_haddr <= 32'h4000_0000 + 84 + address_offset; 302 | AHB_INTERFACE_0_hburst <= 0; 303 | AHB_INTERFACE_0_hsize <= 3'b010; 304 | AHB_INTERFACE_0_hready_in <= 1; 305 | AHB_INTERFACE_0_sel <= 1; 306 | AHB_INTERFACE_0_hprot <= 1; 307 | 308 | 309 | state <= 7'd14; 310 | 311 | end 312 | end 313 | 314 | 7'd14: begin 315 | AHB_INTERFACE_0_hready_in <= 0; 316 | AHB_INTERFACE_0_htrans <= 0; 317 | 318 | 319 | state <= 7'd15; 320 | 321 | end 322 | 7'd15: begin //store the second row of image array in my databus_2 323 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 324 | databus_2 <= databus_2 | (AHB_INTERFACE_0_hrdata<<(address_offset*8)); 325 | 326 | AHB_INTERFACE_0_sel <= 0; 327 | AHB_INTERFACE_0_hprot <= 0; 328 | AHB_INTERFACE_0_hready_in <= 1; 329 | 330 | state <= 7'd16; 331 | end 332 | end 333 | 334 | 7'd16: begin//try to read first line of image array 335 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 336 | AHB_INTERFACE_0_htrans <= 2'b10; 337 | AHB_INTERFACE_0_haddr <= 32'h4000_0000 + 168 + address_offset; 338 | AHB_INTERFACE_0_hburst <= 0; 339 | AHB_INTERFACE_0_hsize <= 3'b010; 340 | AHB_INTERFACE_0_hready_in <= 1; 341 | AHB_INTERFACE_0_sel <= 1; 342 | AHB_INTERFACE_0_hprot <= 1; 343 | 344 | state <= 7'd17; 345 | 346 | end 347 | end 348 | 349 | 7'd17: begin 350 | AHB_INTERFACE_0_hready_in <= 0; 351 | AHB_INTERFACE_0_htrans <= 0; 352 | 353 | 354 | state <= 7'd18; 355 | 356 | end 357 | 7'd18: begin //store the third row of image array in my databus_3 358 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 359 | databus_3 <= databus_3 | (AHB_INTERFACE_0_hrdata<<(address_offset*8)); 360 | AHB_INTERFACE_0_sel <= 0; 361 | AHB_INTERFACE_0_hprot <= 0; 362 | AHB_INTERFACE_0_hready_in <= 1; 363 | 364 | state <= 7'd19; 365 | end 366 | end 367 | //check the address_offset whether it reach last of column and have to change the row. if it reach the last of column, goto the next state. 368 | //or not, goto state 10 and read repeat. 369 | 7'd19: begin 370 | if ( AHB_INTERFACE_0_hready_out == 1 && AHB_INTERFACE_0_hresp == 0) begin 371 | AHB_INTERFACE_0_sel <= 0; 372 | AHB_INTERFACE_0_hprot <= 0; 373 | AHB_INTERFACE_0_hwdata <= 0; 374 | AHB_INTERFACE_0_hwrite <= 0; 375 | AHB_INTERFACE_0_hready_in <= 1; 376 | if ( address_offset !=80 ) begin 377 | address_offset <= address_offset + 4; 378 | state <= 7'd10; 379 | end 380 | else begin 381 | 382 | address_offset<=0; 383 | state <= 7'd20; 384 | 385 | end 386 | end 387 | end 388 | 389 | //try to read new row that does not read by databus 390 | 7'd20: begin 391 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 392 | AHB_INTERFACE_0_htrans <= 2'b10; 393 | AHB_INTERFACE_0_haddr <= 32'h4000_0000 + 252 + address_offset; 394 | AHB_INTERFACE_0_hburst <= 0; 395 | AHB_INTERFACE_0_hsize <= 3'b010; 396 | AHB_INTERFACE_0_hready_in <= 1; 397 | AHB_INTERFACE_0_sel <= 1; 398 | AHB_INTERFACE_0_hprot <= 1; 399 | state <= 7'd21; 400 | 401 | 402 | end 403 | end 404 | 405 | //move the middle of data that have to store to calculate for convolution to im17, im18.. it is like temp registor. 406 | 7'd21: begin 407 | im17 <= im3; 408 | im18 <= im4; 409 | im19 <= im7; 410 | im20 <= im8; 411 | im21 <= im11; 412 | im22 <= im12; 413 | im23 <= im15; 414 | im24 <= im16; 415 | AHB_INTERFACE_0_hready_in <= 0; 416 | AHB_INTERFACE_0_htrans <= 0; 417 | state <= 7'd22; 418 | end 419 | 420 | 421 | //assign image value for calculate convolution. 422 | 7'd22: begin 423 | if ( AHB_INTERFACE_0_hready_out == 1 ) begin 424 | 425 | im1 <= databus_1[7:0]; 426 | im2 <= databus_1[15:8]; 427 | im3 <= databus_1[23:16]; 428 | im4 <= databus_1[31:24]; 429 | im5 <= databus_2[7:0]; 430 | im6 <= databus_2[15:8]; 431 | im7 <= databus_2[23:16]; 432 | im8 <= databus_2[31:24]; 433 | im9 <= databus_3[7:0]; 434 | im10 <= databus_3[15:8]; 435 | im11 <= databus_3[23:16]; 436 | im12 <= databus_3[31:24]; 437 | im13<=(AHB_INTERFACE_0_hrdata & 255); 438 | im14<=((AHB_INTERFACE_0_hrdata>>8) & 255); 439 | im15<=((AHB_INTERFACE_0_hrdata>>16) & 255); 440 | im16<=((AHB_INTERFACE_0_hrdata>>24) & 255); 441 | 442 | //move databus array 443 | databus_1 <= {databus_2[31:0], databus_1[671:32]};//(databus_1 >>32); 444 | databus_2 <= {databus_3[31:0], databus_2[671:32]};//(databus_2 >>32); 445 | 446 | AHB_INTERFACE_0_sel <= 0; 447 | AHB_INTERFACE_0_hprot <= 0; 448 | AHB_INTERFACE_0_hready_in <= 1; 449 | state<=7'd23; 450 | end 451 | end 452 | 453 | //move databus array. and check whether the read data reach the end of array. if reached, change the row value that make just read phase. 454 | //And set the col as 0, that make calculate max pooling just one. 455 | 7'd23: begin 456 | databus_3 <= {im16, im15, im14, im13, databus_3[671:32]};//databus_3 | ({im16, im15, im14, im13} << 640); 457 | address_offset <= address_offset + 4; 458 | if ((address_offset%84)==80) begin 459 | row <= ~row; 460 | col <= 0; 461 | end 462 | state <= 7'd100; 463 | end 464 | 465 | 466 | //calculate max pooling. 467 | 7'd100 : begin 468 | if (row==1) begin 469 | state<=7'd20; 470 | end 471 | else if ( max_cnt==0) begin 472 | if (col==0) begin 473 | max1 <= ((temp1 > temp2) ? ((temp1 > temp3) ? ((temp1 > temp4) ? temp1 : temp4) : ( (temp3 > temp4) ? temp3 : temp4)) : ((temp2 > temp3) ? ((temp2 >temp4) ? temp2 : temp4) : ((temp3 > temp4) ? temp3 : temp4 ))); 474 | col<=1; 475 | max_cnt <= 1; 476 | state <= 7'd20; 477 | end 478 | else begin 479 | max2 <= ((temp1 > temp2) ? ((temp1 > temp3) ? ((temp1 > temp4) ? temp1 : temp4) : ( (temp3 > temp4) ? temp3 : temp4)) : ((temp2 > temp3) ? ((temp2 >temp4) ? temp2 : temp4) : ((temp3 > temp4) ? temp3 : temp4 ))); 480 | max1 <= ((temp5 > temp6) ? ((temp5 > temp7) ? ((temp5 > temp8) ? temp5 : temp8) : ( (temp7 > temp8) ? temp7 : temp8)) : ((temp6 > temp7) ? ((temp6 >temp8) ? temp6 : temp8) : ((temp7 > temp8) ? temp7 : temp8 ))); 481 | max_cnt <= 2; 482 | state <= 7'd20; 483 | end 484 | end 485 | else if ( max_cnt==1) begin 486 | if (col==0) begin 487 | max2 <= ((temp1 > temp2) ? ((temp1 > temp3) ? ((temp1 > temp4) ? temp1 : temp4) : ( (temp3 > temp4) ? temp3 : temp4)) : ((temp2 > temp3) ? ((temp2 >temp4) ? temp2 : temp4) : ((temp3 > temp4) ? temp3 : temp4 ))); 488 | col<=1; 489 | max_cnt <= 2; 490 | state <= 7'd20; 491 | 492 | end 493 | 494 | else begin 495 | max3 <= ((temp1 > temp2) ? ((temp1 > temp3) ? ((temp1 > temp4) ? temp1 : temp4) : ( (temp3 > temp4) ? temp3 : temp4)) : ((temp2 > temp3) ? ((temp2 >temp4) ? temp2 : temp4) : ((temp3 > temp4) ? temp3 : temp4 ))); 496 | max2 <= ((temp5 > temp6) ? ((temp5 > temp7) ? ((temp5 > temp8) ? temp5 : temp8) : ( (temp7 > temp8) ? temp7 : temp8)) : ((temp6 > temp7) ? ((temp6 >temp8) ? temp6 : temp8) : ((temp7 > temp8) ? temp7 : temp8 ))); 497 | max_cnt <= 3; 498 | state <= 7'd20; 499 | 500 | end 501 | end 502 | else if ( max_cnt==2) begin 503 | if (col==0) begin 504 | max3 <= ((temp1 > temp2) ? ((temp1 > temp3) ? ((temp1 > temp4) ? temp1 : temp4) : ( (temp3 > temp4) ? temp3 : temp4)) : ((temp2 > temp3) ? ((temp2 >temp4) ? temp2 : temp4) : ((temp3 > temp4) ? temp3 : temp4 ))); 505 | col<=1; 506 | max_cnt <= 3; 507 | state <= 7'd20; 508 | end 509 | else begin 510 | max4 <= ((temp1 > temp2) ? ((temp1 > temp3) ? ((temp1 > temp4) ? temp1 : temp4) : ( (temp3 > temp4) ? temp3 : temp4)) : ((temp2 > temp3) ? ((temp2 >temp4) ? temp2 : temp4) : ((temp3 > temp4) ? temp3 : temp4 ))); 511 | max3 <= ((temp5 > temp6) ? ((temp5 > temp7) ? ((temp5 > temp8) ? temp5 : temp8) : ( (temp7 > temp8) ? temp7 : temp8)) : ((temp6 > temp7) ? ((temp6 >temp8) ? temp6 : temp8) : ((temp7 > temp8) ? temp7 : temp8 ))); 512 | max_cnt <= 0; 513 | state <= 7'd101; 514 | end 515 | end 516 | else if ( max_cnt==3) begin 517 | if (col==0) begin 518 | max4 <= ((temp1 > temp2) ? ((temp1 > temp3) ? ((temp1 > temp4) ? temp1 : temp4) : ( (temp3 > temp4) ? temp3 : temp4)) : ((temp2 > temp3) ? ((temp2 >temp4) ? temp2 : temp4) : ((temp3 > temp4) ? temp3 : temp4 ))); 519 | col<=1; 520 | max_cnt <= 0; 521 | state <= 7'd101; 522 | end 523 | else begin 524 | temp <= ((temp1 > temp2) ? ((temp1 > temp3) ? ((temp1 > temp4) ? temp1 : temp4) : ( (temp3 > temp4) ? temp3 : temp4)) : ((temp2 > temp3) ? ((temp2 >temp4) ? temp2 : temp4) : ((temp3 > temp4) ? temp3 : temp4 ))); 525 | max4 <= ((temp5 > temp6) ? ((temp5 > temp7) ? ((temp5 > temp8) ? temp5 : temp8) : ( (temp7 > temp8) ? temp7 : temp8)) : ((temp6 > temp7) ? ((temp6 >temp8) ? temp6 : temp8) : ((temp7 > temp8) ? temp7 : temp8 ))); 526 | max_cnt <= 1; 527 | state <= 7'd101; 528 | end 529 | end 530 | end 531 | 532 | 7'd101 : begin // write finish code 533 | if ( AHB_INTERFACE_0_hready_out == 1 && AHB_INTERFACE_0_hresp == 0) begin 534 | 535 | AHB_INTERFACE_0_htrans <= 2'b10; 536 | AHB_INTERFACE_0_haddr <= 32'h4002_0000 + address_offset_max; 537 | AHB_INTERFACE_0_hburst <= 0; 538 | AHB_INTERFACE_0_hsize <= 3'b010; //Byte 539 | AHB_INTERFACE_0_hready_in <= 1; 540 | AHB_INTERFACE_0_sel <= 1; 541 | AHB_INTERFACE_0_hprot <= 9; 542 | AHB_INTERFACE_0_hwdata <= {max4, max3, max2, max1}; 543 | AHB_INTERFACE_0_hwrite <= 1; 544 | address_offset_max <= address_offset_max + 4; 545 | state<=7'd102; 546 | end 547 | end 548 | 549 | 7'd102: begin 550 | AHB_INTERFACE_0_htrans <= 0; 551 | AHB_INTERFACE_0_hready_in <= 0; 552 | state <= 7'd103; 553 | end 554 | 555 | //check the max_pooling offset whether we reach the end of process. 556 | 7'd103: begin 557 | if ( AHB_INTERFACE_0_hready_out == 1 && AHB_INTERFACE_0_hresp == 0) begin 558 | AHB_INTERFACE_0_sel <= 0; 559 | AHB_INTERFACE_0_hprot <= 0; 560 | AHB_INTERFACE_0_hwdata <= 0; 561 | AHB_INTERFACE_0_hwrite <= 0; 562 | AHB_INTERFACE_0_hready_in <= 1; 563 | if(address_offset_max==1680) begin 564 | state <= 7'd66; 565 | end 566 | else begin 567 | max1 <= temp; 568 | temp <=0 ; 569 | state <= 7'd20; 570 | end 571 | end 572 | end 573 | 574 | //write in finish memory 575 | 7'd66: begin 576 | finish <= 1; 577 | AHB_INTERFACE_0_haddr <= 0; 578 | AHB_INTERFACE_0_hburst <= 0; 579 | AHB_INTERFACE_0_hprot <= 0; 580 | AHB_INTERFACE_0_hready_in <= 0; 581 | AHB_INTERFACE_0_hsize <= 0; 582 | AHB_INTERFACE_0_htrans <= 0; 583 | AHB_INTERFACE_0_hwdata <= 0; 584 | AHB_INTERFACE_0_hwrite <= 0; 585 | AHB_INTERFACE_0_sel <= 0; 586 | end 587 | 588 | endcase 589 | end 590 | end 591 | 592 | 593 | endmodule 594 | 595 | 596 | 597 | 598 | --------------------------------------------------------------------------------