├── Interprocess_communications ├── mailbox │ ├── genric_mailbox │ │ ├── bounded_mailbox │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── bound.sv │ │ │ └── bound_op.log │ │ └── unbounded_mailbox │ │ │ ├── Makefile │ │ │ ├── unbound.sv │ │ │ └── unbound_op.log │ ├── methods_in_mailbox │ │ ├── get_method │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── get.sv │ │ │ └── get_op.log │ │ ├── new_method │ │ │ ├── .gitignore │ │ │ ├── bounded │ │ │ │ ├── Makefile │ │ │ │ ├── new_md.sv │ │ │ │ └── new_op.log │ │ │ └── unbounded │ │ │ │ ├── Makefile │ │ │ │ ├── new_md.sv │ │ │ │ └── new_op.log │ │ ├── num_method │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── num.sv │ │ │ └── num_op.log │ │ ├── peek_method │ │ │ ├── Makefile │ │ │ ├── peek.sv │ │ │ └── peek_op.log │ │ ├── put_method │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── put.sv │ │ │ └── put_op.log │ │ ├── try_get_method │ │ │ ├── Makefile │ │ │ ├── try_get.sv │ │ │ └── try_get_op.log │ │ ├── try_peek_method │ │ │ ├── Makefile │ │ │ ├── try_peek.sv │ │ │ └── try_peek_op.log │ │ └── try_put_method │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── try_put.sv │ │ │ └── try_put_op.log │ └── parameterized_mailbox │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── para_mailbox.log │ │ └── para_mailbox.sv └── semaphore │ ├── sema_example1 │ ├── .gitignore │ ├── Makefile │ ├── sema_exm1.log │ └── sema_exm1.sv │ ├── sema_example2 │ ├── .gitignore │ ├── Makefile │ ├── sema_exm2.log │ └── sema_exm2.sv │ └── sema_example3 │ ├── .gitignore │ ├── Makefile │ ├── sema_exm3.log │ └── sema_exm3.sv ├── Misc_constructs ├── Command_Line_Arguments │ ├── testargs_1 │ │ ├── .gitignore │ │ ├── CLI_testargs.log │ │ ├── CLI_testargs.sv │ │ └── Makefile │ ├── testargs_2 │ │ ├── .gitignore │ │ ├── CLI_testargs.log │ │ ├── CLI_testargs.sv │ │ └── Makefile │ ├── valueargs_1 │ │ ├── .gitigonre │ │ ├── CLI_valueargs.log │ │ ├── CLI_valueargs.sv │ │ ├── Makefile │ │ └── work │ │ │ ├── @_opt │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ ├── _lib2_0.qdb │ │ │ ├── _lib2_0.qpg │ │ │ ├── _lib2_0.qtl │ │ │ ├── _lib3_0.qdb │ │ │ ├── _lib3_0.qpg │ │ │ ├── _lib3_0.qtl │ │ │ ├── _lib4_0.qdb │ │ │ ├── _lib4_0.qpg │ │ │ └── _lib4_0.qtl │ │ │ ├── _info │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ └── _vmake │ └── valueargs_2 │ │ ├── .gitignore │ │ ├── CLI_valueargs.log │ │ ├── CLI_valueargs1.sv │ │ ├── Makefile │ │ └── sample.txt ├── Package │ ├── .gitignore │ ├── Makefile │ ├── package.log │ ├── package_ex.sv │ └── package_module.sv ├── Program_block │ ├── .gitignore │ ├── Makefile │ ├── program_block.log │ └── program_block.sv ├── dynamic_casting │ ├── .gitignore │ ├── Makefile │ ├── dynamic_casting.log │ ├── dynamic_casting.sv │ └── work │ │ ├── @_opt │ │ ├── _lib.qdb │ │ ├── _lib1_0.qdb │ │ ├── _lib1_0.qpg │ │ ├── _lib1_0.qtl │ │ ├── _lib2_0.qdb │ │ ├── _lib2_0.qpg │ │ ├── _lib2_0.qtl │ │ ├── _lib3_0.qdb │ │ ├── _lib3_0.qpg │ │ ├── _lib3_0.qtl │ │ ├── _lib4_0.qdb │ │ ├── _lib4_0.qpg │ │ └── _lib4_0.qtl │ │ ├── _info │ │ ├── _lib.qdb │ │ ├── _lib1_0.qdb │ │ ├── _lib1_0.qpg │ │ ├── _lib1_0.qtl │ │ └── _vmake ├── events │ ├── blocking_nonblocking_events │ │ ├── blocking_events │ │ │ ├── Makefile │ │ │ ├── blocking_events.log │ │ │ └── blocking_events.sv │ │ └── non_blocking_events │ │ │ ├── Makefile │ │ │ ├── non_blocking_events.log │ │ │ └── non_blocking_events.sv │ ├── merging_events │ │ ├── Makefile │ │ ├── merging_events.log │ │ └── merging_events.sv │ ├── wait_@ │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── wait_@.log │ │ └── wait_@.sv │ └── wait_order │ │ ├── .gitignore │ │ ├── Makefile │ │ └── wait_order.sv ├── file_handling │ ├── file_eof │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── file_eof.log │ │ ├── file_eof.sv │ │ ├── hihihi.sv │ │ ├── transcript │ │ └── work │ │ │ ├── @_opt │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ ├── _lib2_0.qdb │ │ │ ├── _lib2_0.qpg │ │ │ ├── _lib2_0.qtl │ │ │ ├── _lib3_0.qdb │ │ │ ├── _lib3_0.qpg │ │ │ ├── _lib3_0.qtl │ │ │ ├── _lib4_0.qdb │ │ │ ├── _lib4_0.qpg │ │ │ └── _lib4_0.qtl │ │ │ ├── _info │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ └── _vmake │ ├── file_format │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── file │ │ ├── file_format.log │ │ ├── file_format.sv │ │ └── work │ │ │ ├── @_opt │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ ├── _lib2_0.qdb │ │ │ ├── _lib2_0.qpg │ │ │ ├── _lib2_0.qtl │ │ │ ├── _lib3_0.qdb │ │ │ ├── _lib3_0.qpg │ │ │ ├── _lib3_0.qtl │ │ │ ├── _lib4_0.qdb │ │ │ ├── _lib4_0.qpg │ │ │ └── _lib4_0.qtl │ │ │ ├── _info │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ └── _vmake │ ├── file_get │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── file │ │ ├── file_get.log │ │ ├── file_get.sv │ │ └── work │ │ │ ├── @_opt │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ ├── _lib2_0.qdb │ │ │ ├── _lib2_0.qpg │ │ │ ├── _lib2_0.qtl │ │ │ ├── _lib3_0.qdb │ │ │ ├── _lib3_0.qpg │ │ │ ├── _lib3_0.qtl │ │ │ ├── _lib4_0.qdb │ │ │ ├── _lib4_0.qpg │ │ │ └── _lib4_0.qtl │ │ │ ├── _info │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ └── _vmake │ ├── file_handles │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── file_handle │ │ ├── file_handles.log │ │ ├── file_handles.sv │ │ └── work │ │ │ ├── @_opt │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ ├── _lib2_0.qdb │ │ │ ├── _lib2_0.qpg │ │ │ ├── _lib2_0.qtl │ │ │ ├── _lib3_0.qdb │ │ │ ├── _lib3_0.qpg │ │ │ ├── _lib3_0.qtl │ │ │ ├── _lib4_0.qdb │ │ │ ├── _lib4_0.qpg │ │ │ └── _lib4_0.qtl │ │ │ ├── _info │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ └── _vmake │ ├── file_modes │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── file_modes.log │ │ ├── file_modes.sv │ │ ├── fileoperations │ │ └── work │ │ │ ├── @_opt │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ ├── _lib2_0.qdb │ │ │ ├── _lib2_0.qpg │ │ │ ├── _lib2_0.qtl │ │ │ ├── _lib3_0.qdb │ │ │ ├── _lib3_0.qpg │ │ │ ├── _lib3_0.qtl │ │ │ ├── _lib4_0.qdb │ │ │ ├── _lib4_0.qpg │ │ │ └── _lib4_0.qtl │ │ │ ├── _info │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ └── _vmake │ ├── file_readmem │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── file_readmem.log │ │ ├── file_readmem.sv │ │ ├── hihihi.sv │ │ └── work │ │ │ ├── @_opt │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ ├── _lib2_0.qdb │ │ │ ├── _lib2_0.qpg │ │ │ ├── _lib2_0.qtl │ │ │ ├── _lib3_0.qdb │ │ │ ├── _lib3_0.qpg │ │ │ ├── _lib3_0.qtl │ │ │ ├── _lib4_0.qdb │ │ │ ├── _lib4_0.qpg │ │ │ └── _lib4_0.qtl │ │ │ ├── _info │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ └── _vmake │ └── file_scanf │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── file_scanf.log │ │ ├── file_scanf.sv │ │ ├── hihihi.sv │ │ └── work │ │ ├── @_opt │ │ ├── _lib.qdb │ │ ├── _lib1_0.qdb │ │ ├── _lib1_0.qpg │ │ ├── _lib1_0.qtl │ │ ├── _lib2_0.qdb │ │ ├── _lib2_0.qpg │ │ ├── _lib2_0.qtl │ │ ├── _lib3_0.qdb │ │ ├── _lib3_0.qpg │ │ ├── _lib3_0.qtl │ │ ├── _lib4_0.qdb │ │ ├── _lib4_0.qpg │ │ └── _lib4_0.qtl │ │ ├── _info │ │ ├── _lib.qdb │ │ ├── _lib1_0.qdb │ │ ├── _lib1_0.qpg │ │ ├── _lib1_0.qtl │ │ └── _vmake └── scope_resolution_operator │ ├── sro_avoid │ ├── .gitignore │ ├── Makefile │ ├── sro_avoid.log │ └── sro_avoid.sv │ ├── sro_extern │ ├── .gitignore │ ├── Makefile │ ├── sro_extern.log │ └── sro_extern.sv │ ├── sro_pkg │ ├── .gitignore │ ├── Makefile │ ├── sro_pkg.log │ └── sro_pkg.sv │ └── sro_static │ ├── .gitignore │ ├── Makefile │ ├── sro_static.log │ └── sro_static.sv ├── README.md ├── assertion ├── SVA_Methods │ ├── countones │ │ ├── .gitignore │ │ ├── countones.log │ │ ├── countones.sv │ │ ├── makefile │ │ ├── ucli.key │ │ └── waveform.vcd │ ├── fell │ │ ├── .gitignore │ │ ├── fell.log │ │ ├── fell.sv │ │ ├── makefile │ │ ├── ucli.key │ │ └── waveform.vcd │ ├── isunknown │ │ ├── .gitignore │ │ ├── isunknown.log │ │ ├── isunknown.sv │ │ ├── makefile │ │ ├── ucli.key │ │ └── waveform.vcd │ ├── onehot │ │ ├── .gitignore │ │ ├── makefile │ │ ├── onehot.log │ │ ├── onehot.sv │ │ ├── ucli.key │ │ └── waveform.vcd │ ├── onehot0 │ │ ├── .gitignore │ │ ├── makefile │ │ ├── onehot0.log │ │ ├── onehot0.sv │ │ ├── ucli.key │ │ └── waveform.vcd │ ├── past │ │ ├── .gitignore │ │ ├── makefile │ │ ├── past.log │ │ ├── past.sv │ │ ├── ucli.key │ │ └── waveform.vcd │ ├── rose │ │ ├── .gitignore │ │ ├── makefile │ │ ├── rose.log │ │ ├── rose.sv │ │ ├── ucli.key │ │ └── waveform.vcd │ └── stable │ │ ├── .gitignore │ │ ├── makefile │ │ ├── stable.log │ │ ├── stable.sv │ │ ├── ucli.key │ │ └── waveform.vcd ├── concurrent_assertion │ ├── .gitignore │ ├── assertion.log │ ├── design.sv │ ├── makefile │ ├── test.sv │ └── waveform.vcd ├── disable_ended_assertion │ ├── disable_assertion │ │ ├── .gitignore │ │ ├── andgate.sv │ │ ├── disable.log │ │ ├── makefile │ │ ├── test.sv │ │ └── waveform.vcd │ ├── ended_assertion │ │ ├── .gitignore │ │ ├── ended.log │ │ ├── ended.sv │ │ ├── makefile │ │ └── waveform.vcd │ └── without_ended_assertion │ │ ├── .gitignore │ │ ├── makefile │ │ ├── waveform.vcd │ │ ├── without_ended.log │ │ └── without_ended.sv ├── formal_argument │ ├── .gitignore │ ├── formal_argument.log │ ├── formal_argument.sv │ ├── makefile │ └── waveform.vcd ├── immediate_assertion │ ├── .gitignore │ ├── assertion.log │ ├── design.sv │ ├── makefile │ ├── test.sv │ └── waveform.vcd ├── implication_operator │ ├── nonoverlapped_implication │ │ ├── .gitignore │ │ ├── makefile │ │ ├── nonoverlapped.log │ │ ├── nonoverlapped.sv │ │ └── waveform.vcd │ └── overlapped_implication │ │ ├── .gitignore │ │ ├── makefile │ │ ├── overlapped.log │ │ ├── overlapped.sv │ │ └── waveform.vcd ├── repetition_operators │ ├── consecutive_repetition │ │ ├── .gitignore │ │ ├── consecutive_repetition.log │ │ ├── consecutive_repetition.sv │ │ ├── makefile │ │ ├── nWaveLog │ │ │ ├── nWave.cmd │ │ │ ├── novas.rc │ │ │ ├── pes.bat │ │ │ └── turbo.log │ │ ├── novas.conf │ │ ├── novas.rc │ │ ├── ucli.key │ │ ├── vfastLog │ │ │ ├── pes.bat │ │ │ └── turbo.log │ │ ├── waveform.vcd │ │ └── waveform.vcd.fsdb │ ├── goto_repetition │ │ ├── .gitignore │ │ ├── goto_repetition.log │ │ ├── goto_repetition.sv │ │ ├── makefile │ │ ├── ucli.key │ │ └── waveform.vcd │ └── nonconsecutive_repetition │ │ ├── .gitignore │ │ ├── makefile │ │ ├── nonconsecutive_repetition.log │ │ ├── nonconsecutive_repetition.sv │ │ ├── ucli.key │ │ └── waveform.vcd ├── sequence │ ├── .gitignore │ ├── makefile │ ├── sequence.log │ ├── sequence.sv │ └── waveform.vcd └── variable_delay │ ├── .gitignore │ ├── makefile │ ├── nWaveLog │ ├── nWave.cmd │ ├── pes.bat │ └── turbo.log │ ├── variable_delay.log │ ├── variable_delay.sv │ └── waveform.vcd ├── blocking_non_blocking ├── blocking_swap │ ├── .gitignore │ ├── blocking_swap.sv │ ├── blocking_swap_log.log │ └── makefile └── non_blocking_swap │ ├── .gitignore │ ├── makefile │ ├── non_blocking_swap.sv │ └── non_blocking_swap_log.log ├── break_continue ├── break │ ├── .gitignore │ ├── Makefile │ ├── break.sv │ └── break_op.log └── continue │ ├── .gitignore │ ├── Makefile │ ├── continue.sv │ └── continue_sv_op.log ├── classes ├── abstraction │ ├── abstract_class │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── abstract_class.log │ │ └── abstract_class.sv │ └── pure_virtual_function │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── pure_virtual_function.log │ │ └── pure_virtual_function.sv ├── chaining_constructs │ ├── basic_constructs_1 │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── chain_constructs.log │ │ └── chain_constructs.sv │ └── basic_constructs_2 │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── chain_constructs.log │ │ └── chain_constructs.sv ├── class │ ├── .gitignore │ ├── Makefile │ ├── class.log │ └── class.sv ├── class_handle │ ├── .gitignore │ ├── Makefile │ ├── handle.log │ └── handle.sv ├── class_object │ ├── .gitignore │ ├── Makefile │ ├── object.log │ └── object.sv ├── class_over_riding │ ├── .gitignore │ ├── Makefile │ ├── over_riding_class.log │ └── over_riding_class.sv ├── constant_variables │ ├── global_class │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── global_class.log │ │ └── global_class.sv │ └── instance_class │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── instance_class.log │ │ └── instance_class.sv ├── copying_methods │ ├── class_assignment │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── class_assignment.log │ │ └── class_assignment.sv │ ├── deep_copy │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── deep_copy.log │ │ └── deep_copy.sv │ └── shallow_copy │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── shallow_copy.log │ │ └── shallow_copy.sv ├── encapsulation │ ├── local │ │ ├── local │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── local.log │ │ │ └── local.sv │ │ └── local_error │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── local_error.log │ │ │ └── local_error.sv │ ├── protected │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── protected.log │ │ └── protected.sv │ └── public │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── public.log │ │ └── public.sv ├── extern_keyword │ ├── .gitignore │ ├── Makefile │ ├── extern_keyword.log │ └── extern_keyword.sv ├── inheritance │ ├── .gitignore │ ├── Makefile │ ├── inheritance.log │ └── inheritance.sv ├── parameterized_classes │ ├── parameter_datatype │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── parameter_datatype.log │ │ └── parameter_datatype.sv │ └── parameter_value │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── parameter_value.log │ │ └── parameter_value.sv ├── polymorphism │ ├── .gitignore │ ├── Makefile │ ├── polymorphism.log │ └── polymorphism.sv ├── static │ ├── static_methods │ │ ├── .gitigonre │ │ ├── Makefile │ │ ├── static_method.log │ │ ├── static_method.sv │ │ └── work │ │ │ ├── @_opt │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ ├── _lib2_0.qdb │ │ │ ├── _lib2_0.qpg │ │ │ ├── _lib2_0.qtl │ │ │ ├── _lib3_0.qdb │ │ │ ├── _lib3_0.qpg │ │ │ ├── _lib3_0.qtl │ │ │ ├── _lib4_0.qdb │ │ │ ├── _lib4_0.qpg │ │ │ └── _lib4_0.qtl │ │ │ ├── _info │ │ │ ├── _lib.qdb │ │ │ ├── _lib1_0.qdb │ │ │ ├── _lib1_0.qpg │ │ │ ├── _lib1_0.qtl │ │ │ └── _vmake │ └── static_properties │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── static_properties.log │ │ └── static_properties.sv ├── super_keyword │ ├── .gitignore │ ├── Makefile │ ├── super_keyword.log │ └── super_keyword.sv ├── this_keyword │ ├── .gitignore │ ├── Makefile │ ├── this_keyword.log │ └── this_keyword.sv ├── virtual_methods │ ├── virtual_function │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── virtual_function.log │ │ └── virtual_function.sv │ └── virtual_task │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── virtual_task.log │ │ ├── virtual_task.sv │ │ └── work │ │ ├── @_opt │ │ ├── _lib.qdb │ │ ├── _lib1_0.qdb │ │ ├── _lib1_0.qpg │ │ ├── _lib1_0.qtl │ │ ├── _lib2_0.qdb │ │ ├── _lib2_0.qpg │ │ ├── _lib2_0.qtl │ │ ├── _lib3_0.qdb │ │ ├── _lib3_0.qpg │ │ ├── _lib3_0.qtl │ │ ├── _lib4_0.qdb │ │ ├── _lib4_0.qpg │ │ └── _lib4_0.qtl │ │ ├── _info │ │ ├── _lib.qdb │ │ ├── _lib1_0.qdb │ │ ├── _lib1_0.qpg │ │ ├── _lib1_0.qtl │ │ └── _vmake └── without_this_keyword │ ├── .gitignore │ ├── Makefile │ ├── without_this.log │ └── without_this.sv ├── conditional_statement ├── case_variants │ ├── break_case │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── break_case.sv │ │ └── break_case_op.log │ ├── case │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── case.sv │ │ └── case_op.log │ ├── case_default │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── case_without_default.sv │ │ └── case_without_default_op.log │ ├── case_range │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── case_range.sv │ │ └── case_range_op.log │ ├── nested_loop_break │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── nested_loop.sv │ │ └── nested_loop_op.log │ ├── priority_case │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── priority_case.sv │ │ └── priority_case_op.log │ ├── unique0_case │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── unique0_case.sv │ │ └── unique0_case_op.log │ └── unique_case │ │ ├── unique_case_default │ │ ├── .gitigonre │ │ ├── Makefile │ │ ├── unique_case_default.sv │ │ └── unique_case_default_op.log │ │ ├── unique_multiple_true │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── unique2_case_op.log │ │ └── unique_case2.sv │ │ └── unique_none_true │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── unique_case.sv │ │ └── unique_case_op.log └── if_variants │ ├── if │ ├── .gitignore │ ├── if_code.sv │ ├── if_code_log.log │ └── makefile │ ├── if_else │ ├── .gitignore │ ├── if_else.sv │ ├── if_else_log.log │ └── makefile │ ├── if_else_ladder │ ├── if_else_ladder_withelse │ │ ├── .gitignore │ │ ├── if_elseif_else.sv │ │ ├── if_elseif_else_log.log │ │ └── makefile │ └── if_else_ladder_withoutelse │ │ ├── .gitignore │ │ ├── if_elseif.sv │ │ ├── if_elseif_log.log │ │ └── makefile │ ├── priority_if │ ├── \ │ ├── priorityif_1 │ │ ├── .gitignore │ │ ├── makefile │ │ ├── priorityif_1.sv │ │ └── priorityif_1_log.log │ ├── priorityif_2 │ │ ├── .gitignore │ │ ├── makefile │ │ ├── priorityif_2.sv │ │ └── priorityif_2_log.log │ ├── priorityif_3 │ │ ├── .gitignore │ │ ├── makefile │ │ ├── priorityif_3.sv │ │ └── priorityif_3_log.log │ └── priorityif_4 │ │ ├── .gitignore │ │ ├── makefile │ │ ├── priorityif_4.sv │ │ └── priorityif_4_log.log │ ├── unique0_if │ ├── .gitignore │ ├── makefile │ ├── unique0_if.sv │ └── unique0_if_log.log │ └── unique_if │ ├── unique_if1 │ ├── .gitignore │ ├── makefile │ ├── unique_if1.sv │ └── unique_if1_log.log │ ├── unique_if2 │ ├── .gitignore │ ├── makefile │ ├── unique_if2.sv │ └── unique_if2_log.log │ ├── unique_if3 │ ├── .gitignore │ ├── makefile │ ├── unique_if3.sv │ └── unique_if3_log.log │ └── unique_if4 │ ├── .gitignore │ ├── makefile │ ├── unique_if4.sv │ └── unique_if4_log.log ├── constraints ├── check_randomization │ ├── .gitigonre │ ├── check_randomization_code.sv │ ├── check_randomization_output.log │ └── makefile ├── constraint_memory_examples │ ├── memory_n_equal_partitions │ │ ├── .gitignore │ │ ├── makefile │ │ ├── memory_n_equal_partitions.sv │ │ └── memory_n_equal_partitions_log.log │ ├── memory_n_var_partitions │ │ ├── .gitignore │ │ ├── makefile │ │ ├── memory_n_var_partitions.sv │ │ └── memory_n_var_partitions_log.log │ ├── memory_partition_with_spaces │ │ ├── .gitignore │ │ ├── makefile │ │ ├── memory_partition_with_spaces.sv │ │ └── memory_partition_with_spaces_log.log │ ├── memory_program_data │ │ ├── .gitignore │ │ ├── makefile │ │ ├── memory_pgm_data.sv │ │ └── memory_pgm_data_log.log │ └── single_memory_block │ │ ├── .gitgnore │ │ ├── makefile │ │ ├── single_memory_block.sv │ │ └── single_memory_block_log.log ├── constraint_mode │ ├── .gitignore │ ├── constraint_mode_code.sv │ ├── constraint_mode_code_output.log │ └── makefile ├── constraint_override │ ├── .gitignore │ ├── makefile │ ├── overrding_code.sv │ └── overrding_output.log ├── dyn_arr │ ├── .gitignore │ ├── dyn_arr.sv │ ├── dyn_arr_log.log │ └── makefile ├── extern_cons │ ├── .gitignore │ ├── extern_cons.sv │ ├── extern_cons_log.log │ └── makefile ├── implication │ ├── common_constraint │ │ ├── bidirectional │ │ │ ├── .gitignore │ │ │ ├── bidirectional_const.log │ │ │ ├── bidirectional_const.sv │ │ │ └── makefile │ │ ├── inside │ │ │ ├── .gitignore │ │ │ ├── inside_const.log │ │ │ ├── inside_const.sv │ │ │ └── makefile │ │ ├── invert_inside │ │ │ ├── .gitignore │ │ │ ├── invert_inside.log │ │ │ ├── invert_inside.sv │ │ │ └── makefile │ │ ├── weight1 │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── weighted_distribution.log │ │ │ └── weighted_distribution.sv │ │ └── weighted_2 │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── weighted_distribution2.log │ │ │ └── weighted_distribution2.sv │ ├── foreach_constraint │ │ ├── .gitignore │ │ ├── foreach_constraint.log │ │ ├── foreach_constraint.sv │ │ └── makefile │ └── implication │ │ ├── implication │ │ ├── .gitignore │ │ ├── implication_constraint.log │ │ ├── implication_constraint.sv │ │ ├── implication_constraint.sv.log │ │ └── makefile │ │ └── implication_ifelse │ │ ├── .gitignore │ │ ├── implication_ifelse.log │ │ ├── implication_ifelse.sv │ │ └── makefile ├── inline_constraints │ ├── class_and_inline │ │ ├── .gitignore │ │ ├── inline_constraint.sv │ │ ├── inline_constraint_log.log │ │ └── makefile │ ├── conflict_with_inline │ │ ├── .gitignore │ │ ├── conflict_with_inline.sv │ │ ├── conflict_with_inline_log.log │ │ └── makefile │ └── only_inline │ │ ├── .gitignore │ │ ├── makefile │ │ ├── only_inline.sv │ │ └── only_inline_log.log ├── queue_array │ ├── makefile │ ├── queue_code.log │ └── queue_code.sv ├── rand │ ├── .gitignore │ ├── makefile │ ├── rand_variable.log │ └── rand_variable.sv ├── randc │ ├── .gitignore │ ├── makefile │ ├── randc_variable.log │ └── randc_variable.sv ├── randcase │ ├── .gitignore │ ├── makefile │ ├── randcase_code.sv │ └── randcase_code_output.log ├── random_function │ ├── .gitignore │ ├── makefile │ ├── random_function.sv │ └── random_function_log.log ├── randomization_methods │ ├── post_randomization │ │ ├── .gitignore │ │ ├── makefile │ │ ├── post_randomization.sv │ │ └── post_randomization_log.log │ ├── pre_randomization │ │ ├── .gitignore │ │ ├── makefile │ │ ├── pre_randomization.sv │ │ └── pre_randomization_log.log │ └── randomization │ │ ├── .gitignore │ │ ├── makefile │ │ ├── randomization.sv │ │ └── randomization_log.log ├── randomization_mode │ ├── .gitignore │ ├── makefile │ ├── randomization_mode_code.sv │ └── randomization_mode_code_output.log ├── soft_with_conflict │ ├── .gitignore │ ├── makefile │ ├── soft_with_conflict.sv │ └── soft_with_conflict_output.log ├── soft_without_conflict │ ├── .gitignore │ ├── gitigonre │ ├── makefile │ ├── soft_without_conflict.sv │ └── soft_without_conflict_output.log ├── solve_before_constraint │ ├── .gitignore │ ├── makefile │ ├── solve_before.log │ └── solve_before.sv ├── static_array │ ├── .gitignore │ ├── mult_dimensional_static_array │ │ ├── .gitignore │ │ ├── makefile │ │ ├── mult_dimensional_static_array.log │ │ └── mult_dimensional_static_array.sv │ ├── single_static_array │ │ ├── .gitignore │ │ ├── makefile │ │ ├── single_static_array.log │ │ └── single_static_array.sv │ └── two_static_array │ │ ├── .gitignore │ │ ├── makefile │ │ ├── two_dimensional_static_array.log │ │ └── two_dimensional_static_array.sv ├── static_constraint │ ├── .gitignore │ ├── makefile │ ├── static_constraint.sv │ └── static_constraint_log.log └── std_random │ ├── randomization_inside_class │ ├── .gitignore │ ├── makefile │ ├── std_random_class.log │ └── std_random_class.sv │ └── randomization_inside_module │ ├── .gitignore │ ├── makefile │ ├── std_random_module.log │ └── std_random_module.sv ├── data_type ├── casting_data_type │ ├── bit_to_byte │ │ ├── .gitignore │ │ ├── bit_ti_byte.log │ │ ├── bit_to_byte.sv │ │ └── makefile │ ├── int_to_longint │ │ ├── .gitignore │ │ ├── int_to_longint.log │ │ ├── int_to_longint.sv │ │ └── makefile │ ├── integer_to_int │ │ ├── .gitignore │ │ ├── integer_to_int.log │ │ ├── integer_to_int.sv │ │ └── makefile │ ├── logic_to_byte │ │ ├── .gitignore │ │ ├── logic_to_byte.log │ │ ├── logic_to_byte.sv │ │ └── makefile │ ├── real_to_int │ │ ├── .gitignore │ │ ├── makefile │ │ ├── real_to_int.log │ │ └── real_to_int.sv │ ├── real_to_time │ │ ├── .gitignore │ │ ├── makefile │ │ ├── real_to_time.log │ │ └── real_to_time.sv │ └── shortint │ │ ├── .gitignore │ │ ├── makefile │ │ ├── shortint_casting.log │ │ └── shortint_casting.sv ├── string │ ├── string_me │ │ ├── .gitignore │ │ ├── makefile │ │ ├── string_method.log │ │ └── string_method.sv │ └── string_op │ │ ├── .gitignore │ │ ├── makefile │ │ ├── string_op.log │ │ └── string_op.sv ├── structure │ ├── struct-packed │ │ ├── .gitignore │ │ ├── .struct-packed.sv.swp │ │ ├── makefile │ │ ├── struct-packed.log │ │ └── struct-packed.sv │ └── struct-unpacked │ │ ├── gitignore │ │ ├── makefile │ │ ├── structn.log │ │ └── structn.sv ├── sv_arrays │ ├── Array_methods │ │ ├── Array_Reduction │ │ │ ├── .gitignore │ │ │ ├── array_reduction.log │ │ │ ├── arrayreduction.sv │ │ │ └── makefile │ │ ├── Array_find │ │ │ ├── .gitignore │ │ │ ├── array.sv │ │ │ ├── arrayfind.log │ │ │ └── makefile │ │ ├── Array_max │ │ │ ├── .gitignore │ │ │ ├── arraymax.log │ │ │ ├── arraymax.sv │ │ │ └── makefile │ │ └── Array_ordering │ │ │ ├── .gitignore │ │ │ ├── array_order.log │ │ │ ├── arrayorder.sv │ │ │ └── makefile │ ├── Queue │ │ ├── queue_method1 │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── queue.sv │ │ │ └── queue_data_type.log │ │ ├── queue_method2 │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── queue.sv │ │ │ └── queue_data.log │ │ └── queue_method3 │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── queue.sv │ │ │ └── queue_data_type.log │ ├── associative_array │ │ ├── associative │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── associative_array.log │ │ │ └── associative_array.sv │ │ └── associative_methods │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── associative_methods.sv │ │ │ └── associative_mthod.log │ ├── dynamic_array │ │ ├── dynamic │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── dynamic.sv │ │ │ └── dynamic_array.log │ │ ├── dynamic_method │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── methods.sv │ │ │ └── methods_dynamic.log │ │ └── dynamic_unbound │ │ │ ├── .gitignore │ │ │ ├── dynamic.log │ │ │ ├── dynamic.sv │ │ │ └── makefile │ ├── packed_array │ │ ├── multi_packed │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── multi_packed.log │ │ │ └── multi_packed.sv │ │ └── single_packed │ │ │ ├── .gitignore │ │ │ ├── Makefile │ │ │ ├── packed_array.log │ │ │ └── packed_array.sv │ └── unpacked_array │ │ ├── multi_unpacked │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── multi_unpacked.log │ │ └── multi_unpacked.sv │ │ └── single_unpacked │ │ ├── .gitignore │ │ ├── Makefile │ │ ├── unpacked.sv │ │ └── unpacked_array.log ├── two_and_four_state │ ├── bit_data_type │ │ ├── .gitignore │ │ ├── data_type_bit.log │ │ ├── data_type_bit.sv │ │ └── makefile │ ├── byte_data_type │ │ ├── .gitignore │ │ ├── data_type_byte.log │ │ ├── data_type_byte.sv │ │ └── makefile │ ├── enum_data_type │ │ ├── default_value_enum │ │ │ ├── .gitignore │ │ │ ├── default_value_enum.log │ │ │ ├── default_value_enum.sv │ │ │ └── makefile │ │ ├── set_value_enum │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── set_value_enum.log │ │ │ └── set_value_enum.sv │ │ └── typedef_enum │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── typedef_enum.log │ │ │ └── typedef_enum.sv │ ├── int_data_type │ │ ├── .gitignore │ │ ├── data_type_int.log │ │ ├── data_type_int.sv │ │ └── makefile │ ├── integer_data_type │ │ ├── .gitignore │ │ ├── data_type_integer.log │ │ ├── data_type_integer.sv │ │ └── makefile │ ├── logic │ │ ├── .gitignore │ │ ├── logic_file.log │ │ ├── logic_file.sv │ │ └── makefile │ ├── longint_data_type │ │ ├── .gitignore │ │ ├── data_type_longint.log │ │ ├── data_type_longint.sv │ │ └── makefile │ ├── real_data_type │ │ ├── .gitignore │ │ ├── data_type_real.log │ │ ├── data_type_real.sv │ │ └── makefile │ ├── shortint_data_type │ │ ├── .gitignore │ │ ├── data_type_shortint.log │ │ ├── data_type_shortint.sv │ │ └── makefile │ └── time_data_type │ │ ├── .gitignore │ │ ├── data_type_time.log │ │ ├── data_type_time.sv │ │ └── makefile ├── typedef │ ├── .enum.sv.swp │ ├── classtypedef │ │ ├── .classtypedef.sv.swp │ │ ├── .gitignore │ │ ├── classtypedef.log │ │ ├── classtypedef.sv │ │ └── makefile │ ├── enumtypedef │ │ ├── .gitignore │ │ ├── enumtypedef.log │ │ ├── enumtypedef.sv │ │ └── makefile │ ├── structtypedef │ │ ├── .gitignore │ │ ├── makefile │ │ ├── structtypedef.log │ │ └── structtypedef.sv │ └── work │ │ ├── @_opt │ │ ├── _lib.qdb │ │ ├── _lib1_0.qdb │ │ ├── _lib1_0.qpg │ │ ├── _lib1_0.qtl │ │ ├── _lib2_0.qdb │ │ ├── _lib2_0.qpg │ │ ├── _lib2_0.qtl │ │ ├── _lib3_0.qdb │ │ ├── _lib3_0.qpg │ │ ├── _lib3_0.qtl │ │ ├── _lib4_0.qdb │ │ ├── _lib4_0.qpg │ │ ├── _lib4_0.qtl │ │ ├── _lib5_0.qdb │ │ ├── _lib5_0.qpg │ │ ├── _lib5_0.qtl │ │ ├── _lib6_0.qdb │ │ ├── _lib6_0.qpg │ │ └── _lib6_0.qtl │ │ ├── _info │ │ ├── _lib.qdb │ │ ├── _lib1_0.qdb │ │ ├── _lib1_0.qpg │ │ ├── _lib1_0.qtl │ │ └── _vmake └── union │ ├── union-packed │ ├── gitignore │ ├── makefile │ ├── unionpack.log │ └── unionpack.sv │ └── union-unpacked │ ├── gitignore │ ├── makefile │ ├── union-unpack.sv │ └── union_unpack.log ├── doc └── ieee-standard-for-systemverilog-2017.pdf ├── fine_grain_process_control ├── fine_await │ ├── .gitignore │ ├── Makefile │ ├── fine_await.log │ └── fine_await.sv ├── fine_kill │ ├── .gitignore │ ├── Makefile │ ├── fine_kill.log │ └── fine_kill.sv ├── fine_resume │ ├── .gitignore │ ├── Makefile │ ├── fine_resume.log │ └── fine_resume.sv ├── fine_self │ ├── .gitignore │ ├── Makefile │ ├── fine_self.log │ └── fine_self.sv ├── fine_status │ ├── .gitignore │ ├── Makefile │ ├── fine_status.log │ └── fine_status.sv └── fine_suspend │ ├── .gitignore │ ├── Makefile │ ├── fine_suspend.log │ └── fine_suspend.sv ├── functional_coverages ├── bins │ ├── explicit_bin │ │ ├── .gitignore │ │ ├── explicit_bin.log │ │ ├── explicit_bin.sv │ │ ├── explicit_bin.ucdb │ │ └── makefile │ ├── ignore_bin │ │ ├── .gitignore │ │ ├── ignore_bin.log │ │ ├── ignore_bin.sv │ │ ├── ignore_bin.ucdb │ │ └── makefile │ ├── illegal_bin │ │ ├── .gitignore │ │ ├── illegal_bin.log │ │ ├── illegal_bin.sv │ │ ├── illegal_bin.ucdb │ │ └── makefile │ ├── implicit_bin │ │ ├── implicit_bin.log │ │ ├── implicit_bin.sv │ │ ├── implicit_bin.ucdb │ │ └── makefile │ ├── transition_bins │ │ ├── consec_repeat │ │ │ ├── .gitignore │ │ │ ├── consec_repeat_bin.log │ │ │ ├── consec_repeat_bin.sv │ │ │ ├── consec_repeat_bin.ucdb │ │ │ └── makefile │ │ ├── goto_repeat │ │ │ ├── .gitignore │ │ │ ├── goto_repeat_bin.log │ │ │ ├── goto_repeat_bin.sv │ │ │ ├── goto_repeat_bin.ucdb │ │ │ ├── goto_rrepeat_bin.log │ │ │ └── makefile │ │ ├── range_of_repeat │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── range_of_repeat_bin.log │ │ │ ├── range_of_repeat_bin.sv │ │ │ └── range_of_repeat_bin.ucdb │ │ ├── sequence_of_trans │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── sequence_of_trans_bin.log │ │ │ ├── sequence_of_trans_bin.sv │ │ │ └── sequence_of_trans_bin.ucdb │ │ ├── set_of_trans │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── set_of_trans_bin │ │ │ ├── set_of_trans_bin.log │ │ │ ├── set_of_trans_bin.sv │ │ │ └── set_of_trans_bin.ucdb │ │ └── single_val_trans │ │ │ ├── .gitignore │ │ │ ├── makefile │ │ │ ├── single_val_trans_bin.log │ │ │ ├── single_val_trans_bin.sv │ │ │ └── single_val_trans_bin.ucdb │ └── wildcard_bin │ │ ├── .gitignore │ │ ├── makefile │ │ ├── wildcard_bin.log │ │ ├── wildcard_bin.sv │ │ └── wildcard_bin.ucdb ├── covergroup │ ├── conditional_coverage │ │ ├── cvgrp_iff │ │ │ ├── .gitignore │ │ │ ├── cvgrp_iff.log │ │ │ ├── cvgrp_iff.sv │ │ │ ├── iff.ucdb │ │ │ └── makefile │ │ └── cvgrp_start_stop │ │ │ ├── .gitignore │ │ │ ├── cvgrp_start_stop.log │ │ │ ├── cvgrp_start_stop.sv │ │ │ ├── makefile │ │ │ └── start_stop.ucdb │ ├── covergrp_declaration │ │ ├── cover_grp │ │ │ ├── .gitignore │ │ │ ├── cover_group.log │ │ │ ├── cover_group.ucdb │ │ │ ├── cover_grp.sv │ │ │ └── makefile │ │ ├── cvgrp_inside_class │ │ │ ├── .gitignore │ │ │ ├── cvgrp_inside_class.log │ │ │ ├── cvgrp_inside_class.sv │ │ │ ├── inside_class.ucdb │ │ │ └── makefile │ │ ├── cvgrp_inside_mod │ │ │ ├── .gitignore │ │ │ ├── cvgrp_inside_mod.log │ │ │ ├── cvgrp_inside_mod.sv │ │ │ ├── inside_mod.ucdb │ │ │ └── makefile │ │ └── cvgrp_outside_class_mod │ │ │ ├── .gitignore │ │ │ ├── cvgrp_outside.log │ │ │ ├── cvgrp_outside_class_mod.sv │ │ │ ├── makefile │ │ │ └── outside.ucdb │ └── cvrgrp_triggering │ │ ├── cvgrp_using_clk │ │ ├── .gitignore │ │ ├── cvgrp_clk.log │ │ ├── cvgrp_using_clk.sv │ │ ├── cvgrp_using_clk.ucdb │ │ └── makefile │ │ └── cvgrp_using_event │ │ ├── .gitignore │ │ ├── makefile │ │ ├── using_evnt.log │ │ ├── using_evnt.sv │ │ └── using_evnt.ucdb ├── coverpoints │ ├── coverpoint_expression │ │ ├── .gitignore │ │ ├── expression.log │ │ ├── expression.sv │ │ ├── expression_report.ucdb │ │ └── makefile │ ├── func_return_value │ │ ├── .gitignore │ │ ├── func_return_value.log │ │ ├── func_return_value.sv │ │ ├── func_return_value.ucdb │ │ ├── func_return_value_log.log │ │ ├── func_return_value_report.ucdb │ │ └── makefile │ ├── part_select │ │ ├── .gitignore │ │ ├── makefile │ │ ├── part_select.sv │ │ ├── part_select.ucdb │ │ └── part_select_log.log │ └── simple_coverpoint │ │ ├── .gitignore │ │ ├── cover_point.log │ │ ├── cover_point.sv │ │ ├── cover_point_report.ucdb │ │ └── makefile ├── cross_coverage │ ├── cvgrp_cross │ │ ├── .gitignore │ │ ├── cross.ucdb │ │ ├── cvgrp_cross.log │ │ ├── cvgrp_cross.sv │ │ └── makefile │ └── cvgrp_cross_var │ │ ├── .gitignore │ │ ├── cross_var.log │ │ ├── cross_var.sv │ │ ├── cross_var.ucdb │ │ └── makefile └── cvgrp_options │ ├── .gitignore │ ├── makefile │ ├── options.log │ ├── options.sv │ └── options.ucdb ├── functions ├── func_array_from_display │ ├── .gitignore │ ├── func_array_from_display.sv │ ├── func_array_from_display_log.log │ └── makefile ├── func_automatic │ ├── .gitignore │ ├── func_automatic.sv │ ├── func_automatic_log.log │ └── makefile ├── func_call_from_display │ ├── .gitignore │ ├── func_call_from_display.sv │ ├── func_call_from_display_log.log │ └── makefile ├── func_default_value │ ├── .gitignore │ ├── func_default_value.sv │ ├── func_default_value_log.log │ └── makefile ├── func_pass_by_positonal │ ├── .gitignore │ ├── func_pass_by_positional.sv │ ├── func_pass_by_positional_log.log │ └── makefile ├── func_pass_by_ref │ ├── .gitignore │ ├── func_pass_by_ref.sv │ ├── func_pass_by_ref_log.log │ └── makefile ├── func_pass_by_val │ ├── .gitignore │ ├── func_pass_by_val.sv │ ├── func_pass_by_val_log.log │ └── makefile ├── func_pass_by_variables │ ├── .gitignore │ ├── func_pass_by_variables.sv │ ├── func_pass_by_variables_log.log │ └── makefile ├── func_return_arr │ ├── .gitignore │ ├── func_return_arr.sv │ ├── func_return_arr_log.log │ └── makefile ├── func_return_void │ ├── .gitignore │ ├── func_return_void.sv │ ├── func_return_void_log.log │ └── makefile └── task_from_func │ ├── .gitignore │ ├── makefile │ ├── task_from_func.sv │ └── task_from_func_log.log ├── interface ├── Clocking_Block │ └── clocking_block_example │ │ ├── .gitignore │ │ ├── clocking_block.log │ │ ├── d_flipflop.sv │ │ ├── interface.sv │ │ ├── makefile │ │ ├── test.sv │ │ ├── top.sv │ │ └── waveform.wlf ├── Types_of_parameter_passing │ ├── Defpram_parameter │ │ ├── .gitignore │ │ ├── interface.log │ │ ├── interface.sv │ │ ├── makefile │ │ ├── test.sv │ │ ├── top.sv │ │ └── up_counter.sv │ └── pass_constant_parameter │ │ ├── .gitignore │ │ ├── interface.log │ │ ├── interface.sv │ │ ├── makefile │ │ ├── test.sv │ │ ├── top.sv │ │ └── up_counter.sv ├── Virtual_interface │ ├── .gitignore │ ├── driver.sv │ ├── fulladder.sv │ ├── interface.sv │ ├── makefile │ ├── test.sv │ ├── top.sv │ └── virtual_interface.log ├── interface │ ├── .gitignore │ ├── and_gate.sv │ ├── interface.log │ ├── interface.sv │ ├── makefile │ ├── test.sv │ └── top.sv ├── modports │ ├── modport_error │ │ ├── .gitignore │ │ ├── and_gate.sv │ │ ├── interface.sv │ │ ├── makefile │ │ ├── modport.log │ │ ├── test.sv │ │ └── top.sv │ └── modport_example │ │ ├── .gitignore │ │ ├── and_gate.sv │ │ ├── interface.sv │ │ ├── makefile │ │ ├── modport.log │ │ ├── test.sv │ │ └── top.sv └── parameterized_interface │ ├── .gitignore │ ├── interface.log │ ├── interface.sv │ ├── makefile │ ├── test.sv │ ├── top.sv │ └── up_counter.sv ├── loops ├── do_while │ ├── .gitignore │ ├── do_while.sv │ ├── do_while_log.log │ └── makefile ├── for │ ├── for_loop │ │ ├── .gitignore │ │ ├── for_loop.sv │ │ ├── for_loop_log.log │ │ └── makefile │ └── nested_for │ │ ├── .gitignore │ │ ├── makefile │ │ ├── nested_for_loop.sv │ │ └── nested_for_loop_log.log ├── foreach │ ├── foreach_loop │ │ ├── .gitignore │ │ ├── foreach_loop.sv │ │ ├── foreach_loop_log.log │ │ └── makefile │ └── foreach_using_for │ │ ├── .gitignore │ │ ├── foreach_using_for.sv │ │ ├── foreach_using_for_log.log │ │ └── makefile ├── forever │ ├── forever_loop_break │ │ ├── .gitignore │ │ ├── forever_loop.sv │ │ ├── forever_loop_log.log │ │ └── makefile │ └── forever_loop_finish │ │ ├── .gitignore │ │ ├── forever_loop.sv │ │ ├── forever_loop_log.log │ │ └── makefile ├── repeat │ ├── repeat_normal │ │ ├── .gitignore │ │ ├── makefile │ │ ├── repeat_code.sv │ │ └── repeat_code_log.log │ └── repeat_using_for_loop │ │ ├── .gitignore │ │ ├── makefile │ │ ├── repeat_for.sv │ │ └── repeat_for_log.log └── while │ ├── while_basic │ ├── .gitignore │ ├── makefile │ ├── while_basic.sv │ └── while_basic_log.log │ └── while_local_var │ ├── .gitignore │ ├── makefile │ ├── while_with_var.sv │ └── while_with_var_log.log ├── macros_and_parameters ├── macros │ ├── macros_commandline │ │ ├── .gitignore │ │ ├── macros_commandline.log │ │ ├── macros_commandline.sv │ │ └── makefile │ └── parameters_used_macros │ │ ├── .gitignore │ │ ├── makefile │ │ ├── parameters_used_macros.log │ │ └── parameters_used_macros.sv └── parameters │ └── macros_used_parameters │ ├── .gitignore │ ├── macros_used_parameters.log │ ├── macros_used_parameters.sv │ └── makefile ├── operators ├── arithmetic │ ├── .gitignore │ ├── arithmetic_code.log │ ├── arithmetic_code.sv │ └── makefile ├── bitwise │ ├── .gitignore │ ├── bitwise_code.sv │ ├── bitwise_code_output.log │ └── makefile ├── concatenation_Replication │ ├── .gitignore │ ├── concatenation_code.sv │ ├── concatenation_code_output.log │ └── makefile ├── conditional │ ├── .gitignore │ ├── \ │ ├── conditional_code.sv │ ├── conditional_code_output.log │ └── makefile ├── equality │ ├── .gitignore │ ├── equality_code.sv │ ├── equality_code_output.log │ └── makefile ├── logic │ ├── .gitignore │ ├── logic_code.sv │ ├── logic_code_output.log │ └── makefile ├── reduction │ ├── .gitignore │ ├── makefile │ ├── reduction_code.sv │ └── reduction_code_output.log ├── relational │ ├── .gitignore │ ├── makefile │ ├── relational_code.sv │ └── relational_code_output.log └── shift │ ├── .gitignore │ ├── makefile │ ├── shift_code.sv │ └── shift_code_output.log ├── processes ├── disable_fork │ ├── .gitignore │ ├── Makefile │ ├── disable_fork.log │ └── disable_fork.sv ├── fork_join │ ├── .gitignore │ ├── Makefile │ ├── fork_join.log │ └── fork_join.sv ├── fork_join_any │ ├── .gitignore │ ├── Makefile │ ├── fork_join_any.log │ └── fork_join_any.sv ├── fork_join_none │ ├── .gitignore │ ├── Makefile │ ├── fork_join_none.log │ └── fork_join_none.sv └── wait_fork │ ├── .gitignore │ ├── Makefile │ ├── wait_fork.log │ └── wait_fork.sv └── tasks ├── disable_task ├── .gitignore ├── disable_task.sv ├── disable_task_log.log └── makefile ├── func_from_task ├── .gitignore ├── func_from_task.sv ├── func_from_task_log.log └── makefile ├── global_task ├── .gitignore ├── global_task.sv ├── global_task_log.log └── makefile ├── simple_task ├── .gitignore ├── makefile ├── simple_task.sv └── simple_task_log.log └── task_automatic ├── .gitignore ├── makefile ├── task_fact.sv └── task_fact_log.log /Interprocess_communications/mailbox/genric_mailbox/bounded_mailbox/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/genric_mailbox/bounded_mailbox/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv bound.sv 8 | 9 | simulate: 10 | vsim tb -l bound_op.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/genric_mailbox/unbounded_mailbox/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv unbound.sv 8 | 9 | simulate: 10 | vsim tb -l unbound_op.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/methods_in_mailbox/get_method/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/methods_in_mailbox/get_method/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv get.sv 8 | 9 | simulate: 10 | vsim tb -l get_op.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/methods_in_mailbox/new_method/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/methods_in_mailbox/num_method/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/methods_in_mailbox/num_method/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv num.sv 8 | 9 | simulate: 10 | vsim tb -l num_op.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/methods_in_mailbox/peek_method/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv peek.sv 8 | 9 | simulate: 10 | vsim tb -l peek_op.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/methods_in_mailbox/put_method/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/methods_in_mailbox/put_method/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv put.sv 8 | 9 | simulate: 10 | vsim tb -l put_op.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/methods_in_mailbox/try_get_method/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv try_get.sv 8 | 9 | simulate: 10 | vsim tb -l try_get_op.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/methods_in_mailbox/try_put_method/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/parameterized_mailbox/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Interprocess_communications/mailbox/parameterized_mailbox/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv para_mailbox.sv 8 | 9 | simulate: 10 | vsim tb -l para_mailbox.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Interprocess_communications/semaphore/sema_example1/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /Interprocess_communications/semaphore/sema_example2/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Interprocess_communications/semaphore/sema_example2/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv sema_exm2.sv 8 | 9 | simulate: 10 | vsim semaphore_exm_2 -l sema_exm2.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /Interprocess_communications/semaphore/sema_example3/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/testargs_1/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/testargs_1/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make compile 4 | make simulate 5 | 6 | compile: 7 | vlog CLI_testargs.sv 8 | 9 | simulate: 10 | vsim CLI_testargs -l CLI_testargs.log -c -do "run -all;quit;" +START 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/testargs_2/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/testargs_2/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make compile 4 | make simulate 5 | 6 | compile: 7 | vlog CLI_testargs.sv 8 | 9 | simulate: 10 | vsim CLI_testargs2 -l CLI_testargs.log -c -do "run -all;quit;" +START 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/.gitigonre: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib4_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib4_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib4_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/@_opt/_lib4_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/Command_Line_Arguments/valueargs_1/work/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_1/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_2/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/Command_Line_Arguments/valueargs_2/sample.txt: -------------------------------------------------------------------------------- 1 | We are trying to open this file using command line arhgument and it worked! 2 | Hurray! 3 | Hurray! 4 | Hurray! 5 | Hurray! 6 | -------------------------------------------------------------------------------- /Misc_constructs/Package/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/Package/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv package_module.sv package_ex.sv 8 | 9 | simulate: 10 | vsim module_ex -l package.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/Program_block/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/Program_block/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv program_block.sv 8 | 9 | simulate: 10 | vsim DUT TB_using_Module TB_using_Program -l program_block.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv dynamic_casting.sv 8 | 9 | simulate: 10 | vsim casting -l dynamic_casting.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib4_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib4_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/@_opt/_lib4_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/@_opt/_lib4_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/dynamic_casting/work/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/dynamic_casting/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /Misc_constructs/events/merging_events/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv merging_events.sv 8 | 9 | simulate: 10 | vsim merge_events -l merging_events.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /Misc_constructs/events/wait_@/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/events/wait_@/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv wait_@.sv 8 | 9 | simulate: 10 | vsim wait_example -l wait_@.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /Misc_constructs/events/wait_order/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/events/wait_order/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv wait_order.sv 8 | 9 | simulate: 10 | vsim events_ex -l wait_order.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv file_eof.sv 8 | 9 | simulate: 10 | vsim file_eof -l file_eof.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/hihihi.sv: -------------------------------------------------------------------------------- 1 | teams 2 | c 3 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/@_opt/_lib4_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/@_opt/_lib4_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_eof/work/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_eof/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv file_format.sv 8 | 9 | simulate: 10 | vsim format -l file_format.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/file: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/file -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/@_opt/_lib4_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/@_opt/_lib4_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_format/work/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_format/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv file_get.sv 8 | 9 | simulate: 10 | vsim f_get -l file_get.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/file: -------------------------------------------------------------------------------- 1 | sv course 2 | filehandling 3 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_get/work/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_get/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv file_handles.sv 8 | 9 | simulate: 10 | vsim file_handles -l file_handles.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/file_handle: -------------------------------------------------------------------------------- 1 | fileoperations 2 | sv course 3 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/@_opt/_lib4_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/@_opt/_lib4_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_handles/work/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_handles/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv file_modes.sv 8 | 9 | simulate: 10 | vsim file_modes -l file_modes.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/fileoperations: -------------------------------------------------------------------------------- 1 | filemodes 2 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_modes/work/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_modes/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv file_readmem.sv 8 | 9 | simulate: 10 | vsim readmem -l file_readmem.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/hihihi.sv: -------------------------------------------------------------------------------- 1 | 10000 2 | 11011 3 | c 4 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_readmem/work/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_readmem/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv file_scanf.sv 8 | 9 | simulate: 10 | vsim scanf -l file_scanf.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/hihihi.sv: -------------------------------------------------------------------------------- 1 | bhavana 2 | Teams 3 | BJT 4 | -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/_lib.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/_lib1_0.qdb -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/_lib1_0.qpg -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/Misc_constructs/file_handling/file_scanf/work/_lib1_0.qtl -------------------------------------------------------------------------------- /Misc_constructs/file_handling/file_scanf/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /Misc_constructs/scope_resolution_operator/sro_avoid/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/scope_resolution_operator/sro_avoid/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv sro_avoid.sv 8 | 9 | simulate: 10 | vsim pkg_exm -l sro_avoid.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/scope_resolution_operator/sro_extern/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/scope_resolution_operator/sro_extern/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv sro_extern.sv 8 | 9 | simulate: 10 | vsim extern_exm -l sro_extern.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/scope_resolution_operator/sro_pkg/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/scope_resolution_operator/sro_pkg/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv sro_pkg.sv 8 | 9 | simulate: 10 | vsim pkg_exm -l sro_pkg.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /Misc_constructs/scope_resolution_operator/sro_static/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /Misc_constructs/scope_resolution_operator/sro_static/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv sro_static.sv 8 | 9 | simulate: 10 | vsim static_exm -l sro_static.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/countones/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/countones/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access +all countones.sv -l countones.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/countones/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/SVA_Methods/countones/ucli.key -------------------------------------------------------------------------------- /assertion/SVA_Methods/fell/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/fell/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access +all fell.sv -l fell.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/fell/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/SVA_Methods/fell/ucli.key -------------------------------------------------------------------------------- /assertion/SVA_Methods/isunknown/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/isunknown/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access +all isunknown.sv -l isunknown.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/isunknown/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/SVA_Methods/isunknown/ucli.key -------------------------------------------------------------------------------- /assertion/SVA_Methods/onehot/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/onehot/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access +all onehot.sv -l onehot.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/onehot/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/SVA_Methods/onehot/ucli.key -------------------------------------------------------------------------------- /assertion/SVA_Methods/onehot0/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/onehot0/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access +all onehot0.sv -l onehot0.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/onehot0/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/SVA_Methods/onehot0/ucli.key -------------------------------------------------------------------------------- /assertion/SVA_Methods/past/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/past/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access +all past.sv -l past.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/past/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/SVA_Methods/past/ucli.key -------------------------------------------------------------------------------- /assertion/SVA_Methods/rose/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/rose/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access +all rose.sv -l rose.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/rose/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/SVA_Methods/rose/ucli.key -------------------------------------------------------------------------------- /assertion/SVA_Methods/stable/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/stable/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access +all stable.sv -l stable.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/SVA_Methods/stable/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/SVA_Methods/stable/ucli.key -------------------------------------------------------------------------------- /assertion/concurrent_assertion/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | novas.conf 3 | nWaveLog 4 | simv.daidir 5 | transcript 6 | vfastLog 7 | csrc 8 | novas.rc 9 | simv 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/concurrent_assertion/design.sv: -------------------------------------------------------------------------------- 1 | module AND_Gate(input A, 2 | input B, 3 | output Y, 4 | input clk 5 | ); 6 | //And operation 7 | assign Y = A && B; 8 | endmodule 9 | -------------------------------------------------------------------------------- /assertion/concurrent_assertion/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all design.sv test.sv -l assertion.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/disable_ended_assertion/disable_assertion/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | nWaveLog 3 | ucli.key 4 | waveform.vcd.fsdb 5 | novas.conf 6 | simv 7 | vfastLog 8 | novas.rc 9 | simv.daidir 10 | -------------------------------------------------------------------------------- /assertion/disable_ended_assertion/disable_assertion/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all andgate.sv test.sv -l disable.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/disable_ended_assertion/ended_assertion/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | nWaveLog 3 | ucli.key 4 | waveform.vcd.fsdb 5 | novas.conf 6 | simv 7 | vfastLog 8 | novas.rc 9 | simv.daidir 10 | -------------------------------------------------------------------------------- /assertion/disable_ended_assertion/ended_assertion/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all ended.sv -l ended.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/disable_ended_assertion/without_ended_assertion/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | nWaveLog 3 | ucli.key 4 | waveform.vcd.fsdb 5 | novas.conf 6 | simv 7 | vfastLog 8 | novas.rc 9 | simv.daidir 10 | -------------------------------------------------------------------------------- /assertion/disable_ended_assertion/without_ended_assertion/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all without_ended.sv -l without_ended.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/formal_argument/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | csrc 3 | novas.conf 4 | novas.rc 5 | nWaveLog 6 | simv 7 | simv.daidir 8 | ucli.key 9 | vfastLog 10 | waveform.vcd.fsdb 11 | -------------------------------------------------------------------------------- /assertion/formal_argument/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all formal_argument.sv -l formal_argument.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/immediate_assertion/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | novas.conf 3 | nWaveLog 4 | simv.daidir 5 | transcript 6 | vfastLog 7 | csrc 8 | novas.rc 9 | simv 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/immediate_assertion/design.sv: -------------------------------------------------------------------------------- 1 | module AND_Gate(input A, 2 | input B, 3 | output Y, 4 | input clk 5 | ); 6 | //Normal AndGate operation 7 | assign Y = A && B; 8 | endmodule 9 | -------------------------------------------------------------------------------- /assertion/immediate_assertion/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all design.sv test.sv -l assertion.log 7 | 8 | clear: 9 | clear 10 | 11 | #for opening waveform use 12 | #waveform: 13 | # nWave waveform.vcd 14 | -------------------------------------------------------------------------------- /assertion/implication_operator/nonoverlapped_implication/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | novas.conf 3 | novas.rc 4 | nWaveLog 5 | simv 6 | simv.daidir 7 | ucli.key 8 | vfastLog 9 | waveform.vcd.fsdb 10 | 11 | -------------------------------------------------------------------------------- /assertion/implication_operator/nonoverlapped_implication/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all nonoverlapped.sv -l nonoverlapped.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/implication_operator/overlapped_implication/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | novas.conf 3 | novas.rc 4 | nWaveLog 5 | simv 6 | simv.daidir 7 | ucli.key 8 | vfastlog 9 | waveform.vcd.fsdb 10 | -------------------------------------------------------------------------------- /assertion/implication_operator/overlapped_implication/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all overlapped.sv -l overlapped.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/repetition_operators/consecutive_repetition/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/repetition_operators/consecutive_repetition/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all consecutive_repetition.sv -l consecutive_repetition.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/repetition_operators/consecutive_repetition/nWaveLog/pes.bat: -------------------------------------------------------------------------------- 1 | where 2 | detach 3 | quit 4 | -------------------------------------------------------------------------------- /assertion/repetition_operators/consecutive_repetition/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/repetition_operators/consecutive_repetition/ucli.key -------------------------------------------------------------------------------- /assertion/repetition_operators/consecutive_repetition/vfastLog/pes.bat: -------------------------------------------------------------------------------- 1 | where 2 | detach 3 | quit 4 | -------------------------------------------------------------------------------- /assertion/repetition_operators/consecutive_repetition/waveform.vcd.fsdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/repetition_operators/consecutive_repetition/waveform.vcd.fsdb -------------------------------------------------------------------------------- /assertion/repetition_operators/goto_repetition/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/repetition_operators/goto_repetition/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all goto_repetition.sv -l goto_repetition.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/repetition_operators/goto_repetition/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/repetition_operators/goto_repetition/ucli.key -------------------------------------------------------------------------------- /assertion/repetition_operators/nonconsecutive_repetition/.gitignore: -------------------------------------------------------------------------------- 1 | csrc 2 | simv 3 | simv.daidir 4 | unli.key 5 | novas.conf 6 | nWaveLog 7 | transcript 8 | vfastLog 9 | novas.rc 10 | ucli.key 11 | vsim.wif 12 | waveform.vcd.fsdb 13 | -------------------------------------------------------------------------------- /assertion/repetition_operators/nonconsecutive_repetition/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all nonconsecutive_repetition.sv -l nonconsecutive_repetition.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/repetition_operators/nonconsecutive_repetition/ucli.key: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/assertion/repetition_operators/nonconsecutive_repetition/ucli.key -------------------------------------------------------------------------------- /assertion/sequence/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | csrc 3 | novas.conf 4 | novas.rc 5 | nWaveLog 6 | simv 7 | simv.daidir 8 | ucli.key 9 | vfastLog 10 | waveform.vcd.fsdb 11 | -------------------------------------------------------------------------------- /assertion/sequence/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all sequence.sv -l sequence.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/variable_delay/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | csrc 3 | novas.conf 4 | novas.rc 5 | simv 6 | simv.daidir 7 | ucli.key 8 | vfastLog 9 | waveform.vcd.fsdb 10 | -------------------------------------------------------------------------------- /assertion/variable_delay/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear 3 | make simulate 4 | 5 | simulate: 6 | vcs -V -R -full64 -sverilog +v2k -debug_access+all variable_delay.sv -l variable_delay.log 7 | 8 | clear: 9 | clear 10 | -------------------------------------------------------------------------------- /assertion/variable_delay/nWaveLog/pes.bat: -------------------------------------------------------------------------------- 1 | where 2 | detach 3 | quit 4 | -------------------------------------------------------------------------------- /blocking_non_blocking/blocking_swap/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /blocking_non_blocking/blocking_swap/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv blocking_swap.sv 8 | 9 | simulate : 10 | vsim work.blocking_swap -l blocking_swap_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /blocking_non_blocking/non_blocking_swap/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /break_continue/break/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /break_continue/break/Makefile: -------------------------------------------------------------------------------- 1 | compile: 2 | vlib work; 3 | vlog -sv break.sv 4 | 5 | simulate : 6 | vsim work.break_sv -l break_op.log -c -do "run -all;exit;" 7 | 8 | all: 9 | vlib work; 10 | vlog -sv break.sv 11 | vsim work.break_sv -l break_op.log -c -do "run -all;exit;" 12 | -------------------------------------------------------------------------------- /break_continue/continue/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/abstraction/abstract_class/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/abstraction/abstract_class/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv abstract_class.sv 8 | 9 | simulate: 10 | vsim work.abstract_class -l abstract_class.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/abstraction/pure_virtual_function/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/chaining_constructs/basic_constructs_1/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/chaining_constructs/basic_constructs_2/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/class/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/class/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv class.sv 8 | 9 | simulate: 10 | vsim work.check_electricity -l class.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/class_handle/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/class_handle/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv handle.sv 8 | 9 | simulate: 10 | vsim work.tb -l handle.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/class_object/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/class_object/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv object.sv 8 | 9 | simulate: 10 | vsim work.tb -l object.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/class_over_riding/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/class_over_riding/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv over_riding_class.sv 8 | 9 | simulate: 10 | vsim work.over_riding -l over_riding_class.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/constant_variables/global_class/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/constant_variables/global_class/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv global_class.sv 8 | 9 | simulate: 10 | vsim work.global_class -l global_class.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/constant_variables/instance_class/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/constant_variables/instance_class/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv instance_class.sv 8 | 9 | simulate: 10 | vsim work.instance_class -l instance_class.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/copying_methods/class_assignment/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/copying_methods/class_assignment/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv class_assignment.sv 8 | 9 | simulate: 10 | vsim work.assignment -l class_assignment.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/copying_methods/deep_copy/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/copying_methods/deep_copy/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv deep_copy.sv 8 | 9 | simulate: 10 | vsim work.deep -l deep_copy.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/copying_methods/shallow_copy/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/copying_methods/shallow_copy/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv shallow_copy.sv 8 | 9 | simulate: 10 | vsim work.shallow -l shallow_copy.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/encapsulation/local/local/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/encapsulation/local/local/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv local.sv 8 | 9 | simulate: 10 | vsim work.local_variable -l local.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/encapsulation/local/local_error/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/encapsulation/local/local_error/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv local_error.sv 8 | 9 | simulate: 10 | vsim work.local_error -l local_error.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/encapsulation/protected/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/encapsulation/protected/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv protected.sv 8 | 9 | simulate: 10 | vsim work.protected_variable -l protected.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/encapsulation/public/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/encapsulation/public/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv public.sv 8 | 9 | simulate: 10 | vsim work.public_variable -l public.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/extern_keyword/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/extern_keyword/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv extern_keyword.sv 8 | 9 | simulate: 10 | vsim work.extern_keyword -l extern_keyword.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/inheritance/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/inheritance/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv inheritance.sv 8 | 9 | simulate: 10 | vsim work.inheritance -l inheritance.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/parameterized_classes/parameter_datatype/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/parameterized_classes/parameter_datatype/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv parameter_datatype.sv 8 | 9 | simulate: 10 | vsim work.value -l parameter_datatype.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/parameterized_classes/parameter_value/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/parameterized_classes/parameter_value/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv parameter_value.sv 8 | 9 | simulate: 10 | vsim work.value -l parameter_value.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/polymorphism/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/polymorphism/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv polymorphism.sv 8 | 9 | simulate: 10 | vsim work.polymorphism -l polymorphism.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/static/static_methods/.gitigonre: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/static/static_methods/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv static_method.sv 8 | 9 | simulate: 10 | vsim work.static_method -l static_method.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib4_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib4_0.qpg -------------------------------------------------------------------------------- /classes/static/static_methods/work/@_opt/_lib4_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/@_opt/_lib4_0.qtl -------------------------------------------------------------------------------- /classes/static/static_methods/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/_lib.qdb -------------------------------------------------------------------------------- /classes/static/static_methods/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/_lib1_0.qdb -------------------------------------------------------------------------------- /classes/static/static_methods/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/_lib1_0.qpg -------------------------------------------------------------------------------- /classes/static/static_methods/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/static/static_methods/work/_lib1_0.qtl -------------------------------------------------------------------------------- /classes/static/static_methods/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /classes/static/static_properties/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/static/static_properties/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv static_properties.sv 8 | 9 | simulate: 10 | vsim work.static_properties -l static_properties.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/super_keyword/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/super_keyword/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv super_keyword.sv 8 | 9 | simulate: 10 | vsim work.super_keyword -l super_keyword.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/this_keyword/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/this_keyword/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv this_keyword.sv 8 | 9 | simulate: 10 | vsim work.check_electricity -l this_keyword.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_function/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_function/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv virtual_function.sv 8 | 9 | simulate: 10 | vsim work.virtual_function -l virtual_function.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv virtual_task.sv 8 | 9 | simulate: 10 | vsim work.virtual_task -l virtual_task.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/_lib.qdb -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/_lib1_0.qdb -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/_lib1_0.qpg -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/classes/virtual_methods/virtual_task/work/_lib1_0.qtl -------------------------------------------------------------------------------- /classes/virtual_methods/virtual_task/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /classes/without_this_keyword/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /classes/without_this_keyword/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv without_this.sv 8 | 9 | simulate: 10 | vsim work.check_electricity -l without_this.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/break_case/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/break_case/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv break_case.sv 8 | 9 | simulate: 10 | vsim top -l break_case.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/case/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/case/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv case.sv 8 | 9 | simulate: 10 | vsim top -l case_op.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/case_default/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/case_default/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv case_without_default.sv 8 | 9 | simulate : 10 | vsim top -l case_without_default_op.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/case_range/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/case_range/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv case_range.sv 8 | 9 | simulate: 10 | vsim top -l case_range_op.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/nested_loop_break/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/nested_loop_break/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv nested_loop.sv 8 | 9 | simulate: 10 | vsim nested_loop -l nested_loop_op.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/priority_case/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/priority_case/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv priority_case.sv 8 | 9 | simulate: 10 | vsim top -l priority_case_op.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/unique0_case/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/unique0_case/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv unique0_case.sv 8 | 9 | simulate: 10 | vsim top -l unique0_case_op.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/unique_case/unique_case_default/.gitigonre: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/unique_case/unique_multiple_true/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/unique_case/unique_none_true/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/case_variants/unique_case/unique_none_true/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv unique_case.sv 8 | 9 | simulate: 10 | vsim top -l unique_case_op.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/if/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/if/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv if_code.sv 8 | 9 | simulate: 10 | vsim if_code -l if_code_log.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/if_else/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/if_else/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv if_else.sv 8 | 9 | simulate: 10 | vsim if_else -l if_else_log.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/if_else_ladder/if_else_ladder_withelse/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/if_else_ladder/if_else_ladder_withoutelse/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/priority_if/priorityif_1/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/priority_if/priorityif_2/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/priority_if/priorityif_3/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/priority_if/priorityif_4/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/unique0_if/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/unique0_if/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv unique0_if.sv 8 | 9 | simulate: 10 | vsim unique0_if -l unique0_if.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/unique_if/unique_if1/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/unique_if/unique_if2/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/unique_if/unique_if2/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv unique_if2.sv 8 | 9 | simulate: 10 | vsim unique_if2 -l unique_if2_log.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/unique_if/unique_if3/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/unique_if/unique_if4/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /conditional_statement/if_variants/unique_if/unique_if4/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv unique_if4.sv 8 | 9 | simulate: 10 | vsim unique_if4 -l unique_if4_log.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/check_randomization/.gitigonre: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/check_randomization/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv check_randomization_code.sv 8 | 9 | simulate: 10 | vsim mod -l check_randomization_output.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/constraint_memory_examples/memory_n_equal_partitions/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /constraints/constraint_memory_examples/memory_n_var_partitions/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /constraints/constraint_memory_examples/memory_partition_with_spaces/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /constraints/constraint_memory_examples/memory_program_data/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /constraints/constraint_memory_examples/single_memory_block/.gitgnore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /constraints/constraint_mode/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/constraint_mode/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv constraint_mode_code.sv 8 | 9 | simulate: 10 | vsim constraint_mode -l constraint_mode_code_output.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/constraint_override/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/constraint_override/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv overrding_code.sv 8 | 9 | simulate: 10 | vsim top -l overrding_output.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/dyn_arr/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/dyn_arr/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv dyn_arr.sv 8 | 9 | simulate: 10 | vsim mod -l dyn_arr_log.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/extern_cons/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/extern_cons/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv extern_cons.sv 8 | 9 | simulate: 10 | vsim mod -l extern_cons_log.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/implication/common_constraint/bidirectional/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/implication/common_constraint/inside/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/implication/common_constraint/inside/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv inside_const.sv 8 | 9 | simulate: 10 | vsim top -l inside_const.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/implication/common_constraint/invert_inside/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/implication/common_constraint/invert_inside/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv invert_inside.sv 8 | 9 | simulate: 10 | vsim top -l invert_inside.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/implication/common_constraint/weight1/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/implication/common_constraint/weight1/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv weighted_distribution.sv 8 | 9 | simulate: 10 | vsim top -l weighted_distribution.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/implication/common_constraint/weighted_2/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/implication/foreach_constraint/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/implication/foreach_constraint/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv foreach_constraint.sv 8 | 9 | simulate: 10 | vsim top -l foreach_constraint.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/implication/implication/implication/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/implication/implication/implication_ifelse/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/inline_constraints/class_and_inline/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /constraints/inline_constraints/conflict_with_inline/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /constraints/inline_constraints/only_inline/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /constraints/inline_constraints/only_inline/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv only_inline.sv 8 | 9 | simulate: 10 | vsim only_inline -l only_inline_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/queue_array/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv queue_code.sv 8 | 9 | simulate: 10 | vsim mod -l queue_code.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/rand/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/rand/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv rand_variable.sv 8 | 9 | simulate: 10 | vsim rand_var -l rand_variable.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/randc/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/randc/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlib work; 8 | vlog -sv randc_variable.sv 9 | 10 | simulate: 11 | vsim randc_var -l randc_variable.log -c -do "run -all; exit;" 12 | 13 | clear: 14 | clear 15 | -------------------------------------------------------------------------------- /constraints/randcase/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/randcase/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv randcase_code.sv 8 | 9 | simulate: 10 | vsim r_case -l randcase_code_output.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/random_function/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/random_function/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv random_function.sv 8 | 9 | simulate: 10 | vsim ran_fun -l random_function_log.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/randomization_methods/post_randomization/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/randomization_methods/pre_randomization/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/randomization_methods/randomization/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/randomization_mode/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/soft_with_conflict/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/soft_without_conflict/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/soft_without_conflict/gitigonre: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/solve_before_constraint/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/solve_before_constraint/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv solve_before.sv 8 | 9 | simulate: 10 | vsim solve_before -l solve_before.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/static_array/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/static_array/mult_dimensional_static_array/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/static_array/single_static_array/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/static_array/two_static_array/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/static_constraint/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/static_constraint/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv static_constraint.sv 8 | 9 | simulate: 10 | vsim static_constraint -l static_constraint_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/std_random/randomization_inside_class/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /constraints/std_random/randomization_inside_class/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv std_random_class.sv 8 | 9 | simulate: 10 | vsim tb -l std_random_class.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /constraints/std_random/randomization_inside_module/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/casting_data_type/bit_to_byte/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/casting_data_type/int_to_longint/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/casting_data_type/integer_to_int/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/casting_data_type/integer_to_int/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv integer_to_int.sv 8 | 9 | simulate: 10 | vsim integer_to_int -l integer_to_int.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /data_type/casting_data_type/logic_to_byte/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/casting_data_type/real_to_int/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/casting_data_type/real_to_time/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/casting_data_type/shortint/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/string/string_me/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/string/string_me/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear;make compile;make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv string_method.sv 7 | 8 | simulate: 9 | vsim string_m -l string_method.log -c -do "run -all;quit;" 10 | 11 | clear: 12 | clear 13 | 14 | -------------------------------------------------------------------------------- /data_type/string/string_op/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/string/string_op/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear;make compile;make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv string_op.sv 7 | 8 | simulate: 9 | vsim string_o -l string_op.log -c -do "run -all;quit;" 10 | 11 | clear: 12 | clear 13 | -------------------------------------------------------------------------------- /data_type/structure/struct-packed/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /data_type/structure/struct-packed/.struct-packed.sv.swp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/structure/struct-packed/.struct-packed.sv.swp -------------------------------------------------------------------------------- /data_type/structure/struct-packed/makefile: -------------------------------------------------------------------------------- 1 | 2 | all: 3 | make clear;make compile;make simulate 4 | 5 | compile: 6 | vlib work; 7 | vlog -sv struct-packed.sv 8 | 9 | simulate: 10 | vsim emp_info -l struct-packed.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /data_type/structure/struct-unpacked/gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/structure/struct-unpacked/makefile: -------------------------------------------------------------------------------- 1 | 2 | all: 3 | make clear;make compile;make simulate 4 | 5 | compile: 6 | vlib work; 7 | vlog -sv structn.sv 8 | 9 | simulate: 10 | vsim struct1 -l structn.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Array_methods/Array_Reduction/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Array_methods/Array_Reduction/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make compile && make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv arrayreduction.sv 7 | 8 | simulate: 9 | vsim array_reduction -l array_reduction.log -c -do "run -all; exit;" 10 | 11 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Array_methods/Array_find/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Array_methods/Array_find/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make compile && make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv array.sv 7 | 8 | simulate: 9 | vsim array_find -l arrayfind.log -c -do "run -all; exit;" 10 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Array_methods/Array_max/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Array_methods/Array_max/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make compile && make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv arraymax.sv 7 | 8 | simulate: 9 | vsim tb -l arraymax.log -c -do "run -all; exit;" 10 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Array_methods/Array_ordering/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Array_methods/Array_ordering/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make compile && make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv arrayorder.sv 7 | 8 | simulate: 9 | vsim array_order -l array_order.log -c -do "run -all; exit;" 10 | 11 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Queue/queue_method1/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Queue/queue_method1/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make compile && make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv queue.sv 7 | 8 | simulate: 9 | vsim queue_data_type -l queue_data_type.log -c -do "run -all; exit;" 10 | 11 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Queue/queue_method2/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Queue/queue_method2/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make compile && make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv queue.sv 7 | 8 | simulate: 9 | vsim queue_data -l queue_data.log -c -do "run -all; exit;" 10 | 11 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Queue/queue_method3/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/Queue/queue_method3/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make compile && make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv queue.sv 7 | 8 | simulate: 9 | vsim queue_data_type -l queue_data_type.log -c -do "run -all; exit;" 10 | 11 | -------------------------------------------------------------------------------- /data_type/sv_arrays/associative_array/associative/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/associative_array/associative_methods/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/dynamic_array/dynamic/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/dynamic_array/dynamic/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear;make compile;make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv dynamic.sv 7 | 8 | simulate: 9 | vsim dynamic_array -l dynamic_array.log -c -do "run -all;quit;" 10 | 11 | clear: 12 | clear 13 | 14 | -------------------------------------------------------------------------------- /data_type/sv_arrays/dynamic_array/dynamic_method/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/dynamic_array/dynamic_unbound/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/sv_arrays/dynamic_array/dynamic_unbound/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear;make compile;make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv dynamic.sv 7 | 8 | simulate: 9 | vsim dynamic -l dynamic.log -c -do "run -all;quit;" 10 | 11 | clear: 12 | clear 13 | -------------------------------------------------------------------------------- /data_type/sv_arrays/packed_array/multi_packed/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /data_type/sv_arrays/packed_array/single_packed/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /data_type/sv_arrays/packed_array/single_packed/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear;make compile;make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv packed_array.sv 7 | 8 | simulate: 9 | vsim packed_array -l packed_array.log -c -do "run -all;quit;" 10 | 11 | clear: 12 | clear 13 | 14 | -------------------------------------------------------------------------------- /data_type/sv_arrays/unpacked_array/multi_unpacked/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /data_type/sv_arrays/unpacked_array/single_unpacked/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/bit_data_type/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/byte_data_type/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/enum_data_type/default_value_enum/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/enum_data_type/set_value_enum/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/enum_data_type/typedef_enum/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/int_data_type/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/integer_data_type/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/logic/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/logic/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear;make compile;make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv logic_file.sv 7 | 8 | simulate: 9 | vsim logic_data -l logic_file.log -c -do "run -all;quit;" 10 | 11 | clear: 12 | clear 13 | 14 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/longint_data_type/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/real_data_type/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/shortint_data_type/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/two_and_four_state/time_data_type/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/typedef/.enum.sv.swp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/.enum.sv.swp -------------------------------------------------------------------------------- /data_type/typedef/classtypedef/.classtypedef.sv.swp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/classtypedef/.classtypedef.sv.swp -------------------------------------------------------------------------------- /data_type/typedef/classtypedef/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/typedef/classtypedef/makefile: -------------------------------------------------------------------------------- 1 | 2 | all: 3 | make clear;make compile;make simulate 4 | 5 | compile: 6 | vlib work; 7 | vlog -sv classtypedef.sv 8 | 9 | simulate: 10 | vsim typedefclass -l classtypedef.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /data_type/typedef/enumtypedef/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/typedef/enumtypedef/makefile: -------------------------------------------------------------------------------- 1 | 2 | all: 3 | make clear;make compile;make simulate 4 | 5 | compile: 6 | vlib work; 7 | vlog -sv enumtypedef.sv 8 | 9 | simulate: 10 | vsim enumtype -l enumtypedef.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /data_type/typedef/structtypedef/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/typedef/structtypedef/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear;make compile;make simulate 3 | 4 | compile: 5 | vlib work; 6 | vlog -sv structtypedef.sv 7 | 8 | simulate: 9 | vsim structtype -l structtypedef.log -c -do "run -all;quit;" 10 | 11 | clear: 12 | clear 13 | 14 | -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib.qdb -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib1_0.qdb -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib1_0.qpg -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib1_0.qtl -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib2_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib2_0.qdb -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib2_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib2_0.qpg -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib2_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib2_0.qtl -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib3_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib3_0.qdb -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib3_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib3_0.qpg -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib3_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib3_0.qtl -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib4_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib4_0.qdb -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib4_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib4_0.qpg -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib5_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib5_0.qdb -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib5_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib5_0.qpg -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib6_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib6_0.qdb -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib6_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib6_0.qpg -------------------------------------------------------------------------------- /data_type/typedef/work/@_opt/_lib6_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/@_opt/_lib6_0.qtl -------------------------------------------------------------------------------- /data_type/typedef/work/_lib.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/_lib.qdb -------------------------------------------------------------------------------- /data_type/typedef/work/_lib1_0.qdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/_lib1_0.qdb -------------------------------------------------------------------------------- /data_type/typedef/work/_lib1_0.qpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/_lib1_0.qpg -------------------------------------------------------------------------------- /data_type/typedef/work/_lib1_0.qtl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/data_type/typedef/work/_lib1_0.qtl -------------------------------------------------------------------------------- /data_type/typedef/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K4 3 | z0 4 | cModel Technology 5 | -------------------------------------------------------------------------------- /data_type/union/union-packed/gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/union/union-packed/makefile: -------------------------------------------------------------------------------- 1 | 2 | all: 3 | make clear;make compile;make simulate 4 | 5 | compile: 6 | vlog -sv unionpack.sv 7 | 8 | simulate: 9 | vsim unionpacked -l unionpack.log -c -do "run -all;quit;" 10 | 11 | clear: 12 | clear 13 | -------------------------------------------------------------------------------- /data_type/union/union-unpacked/gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /data_type/union/union-unpacked/makefile: -------------------------------------------------------------------------------- 1 | 2 | all: 3 | make clear;make compile;make simulate 4 | 5 | compile: 6 | vlog -sv union-unpack.sv 7 | 8 | simulate: 9 | vsim union_type -l union_unpack.log -c -do "run -all;quit;" 10 | 11 | clear: 12 | clear 13 | 14 | -------------------------------------------------------------------------------- /doc/ieee-standard-for-systemverilog-2017.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/doc/ieee-standard-for-systemverilog-2017.pdf -------------------------------------------------------------------------------- /fine_grain_process_control/fine_await/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_await/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv fine_await.sv 8 | 9 | simulate: 10 | vsim work.fine_await -l fine_await.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_kill/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | vish_stacktrace.vstf 3 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_kill/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv fine_kill.sv 8 | 9 | simulate: 10 | vsim work.fine_kill -l fine_kill.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_resume/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_resume/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv fine_resume.sv 8 | 9 | simulate: 10 | vsim work.fine_resume -l fine_resume.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_self/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_self/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv fine_self.sv 8 | 9 | simulate: 10 | vsim work.fine_self -l fine_self.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_status/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_status/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv fine_status.sv 8 | 9 | simulate: 10 | vsim work.fine_status -l fine_status.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_suspend/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /fine_grain_process_control/fine_suspend/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv fine_suspend.sv 8 | 9 | simulate: 10 | vsim work.fine_suspend -l fine_suspend.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /functional_coverages/bins/explicit_bin/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/bins/explicit_bin/explicit_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/explicit_bin/explicit_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/bins/ignore_bin/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/bins/ignore_bin/ignore_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/ignore_bin/ignore_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/bins/illegal_bin/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/bins/illegal_bin/illegal_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/illegal_bin/illegal_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/bins/implicit_bin/implicit_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/implicit_bin/implicit_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/consec_repeat/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/consec_repeat/consec_repeat_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/transition_bins/consec_repeat/consec_repeat_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/goto_repeat/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/goto_repeat/goto_repeat_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/transition_bins/goto_repeat/goto_repeat_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/range_of_repeat/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/range_of_repeat/range_of_repeat_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/transition_bins/range_of_repeat/range_of_repeat_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/sequence_of_trans/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/sequence_of_trans/sequence_of_trans_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/transition_bins/sequence_of_trans/sequence_of_trans_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/set_of_trans/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/set_of_trans/set_of_trans_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/transition_bins/set_of_trans/set_of_trans_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/single_val_trans/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/bins/transition_bins/single_val_trans/single_val_trans_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/transition_bins/single_val_trans/single_val_trans_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/bins/wildcard_bin/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/bins/wildcard_bin/wildcard_bin.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/bins/wildcard_bin/wildcard_bin.ucdb -------------------------------------------------------------------------------- /functional_coverages/covergroup/conditional_coverage/cvgrp_iff/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/covergroup/conditional_coverage/cvgrp_iff/iff.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/covergroup/conditional_coverage/cvgrp_iff/iff.ucdb -------------------------------------------------------------------------------- /functional_coverages/covergroup/conditional_coverage/cvgrp_start_stop/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /functional_coverages/covergroup/conditional_coverage/cvgrp_start_stop/start_stop.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/covergroup/conditional_coverage/cvgrp_start_stop/start_stop.ucdb -------------------------------------------------------------------------------- /functional_coverages/covergroup/covergrp_declaration/cover_grp/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /functional_coverages/covergroup/covergrp_declaration/cover_grp/cover_group.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/covergroup/covergrp_declaration/cover_grp/cover_group.ucdb -------------------------------------------------------------------------------- /functional_coverages/covergroup/covergrp_declaration/cvgrp_inside_class/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | transcript 4 | -------------------------------------------------------------------------------- /functional_coverages/covergroup/covergrp_declaration/cvgrp_inside_class/inside_class.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/covergroup/covergrp_declaration/cvgrp_inside_class/inside_class.ucdb -------------------------------------------------------------------------------- /functional_coverages/covergroup/covergrp_declaration/cvgrp_inside_mod/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/covergroup/covergrp_declaration/cvgrp_inside_mod/inside_mod.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/covergroup/covergrp_declaration/cvgrp_inside_mod/inside_mod.ucdb -------------------------------------------------------------------------------- /functional_coverages/covergroup/covergrp_declaration/cvgrp_outside_class_mod/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /functional_coverages/covergroup/covergrp_declaration/cvgrp_outside_class_mod/outside.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/covergroup/covergrp_declaration/cvgrp_outside_class_mod/outside.ucdb -------------------------------------------------------------------------------- /functional_coverages/covergroup/cvrgrp_triggering/cvgrp_using_clk/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/covergroup/cvrgrp_triggering/cvgrp_using_clk/cvgrp_using_clk.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/covergroup/cvrgrp_triggering/cvgrp_using_clk/cvgrp_using_clk.ucdb -------------------------------------------------------------------------------- /functional_coverages/covergroup/cvrgrp_triggering/cvgrp_using_event/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/covergroup/cvrgrp_triggering/cvgrp_using_event/using_evnt.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/covergroup/cvrgrp_triggering/cvgrp_using_event/using_evnt.ucdb -------------------------------------------------------------------------------- /functional_coverages/coverpoints/coverpoint_expression/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/coverpoints/coverpoint_expression/expression_report.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/coverpoints/coverpoint_expression/expression_report.ucdb -------------------------------------------------------------------------------- /functional_coverages/coverpoints/func_return_value/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/coverpoints/func_return_value/func_return_value.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/coverpoints/func_return_value/func_return_value.ucdb -------------------------------------------------------------------------------- /functional_coverages/coverpoints/func_return_value/func_return_value_report.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/coverpoints/func_return_value/func_return_value_report.ucdb -------------------------------------------------------------------------------- /functional_coverages/coverpoints/part_select/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/coverpoints/part_select/part_select.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/coverpoints/part_select/part_select.ucdb -------------------------------------------------------------------------------- /functional_coverages/coverpoints/simple_coverpoint/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/coverpoints/simple_coverpoint/cover_point_report.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/coverpoints/simple_coverpoint/cover_point_report.ucdb -------------------------------------------------------------------------------- /functional_coverages/cross_coverage/cvgrp_cross/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/cross_coverage/cvgrp_cross/cross.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/cross_coverage/cvgrp_cross/cross.ucdb -------------------------------------------------------------------------------- /functional_coverages/cross_coverage/cvgrp_cross_var/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functional_coverages/cross_coverage/cvgrp_cross_var/cross_var.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/cross_coverage/cvgrp_cross_var/cross_var.ucdb -------------------------------------------------------------------------------- /functional_coverages/cvgrp_options/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /functional_coverages/cvgrp_options/options.ucdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/functional_coverages/cvgrp_options/options.ucdb -------------------------------------------------------------------------------- /functions/func_array_from_display/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functions/func_automatic/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | vish_stacktrace.vstf 3 | -------------------------------------------------------------------------------- /functions/func_automatic/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv func_automatic.sv 8 | 9 | simulate: 10 | vsim work.func_automatic -l func_automatic_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /functions/func_call_from_display/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functions/func_default_value/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functions/func_pass_by_positonal/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functions/func_pass_by_ref/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | vish_stacktrace.vstf 3 | -------------------------------------------------------------------------------- /functions/func_pass_by_ref/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv func_pass_by_ref.sv 8 | 9 | simulate: 10 | vsim work.func_pass_by_ref -l func_pass_by_ref_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /functions/func_pass_by_val/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functions/func_pass_by_val/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv func_pass_by_val.sv 8 | 9 | simulate: 10 | vsim work.func_pass_by_val -l func_pass_by_val_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /functions/func_pass_by_variables/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functions/func_return_arr/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functions/func_return_arr/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv func_return_arr.sv 8 | 9 | simulate: 10 | vsim work.func_return_arr -l func_return_arr_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /functions/func_return_void/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functions/func_return_void/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv func_return_void.sv 8 | 9 | simulate: 10 | vsim work.func_return_void -l func_return_void_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /functions/task_from_func/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /functions/task_from_func/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv task_from_func.sv 8 | 9 | simulate: 10 | vsim work.task_from_func -l task_from_func_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /interface/Clocking_Block/clocking_block_example/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | transcript 3 | -------------------------------------------------------------------------------- /interface/Clocking_Block/clocking_block_example/waveform.wlf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/mbits-mirafra/SystemVerilogCourse/3dae63159f2db9105f2ec760e27a4b1ebf95c18c/interface/Clocking_Block/clocking_block_example/waveform.wlf -------------------------------------------------------------------------------- /interface/Types_of_parameter_passing/Defpram_parameter/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /interface/Types_of_parameter_passing/pass_constant_parameter/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /interface/Virtual_interface/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /interface/Virtual_interface/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv driver.sv top.sv 8 | 9 | simulate: 10 | vsim top -l virtual_interface.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /interface/interface/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /interface/interface/and_gate.sv: -------------------------------------------------------------------------------- 1 | module and_gate(input_a,input_b,output_y); 2 | //declared input output variables 3 | input input_a,input_b; 4 | output output_y; 5 | 6 | //performing And operation assigning value in output_y 7 | assign output_y = input_a&input_b; 8 | endmodule:and_gate 9 | -------------------------------------------------------------------------------- /interface/interface/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv and_gate.sv test.sv interface.sv top.sv 8 | 9 | simulate: 10 | vsim top -l interface.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /interface/modports/modport_error/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | 3 | -------------------------------------------------------------------------------- /interface/modports/modport_error/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv and_gate.sv test.sv interface.sv top.sv 8 | 9 | simulate: 10 | vsim top -l modport.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /interface/modports/modport_example/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /interface/modports/modport_example/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv and_gate.sv test.sv interface.sv top.sv 8 | 9 | simulate: 10 | vsim top -l modport.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /interface/parameterized_interface/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /interface/parameterized_interface/interface.sv: -------------------------------------------------------------------------------- 1 | //declare interface using parameter 2 | interface count_if #(parameter N=2); 3 | //declare signals using logic datatype 4 | logic reset,clk; 5 | logic [N:0] counter; 6 | endinterface: count_if 7 | -------------------------------------------------------------------------------- /interface/parameterized_interface/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv up_counter.sv test.sv interface.sv top.sv 8 | 9 | simulate: 10 | vsim top -l interface.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /loops/do_while/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /loops/do_while/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv do_while.sv 8 | 9 | simulate: 10 | vsim work.do_while -l do_while_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /loops/for/for_loop/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /loops/for/for_loop/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv for_loop.sv 8 | 9 | simulate: 10 | vsim work.for_loop -l for_loop_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /loops/for/nested_for/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /loops/for/nested_for/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv nested_for_loop.sv 8 | 9 | simulate: 10 | vsim work.nested_for_loop -l nested_for_loop_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /loops/foreach/foreach_loop/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /loops/foreach/foreach_loop/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv foreach_loop.sv 8 | 9 | simulate: 10 | vsim work.foreach_loop -l foreach_loop_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /loops/foreach/foreach_using_for/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /loops/forever/forever_loop_break/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /loops/forever/forever_loop_break/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv forever_loop.sv 8 | 9 | simulate: 10 | vsim work.forever_loop -l forever_loop_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /loops/forever/forever_loop_finish/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /loops/forever/forever_loop_finish/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv forever_loop.sv 8 | 9 | simulate: 10 | vsim work.forever_loop -l forever_loop_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /loops/repeat/repeat_normal/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /loops/repeat/repeat_normal/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv repeat_code.sv 8 | 9 | simulate: 10 | vsim work.repeat_code -l repeat_code_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /loops/repeat/repeat_using_for_loop/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /loops/repeat/repeat_using_for_loop/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv repeat_for.sv 8 | 9 | simulate: 10 | vsim work.repeat_for -l repeat_for_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /loops/while/while_basic/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /loops/while/while_basic/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv while_basic.sv 8 | 9 | simulate: 10 | vsim work.while_basic -l while_basic_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /loops/while/while_local_var/.gitignore: -------------------------------------------------------------------------------- 1 | 2 | work 3 | -------------------------------------------------------------------------------- /loops/while/while_local_var/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv while_with_var.sv 8 | 9 | simulate: 10 | vsim work.while_with_var -l while_with_var_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /macros_and_parameters/macros/macros_commandline/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /macros_and_parameters/macros/macros_commandline/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv macros_commandline.sv 8 | 9 | simulate: 10 | vsim tb -l macros_commandline.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /macros_and_parameters/macros/parameters_used_macros/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /macros_and_parameters/parameters/macros_used_parameters/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /operators/arithmetic/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /operators/arithmetic/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlib work; 8 | vlog -sv arithmetic_code.sv 9 | 10 | simulate: 11 | vsim arithmetic_code -l arithmetic_code.log -c -do "run -all; exit;" 12 | 13 | clear: 14 | clear 15 | -------------------------------------------------------------------------------- /operators/bitwise/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /operators/bitwise/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate 5 | 6 | compile: 7 | vlog -sv bitwise_code.sv 8 | 9 | simulate: 10 | vsim bitwise_code -l bitwise_code_output.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /operators/concatenation_Replication/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /operators/conditional/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /operators/conditional/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate 5 | 6 | compile: 7 | vlog -sv conditional_code.sv 8 | 9 | simulate: 10 | vsim conditional_code -l conditional_code_output.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /operators/equality/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /operators/equality/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate 5 | 6 | compile: 7 | vlog -sv equality_code.sv 8 | 9 | simulate: 10 | vsim equality_code -l equality_code_output.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /operators/logic/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /operators/logic/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate 5 | 6 | compile: 7 | vlog -sv logic_code.sv 8 | 9 | simulate: 10 | vsim logic_code -l logic_code_output.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /operators/reduction/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /operators/reduction/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate 5 | 6 | compile: 7 | vlog -sv reduction_code.sv 8 | 9 | simulate: 10 | vsim reduction_code -l reduction_code_output.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /operators/relational/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /operators/relational/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv relational_code.sv 8 | 9 | simulate: 10 | vsim relational_code -l relational_code_output.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /operators/shift/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /operators/shift/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate 5 | 6 | compile: 7 | vlog -sv shift_code.sv 8 | 9 | simulate: 10 | vsim shift_code -l shift_code_output.log -c -do "run -all; exit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /processes/disable_fork/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /processes/disable_fork/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv disable_fork.sv 8 | 9 | simulate: 10 | vsim disable_fork -l disable_fork.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /processes/fork_join/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /processes/fork_join/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv fork_join.sv 8 | 9 | simulate: 10 | vsim fork_join -l fork_join.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /processes/fork_join_any/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /processes/fork_join_any/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv fork_join_any.sv 8 | 9 | simulate: 10 | vsim fork_join_any -l fork_join_any.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /processes/fork_join_none/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /processes/fork_join_none/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv fork_join_none.sv 8 | 9 | simulate: 10 | vsim fork_join_none -l fork_join_none.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | 15 | -------------------------------------------------------------------------------- /processes/wait_fork/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /processes/wait_fork/Makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv wait_fork.sv 8 | 9 | simulate: 10 | vsim wait_fork -l wait_fork.log -c -do "run -all;quit;" 11 | 12 | clear: 13 | clear 14 | 15 | 16 | 17 | -------------------------------------------------------------------------------- /tasks/disable_task/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /tasks/disable_task/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv disable_task.sv 8 | 9 | simulate: 10 | vsim work.disable_task -l disable_task_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /tasks/func_from_task/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /tasks/func_from_task/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv func_from_task.sv 8 | 9 | simulate: 10 | vsim work.func_from_task -l func_from_task_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /tasks/global_task/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /tasks/global_task/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv global_task.sv 8 | 9 | simulate: 10 | vsim work.task1 work.task2 -l global_task_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /tasks/simple_task/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /tasks/simple_task/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv simple_task.sv 8 | 9 | simulate: 10 | vsim work.simple_task -l simple_task_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | -------------------------------------------------------------------------------- /tasks/task_automatic/.gitignore: -------------------------------------------------------------------------------- 1 | work 2 | -------------------------------------------------------------------------------- /tasks/task_automatic/makefile: -------------------------------------------------------------------------------- 1 | all: 2 | make clear; 3 | make compile; 4 | make simulate; 5 | 6 | compile: 7 | vlog -sv task_fact.sv 8 | 9 | simulate: 10 | vsim work.task_fact -l task_fact_log.log -c -do "run -all;exit;" 11 | 12 | clear: 13 | clear 14 | --------------------------------------------------------------------------------