├── .gitignore ├── .gitmodules ├── Changelog ├── LICENSE ├── Makefile ├── README.md ├── TODO ├── amiga_sw ├── MemoryCheck.adf ├── MinimigControl.adf ├── MinimigUtils.adf ├── WavPlay │ ├── Build68k │ ├── Build68k.info │ ├── CompList │ ├── README │ ├── README.info │ ├── Server.c │ ├── WavPlay │ ├── WavPlay.info │ ├── _Server.s │ ├── _Server.s.info │ ├── minimigaudio.c │ ├── minimigaudio.c.info │ ├── minimigaudio.h │ ├── server.c.info │ ├── wav.c │ ├── wav.c.info │ ├── wav.h │ ├── wavplay.c │ └── wavplay.c.info ├── WheelDriver.adf ├── WheelDriver │ ├── Build68k │ ├── CompList │ ├── Cx.c │ ├── Cx.h │ ├── Main.c │ ├── Server.c │ ├── WheelDriver │ ├── WheelDriver.c │ ├── WheelDriver.h │ ├── WheelDriver.info │ ├── _Server.s │ ├── complistsrc │ │ └── CompList.c │ └── newmouse.h ├── boingball │ ├── BoingBall.s │ ├── boingball_data.s │ ├── minimig.art │ ├── minimig.bal │ └── minimig.cop └── rtg │ ├── Makefile │ ├── README │ ├── README.info │ ├── minimig.card │ └── minimig.card.asm ├── bench ├── agnus_bitplanes │ └── agnus_bitplanes_tb.v ├── chipset_verilator │ ├── AudioMix.v │ ├── Makefile │ ├── Makefile_obj │ ├── amiga_clk.v │ ├── audiofilter.v │ ├── chipset_tb.cpp │ ├── chipset_tb.v │ └── denise_colortable_ram_mf.v ├── cpu_cache_sdram │ ├── cpu_cache_sdram_tb.v │ ├── fw │ │ ├── test.S │ │ └── test.hex │ └── tg68_ram.v ├── cpu_cache_sdram_verilator │ ├── .gitignore │ ├── Makefile │ ├── Makefile_obj │ ├── cpu_cache_sdram_tb.cpp │ ├── cpu_cache_sdram_tb.v │ └── mt48lc16m16a2.v ├── ctrl │ └── ctrl_tb.v ├── de1 │ └── de1_clk_rst.v ├── interlace │ ├── Makefile │ ├── Makefile_obj │ ├── chipset_tb.cpp │ └── chipset_tb.v ├── lcd │ └── lcd_tb.v ├── minimig │ └── soc_tb.v ├── minimig_cpu │ └── minimig_cpu_tb.v ├── ps2mouse │ ├── ps2mouse_ctrl.v │ └── ps2mouse_tb.v ├── sdm │ ├── sdm.v │ └── sdm_tb.v ├── sram │ ├── qmem_master.v │ └── qmem_sram_tb.v ├── tg68 │ └── tg68_fast_tb.v ├── tg68k │ └── tg68k_fast_tb.v └── uart │ └── uart_tb.v ├── debug ├── debugboot ├── doc ├── MemoryMap.txt ├── amiga │ ├── Amiga System Memory Map.htm │ ├── aga │ │ ├── A1200FuncSpec.txt │ │ ├── AGA Registers.pdf │ │ ├── AGA.guide │ │ ├── Action's guide to AGA fixing software!.mht │ │ ├── Mysterious Ways - How to Code the Amiga - AGA Chipset.mht │ │ ├── RandyAGA.txt │ │ └── aga_guide.lha │ ├── ahi │ │ ├── Aros_Developer_AHIDrivers - Wikibooks, open books for an open world.html │ │ ├── Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files │ │ │ ├── index(1).php │ │ │ ├── index(10).php │ │ │ ├── index(11).php │ │ │ ├── index(12).php │ │ │ ├── index(13).php │ │ │ ├── index(14).php │ │ │ ├── index(15).php │ │ │ ├── index(2).php │ │ │ ├── index(3).php │ │ │ ├── index(4).php │ │ │ ├── index(5).php │ │ │ ├── index(6).php │ │ │ ├── index(7).php │ │ │ ├── index(8).php │ │ │ ├── index(9).php │ │ │ ├── index.php │ │ │ ├── load(1).php │ │ │ ├── load(2).php │ │ │ ├── load(3).php │ │ │ ├── load.php │ │ │ ├── poweredby_mediawiki_88x31.png │ │ │ └── wikimedia-button.png │ │ ├── ahisrc.tar.gz │ │ ├── m68k-amigaos-ahidev.lha │ │ └── m68k-amigaos-ahiusr.lha │ └── amiga_docs_links.txt ├── avnet-spartan3 │ ├── 48LC16M16A2-75.pdf │ ├── ADV7123.pdf │ ├── AT24C256W.pdf │ ├── CY7C1041V33.pdf │ ├── DP83846A.pdf │ ├── Release Notes.txt │ ├── TE28F128J3C-150.pdf │ ├── Xilinx Spartan-3 Evaluation Kit - BOM 021904F.pdf │ ├── Xilinx Spartan-3 Evaluation Kit - Schematics 021904F.pdf │ ├── Xilinx Spartan-3 Evaluation Kit - Terms & Conditions 0….pdf │ ├── Xilinx Spartan-3 Evaluation Kit - User's Guide 022304F.pdf │ ├── avbus │ │ ├── communications_memory_module_schematic_112202F.pdf │ │ ├── communications_memory_module_user_guide_112202.pdf │ │ ├── flash_exp-sch.pdf │ │ ├── flash_exp-ug.pdf │ │ ├── flash_exp.bom.pdf │ │ ├── sdram_exp-bom.pdf │ │ ├── sdram_exp-sch.pdf │ │ ├── sdram_exp-ug.pdf │ │ ├── sram_exp-bom.pdf │ │ ├── sram_exp-sch.pdf │ │ └── sram_exp-ug.pdf │ └── sp3_1500_eval.ucf ├── fpga │ ├── cyc2_cii5v1.pdf │ └── cyclone3_handbook.pdf ├── mist │ ├── pcb.pdf │ └── schematic.pdf └── rtc │ ├── Amiga world ... because it is fun and easy! Clock port expander .htm │ ├── Clock port - Wikipedia, the free encyclopedia.htm │ ├── Clock port.htm │ ├── OKI MSM6242B.pdf │ ├── a1200clock_1_sm.jpg │ ├── ide64clock_port.pdf │ └── subway_sw_manual.pdf ├── fpga ├── chameleonv1 │ ├── Makefile │ ├── MinimigAGA_TC64V1.qpf │ ├── MinimigAGA_TC64V1.qsf │ └── constraints.sdc ├── chameleonv2 │ ├── Makefile │ ├── MinimigAGA_TC64V2.qpf │ ├── MinimigAGA_TC64V2.qsf │ └── constraints.sdc ├── de0_nano │ ├── Makefile │ ├── extension_board.txt │ ├── gpl.txt │ ├── minimig_de0_nano.qsf │ └── minimig_de0_nano.sdc ├── de10_lite │ ├── minimig_de10.qpf │ ├── minimig_de10.qsf │ └── minimig_de10lite.sdc ├── mist │ ├── Makefile │ ├── cart_debug.stp │ ├── cpu_cache.stp │ ├── cpu_debug.stp │ ├── cpu_nmi.stp │ ├── cpu_nmi_auto_stripped.stp │ ├── gpl.txt │ ├── ide.stp │ ├── minimig_SiDi.qpf │ ├── minimig_SiDi.qsf │ ├── minimig_mist.qpf │ ├── minimig_mist.qsf │ ├── minimig_mist.sdc │ ├── minimig_mist_assignment_defaults.qdf │ ├── minimig_mist_sdram2.sdc │ ├── scroller.stp │ └── stp1.stp ├── neptuno │ ├── minimig_neptuno.qpf │ ├── minimig_neptuno.qsf │ ├── minimig_neptuno.sdc │ └── rtl │ │ ├── audio_i2s.vhd │ │ ├── dac_dsm2v.vhd │ │ └── joydecoder.v ├── sidi128 │ ├── minimig_sidi128.qpf │ └── minimig_sidi128.qsf ├── uareloaded │ ├── minimig_uareloaded.qpf │ ├── minimig_uareloaded.qsf │ ├── minimig_uareloaded.sdc │ └── rtl │ │ └── i2s_audio.vhd └── virtual │ ├── minimig_virtual.qpf │ └── minimig_virtual.qsf ├── fw ├── amiga_boot │ ├── Makefile │ └── amiga_boot.asm ├── ctrl_832 │ ├── Makefile │ ├── Makefile.68k │ ├── akiko.c │ ├── akiko.h │ ├── amiga_rawkey.h │ ├── audio.c │ ├── audio.h │ ├── audiotrack.c │ ├── audiotrack.h │ ├── bincue.c │ ├── bincue.h │ ├── boot.c │ ├── boot.h │ ├── bootcustominit.h │ ├── bootcustominit.s │ ├── c64keys.c │ ├── c64keys.h │ ├── charrom.h │ ├── config.c │ ├── config.h │ ├── drivesounds.c │ ├── drivesounds.h │ ├── errors.c │ ├── errors.h │ ├── fat.c │ ├── fat.h │ ├── fdd.c │ ├── fdd.h │ ├── firmware.h │ ├── fpga.c │ ├── fpga.h │ ├── hardware.c │ ├── hardware.h │ ├── hdd.c │ ├── hdd.h │ ├── hdd_internal.h │ ├── hexdump.c │ ├── hexdump.h │ ├── interrupts.c │ ├── interrupts.h │ ├── logo.h │ ├── main.c │ ├── menu.c │ ├── menu.h │ ├── minimig_cfg.h │ ├── mmc.c │ ├── mmc.h │ ├── osd.c │ ├── osd.h │ ├── osd_m68k-elf.ld │ ├── osd_zpu-elf.ld │ ├── printf.c │ ├── rafile.c │ ├── rafile.h │ ├── rtc.c │ ├── rtc.h │ ├── small_printf.c │ ├── small_printf.h │ ├── spi.c │ ├── spi.h │ ├── startup_m68k-elf.s │ ├── startup_zpu-elf.s │ ├── swap.c │ ├── swap.h │ └── uart.c ├── ctrl_boot_832 │ ├── Makefile │ ├── OSDBoot_832_ROM.vhd │ ├── boot.c │ ├── bootdiag.h │ ├── bootdiag.s │ ├── checksum.c │ ├── checksum.h │ ├── hexdump.c │ ├── hexdump.h │ ├── main.c │ ├── minfat.c │ ├── minfat.h │ ├── mkchecksum.c │ ├── rom_epilogue.vhd │ ├── rom_prologue.vhd │ ├── small_printf.h │ ├── spi.c │ ├── spi.h │ ├── start68k.s │ ├── swap.c │ ├── swap.h │ ├── uart.c │ └── uart.h ├── dhrystone_832 │ ├── Makefile │ ├── debug │ ├── dhry.h │ ├── dhry_1.c │ ├── dhry_2.c │ ├── hardware.h │ ├── setstack.asm │ ├── timer.h │ └── uart.c ├── romgen │ ├── Makefile │ ├── rom_epilogue.vhd │ ├── rom_prologue.vhd │ └── romgen.c └── testbed │ ├── Makefile │ ├── audiotrack.c │ ├── audiotrack.h │ ├── bincue.c │ ├── bincue.h │ ├── boot.c │ ├── boot.h │ ├── errors.c │ ├── errors.h │ ├── fat.c │ ├── fat.h │ ├── hardware.c │ ├── hardware.h │ ├── interrupts.c │ ├── interrupts.h │ ├── main.c │ ├── malloc.c │ ├── malloc.h │ ├── mmc.c │ ├── mmc.h │ ├── rafile.c │ ├── rafile.h │ ├── setstack.asm │ ├── spi.c │ ├── spi.h │ ├── swap.c │ ├── swap.h │ ├── timer.h │ └── uart.c ├── lib ├── altera │ ├── 220model.v │ ├── MULT18x18.v │ ├── altera_lnsim.sv │ ├── altera_mf.v │ ├── altera_mf_macros.i │ ├── altera_primitives.v │ ├── altera_primitives_quasar.v │ ├── altmult_add.v │ ├── cyclone_atoms.v │ ├── cycloneii_atoms.v │ ├── cycloneiii_atoms.v │ ├── cycloneiiils_atoms.v │ ├── cycloneiv_atoms.v │ ├── cycloneiv_hssi_atoms.v │ ├── cycloneiv_pcie_hip_atoms.v │ ├── cycloneive_atoms.v │ ├── cyclonev_atoms.v │ ├── cyclonev_hssi_atoms.v │ ├── cyclonev_pcie_hip_atoms.v │ ├── lpm_mult.v │ ├── max_atoms.v │ ├── maxii_atoms.v │ ├── maxv_atoms.v │ └── sgate.v ├── io │ ├── generic_input.v │ ├── generic_output.v │ └── io.v ├── models │ ├── IS61LV6416L.v │ ├── mt48lc16m16a2.v │ ├── mt48lc4m16.v │ ├── ps2mouse.v │ ├── s29al032d_00.v │ └── sd_card.v └── vga │ └── vga_monitor.v ├── misc └── 51-usbblaster.rules ├── paths.mk ├── pcb └── chaos-de1_ext2.zip ├── rtl ├── akiko │ ├── akiko.vhd │ └── cornerturn.vhd ├── audio │ ├── AudioMix.vhd │ ├── I2C_AV_Config.v │ ├── I2C_Controller.v │ ├── audio_shifter.v │ ├── audio_top.v │ ├── audiofilter.vhd │ ├── i2s.v │ └── spdif.v ├── chameleon │ ├── chameleon.qip │ ├── chameleon_1khz.vhd │ ├── chameleon_1mhz.vhd │ ├── chameleon_autofire.vhd │ ├── chameleon_c64_joykeyb.vhd │ ├── chameleon_cdtv_remote.vhd │ ├── chameleon_docking_station.vhd │ ├── chameleon_led.vhd │ ├── chameleon_phi_clock_a.vhd │ ├── chameleon_phi_clock_e.vhd │ ├── chameleon_reconfig.vhd │ └── gen_usart.vhd ├── chameleonv1 │ ├── ChamelonV1CPLD.qud │ ├── amiga_clk_altera.ppf │ ├── amiga_clk_altera.qip │ ├── amiga_clk_altera.v │ ├── amiga_clk_altera_bb.v │ ├── chameleon_autofire.vhd │ ├── chameleon_buttons.vhd │ ├── chameleon_io.vhd │ ├── chameleon_toplevel.vhd │ ├── chameleonv1.qip │ └── gen_reset.vhd ├── chameleonv2 │ ├── amiga_clk_altera.cmp │ ├── amiga_clk_altera.ppf │ ├── amiga_clk_altera.qip │ ├── amiga_clk_altera.vhd │ ├── chameleon2_e.vhd │ ├── chameleon2_io_a.vhd │ ├── chameleon2_io_e.vhd │ ├── chameleon2_io_ps2iec.vhd │ ├── chameleon2_io_shiftreg.vhd │ ├── chameleon2_toplevel.vhd │ ├── chameleonv2.qip │ └── gen_reset.vhd ├── clock │ ├── amiga_clk.v │ ├── amiga_clk_altera.v │ ├── amiga_clk_xilinx.v │ └── gpl.txt ├── de0_nano │ ├── amiga_clk_altera.ppf │ ├── amiga_clk_altera.qip │ └── amiga_clk_altera.v ├── de10-lite │ ├── amiga_clk_altera.ppf │ ├── amiga_clk_altera.qip │ ├── amiga_clk_altera.v │ └── amiga_clk_altera_bb.v ├── drivesounds │ └── drivesounds.vhd ├── fifo │ └── sync_fifo.v ├── host │ ├── 832_bridge.vhd │ ├── cfide.vhd │ ├── host.qip │ └── hostcache.v ├── io │ ├── i_sync.v │ ├── indicators.v │ └── sseg_decode.v ├── jtag │ ├── amiga_registers.tcl │ ├── chipset_log.tcl │ ├── chipset_log.v │ ├── chipset_log_blitter.tcl │ ├── edge_capture.tcl │ ├── edge_capture.v │ ├── jtagbridge.tcl │ ├── midi.tcl │ ├── profile_cpu.v │ ├── rs232_jtag.v │ ├── serial.tcl │ └── simple_uart.vhd ├── lcd │ └── lcd.v ├── minimig │ ├── agnus.v │ ├── agnus_audiodma.v │ ├── agnus_beamcounter.v │ ├── agnus_bitplanedma.v │ ├── agnus_blitter.v │ ├── agnus_blitter_adrgen.v │ ├── agnus_blitter_barrelshifter.v │ ├── agnus_blitter_fill.v │ ├── agnus_blitter_minterm.v │ ├── agnus_copper.v │ ├── agnus_diskdma.v │ ├── agnus_refresh.v │ ├── agnus_spritedma.v │ ├── akiko.v │ ├── amber.v │ ├── amiga_keyboard.v │ ├── cart.v │ ├── cdda_fifo.v │ ├── cia_int.v │ ├── cia_timera.v │ ├── cia_timerb.v │ ├── cia_timerd.v │ ├── ciaa.v │ ├── ciaa_ps2keyboard.v │ ├── ciaa_ps2keyboard_map.v │ ├── ciab.v │ ├── debug.v │ ├── denise.v │ ├── denise_bitplane_shifter.v │ ├── denise_bitplanes.v │ ├── denise_collision.v │ ├── denise_colortable.v │ ├── denise_colortable_ram_mf.v │ ├── denise_hamgenerator.v │ ├── denise_hamgenerator_ram_mf.v │ ├── denise_playfields.v │ ├── denise_spritepriority.v │ ├── denise_sprites.v │ ├── denise_sprites_shifter.v │ ├── gary.v │ ├── gayle.v │ ├── ide.v │ ├── ide_fifo.v │ ├── minimig.qip │ ├── minimig.v │ ├── minimig_autoconfig.v │ ├── minimig_autoconfig_rom.v │ ├── minimig_bankmapper.v │ ├── minimig_control_board.v │ ├── minimig_m68k_bridge.v │ ├── minimig_sram_bridge.v │ ├── minimig_syscontrol.v │ ├── paula.v │ ├── paula_audio.v │ ├── paula_audio_channel.v │ ├── paula_audio_mixer.v │ ├── paula_audio_sigmadelta.v │ ├── paula_audio_volume.v │ ├── paula_floppy.v │ ├── paula_floppy_fifo.v │ ├── paula_intcontroller.v │ ├── paula_uart.v │ ├── regs.vh │ ├── rga_decode.v │ ├── userio.v │ ├── userio_osd.v │ ├── userio_osd_spi.v │ └── userio_ps2mouse.v ├── mist │ ├── RGBtoYPbPr.vhd │ ├── amiga_clk_altera.ppf │ ├── amiga_clk_altera.qip │ ├── amiga_clk_altera.v │ ├── amigaclk_ntsc.mif │ ├── amigaclk_pal.mif │ ├── amigaclk_pll_reconfig.qip │ ├── amigaclk_pll_reconfig.v │ ├── amigaclk_reconfig_ntsc.qip │ ├── amigaclk_reconfig_ntsc.v │ ├── amigaclk_reconfig_pal.qip │ ├── amigaclk_reconfig_pal.v │ ├── i2c_master.v │ ├── user_io.v │ ├── vidclkcntrl.qip │ └── vidclkcntrl.v ├── neptuno │ ├── amiga_clk_altera.qip │ └── amiga_clk_altera.v ├── rtg │ ├── VideoStream.vhd │ └── rtg_video.v ├── sdram │ ├── cpu_cache.v │ ├── cpu_cache_new.v │ ├── cpu_cache_small.v │ ├── dpram_256x32.v │ ├── dpram_be_1024x16.v │ ├── dpram_be_1024x32.v │ ├── dpram_be_2048x16.v │ ├── dpram_inf_256x32.v │ ├── dpram_inf_be_1024x16.v │ ├── dpram_inf_be_1024x32.v │ ├── dpram_inf_be_2048x16.v │ ├── dpram_inf_generic.v │ ├── sdram.qip │ ├── sdram_ctrl.v │ ├── tpram_128x32.v │ ├── tpram_be_512x16.v │ ├── tpram_inf_128x32.v │ └── tpram_inf_be_512x16.v ├── sidi128 │ ├── amiga_clk_altera.ppf │ ├── amiga_clk_altera.qip │ └── amiga_clk_altera.v ├── soc │ ├── TG68K.vhd │ ├── minimig_de0_nano_top.vhd │ ├── minimig_de10lite_top.vhd │ ├── minimig_defines.vh │ ├── minimig_mist_top.v │ ├── minimig_neptuno_top.vhd │ ├── minimig_uareloaded_top.vhd │ ├── minimig_virtual_top.v │ └── tg68.qip ├── tg68 │ ├── TG68.vhd │ └── TG68_fast.vhd ├── uareloaded │ ├── amiga_clk_altera.qip │ ├── amiga_clk_altera.v │ └── amiga_clk_altera_bb.v └── virtual │ ├── hybrid_pwm_sd.v │ ├── minimig_virtual_pkg.vhd │ └── video_vga_dither.vhd ├── sim ├── common │ ├── clean │ ├── logger.py │ ├── lst_parse.py │ └── nc_run.py ├── cpu_cache_sdram │ └── nc │ │ └── run │ │ ├── clean │ │ ├── dir.lst │ │ ├── lib.lst │ │ ├── rtl.lst │ │ ├── sim.lst │ │ ├── simvision.sv │ │ ├── temp.sv │ │ └── test.py ├── ctrl │ └── nc │ │ └── run │ │ ├── clean │ │ ├── ctrl.sv │ │ ├── ctrl2.sv │ │ ├── dir.lst │ │ ├── lib.lst │ │ ├── rtl.lst │ │ ├── sim.lst │ │ ├── simvision.sv │ │ ├── test.py │ │ └── uart.sv ├── lcd │ └── nc │ │ └── run │ │ ├── clean │ │ ├── dir.lst │ │ ├── lib.lst │ │ ├── rtl.lst │ │ ├── sim.lst │ │ └── test.py ├── minimig │ └── nc │ │ └── run │ │ ├── clean │ │ ├── dir.lst │ │ ├── lib.lst │ │ ├── rtl.lst │ │ ├── sim.lst │ │ ├── simvision.sv │ │ ├── spi_memory.sv │ │ ├── spi_sdram_test.sv │ │ ├── temp.sv │ │ ├── test.py │ │ └── tg68_fast.sv ├── minimig_cpu │ └── nc │ │ └── run │ │ ├── clean │ │ ├── dir.lst │ │ ├── lib.lst │ │ ├── rtl.lst │ │ ├── sim.lst │ │ └── test.py ├── ps2mouse │ └── nc │ │ └── run │ │ ├── clean │ │ ├── dir.lst │ │ ├── lib.lst │ │ ├── rtl.lst │ │ ├── sim.lst │ │ ├── simvision.sv │ │ └── test.py ├── sdm │ └── nc │ │ └── run │ │ ├── clean │ │ ├── dir.lst │ │ ├── lib.lst │ │ ├── rtl.lst │ │ ├── sdm.sv │ │ ├── sim.lst │ │ └── test.py ├── sram │ └── nc │ │ └── run │ │ ├── clean │ │ ├── dir.lst │ │ ├── lib.lst │ │ ├── rtl.lst │ │ ├── sim.lst │ │ ├── sram.sv │ │ └── test.py ├── tg68 │ └── nc │ │ └── run │ │ ├── clean │ │ ├── dir.lst │ │ ├── lib.lst │ │ ├── rtl.lst │ │ ├── sim.lst │ │ ├── simvision.sv │ │ └── test.py ├── tg68k │ └── nc │ │ └── run │ │ ├── clean │ │ ├── dir.lst │ │ ├── lib.lst │ │ ├── rtl.lst │ │ ├── sim.lst │ │ ├── simvision.sv │ │ └── test.py └── uart │ └── nc │ └── run │ ├── clean │ ├── dir.lst │ ├── lib.lst │ ├── rtl.lst │ ├── sim.lst │ ├── simvision.sv │ ├── test.py │ └── uart.sv ├── sw ├── 68kedit │ ├── 68kEditPatch_5.1.2.80.exe │ ├── 68kedit5.1.exe │ ├── File_id.diz │ └── ReadMe.txt ├── AmigaUtils │ ├── Peek.c │ ├── Poke.c │ ├── SetNMI.s │ └── build.sh ├── bin2mem │ └── bin2mem.py ├── dice_disk │ ├── Readme.txt │ └── mm_tc64.adf ├── dma-seq-test │ ├── dma-seq │ └── dma-seq.c ├── hex2mem │ ├── hex2mem.py │ └── hex2mem_new.py ├── hex2mif │ └── hex2mif.py ├── misc │ ├── Minimig2OnBlue_edit.png │ ├── boot_logo.c │ ├── font.in │ ├── makefont.py │ └── makelogo.py ├── mkdrivesounds │ ├── DiskEject.raw │ ├── DiskInsert.raw │ ├── HDDStep1.raw │ ├── HDDStep2.raw │ ├── HDDStep3.raw │ ├── HDDStep4.raw │ ├── Makefile │ ├── MotorLoop.raw │ ├── MotorStart.raw │ ├── MotorStop.raw │ ├── Step1.raw │ ├── Step2.raw │ ├── Step3.raw │ ├── Step4.raw │ ├── drivesnd.bin │ └── mkdrivesounds.c ├── sdm │ ├── build.sh │ └── sdm.c └── vasm │ ├── vasm.pdf │ └── vasmm68k_mot └── tcl └── build_id.tcl /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/.gitignore -------------------------------------------------------------------------------- /.gitmodules: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/.gitmodules -------------------------------------------------------------------------------- /Changelog: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/Changelog -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/LICENSE -------------------------------------------------------------------------------- /Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/Makefile -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/README.md -------------------------------------------------------------------------------- /TODO: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/TODO -------------------------------------------------------------------------------- /amiga_sw/MemoryCheck.adf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/MemoryCheck.adf -------------------------------------------------------------------------------- /amiga_sw/MinimigControl.adf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/MinimigControl.adf -------------------------------------------------------------------------------- /amiga_sw/MinimigUtils.adf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/MinimigUtils.adf -------------------------------------------------------------------------------- /amiga_sw/WavPlay/Build68k: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/Build68k -------------------------------------------------------------------------------- /amiga_sw/WavPlay/Build68k.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/Build68k.info -------------------------------------------------------------------------------- /amiga_sw/WavPlay/CompList: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/CompList -------------------------------------------------------------------------------- /amiga_sw/WavPlay/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/README -------------------------------------------------------------------------------- /amiga_sw/WavPlay/README.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/README.info -------------------------------------------------------------------------------- /amiga_sw/WavPlay/Server.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/Server.c -------------------------------------------------------------------------------- /amiga_sw/WavPlay/WavPlay: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/WavPlay -------------------------------------------------------------------------------- /amiga_sw/WavPlay/WavPlay.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/WavPlay.info -------------------------------------------------------------------------------- /amiga_sw/WavPlay/_Server.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/_Server.s -------------------------------------------------------------------------------- /amiga_sw/WavPlay/_Server.s.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/_Server.s.info -------------------------------------------------------------------------------- /amiga_sw/WavPlay/minimigaudio.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/minimigaudio.c -------------------------------------------------------------------------------- /amiga_sw/WavPlay/minimigaudio.c.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/minimigaudio.c.info -------------------------------------------------------------------------------- /amiga_sw/WavPlay/minimigaudio.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/minimigaudio.h -------------------------------------------------------------------------------- /amiga_sw/WavPlay/server.c.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/server.c.info -------------------------------------------------------------------------------- /amiga_sw/WavPlay/wav.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/wav.c -------------------------------------------------------------------------------- /amiga_sw/WavPlay/wav.c.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/wav.c.info -------------------------------------------------------------------------------- /amiga_sw/WavPlay/wav.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/wav.h -------------------------------------------------------------------------------- /amiga_sw/WavPlay/wavplay.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/wavplay.c -------------------------------------------------------------------------------- /amiga_sw/WavPlay/wavplay.c.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WavPlay/wavplay.c.info -------------------------------------------------------------------------------- /amiga_sw/WheelDriver.adf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver.adf -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/Build68k: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/Build68k -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/CompList: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/CompList -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/Cx.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/Cx.c -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/Cx.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/Cx.h -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/Main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/Main.c -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/Server.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/Server.c -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/WheelDriver: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/WheelDriver -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/WheelDriver.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/WheelDriver.c -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/WheelDriver.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/WheelDriver.h -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/WheelDriver.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/WheelDriver.info -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/_Server.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/_Server.s -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/complistsrc/CompList.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/complistsrc/CompList.c -------------------------------------------------------------------------------- /amiga_sw/WheelDriver/newmouse.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/WheelDriver/newmouse.h -------------------------------------------------------------------------------- /amiga_sw/boingball/BoingBall.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/boingball/BoingBall.s -------------------------------------------------------------------------------- /amiga_sw/boingball/boingball_data.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/boingball/boingball_data.s -------------------------------------------------------------------------------- /amiga_sw/boingball/minimig.art: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/boingball/minimig.art -------------------------------------------------------------------------------- /amiga_sw/boingball/minimig.bal: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/boingball/minimig.bal -------------------------------------------------------------------------------- /amiga_sw/boingball/minimig.cop: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/boingball/minimig.cop -------------------------------------------------------------------------------- /amiga_sw/rtg/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/rtg/Makefile -------------------------------------------------------------------------------- /amiga_sw/rtg/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/rtg/README -------------------------------------------------------------------------------- /amiga_sw/rtg/README.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/rtg/README.info -------------------------------------------------------------------------------- /amiga_sw/rtg/minimig.card: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/rtg/minimig.card -------------------------------------------------------------------------------- /amiga_sw/rtg/minimig.card.asm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/amiga_sw/rtg/minimig.card.asm -------------------------------------------------------------------------------- /bench/agnus_bitplanes/agnus_bitplanes_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/agnus_bitplanes/agnus_bitplanes_tb.v -------------------------------------------------------------------------------- /bench/chipset_verilator/AudioMix.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/chipset_verilator/AudioMix.v -------------------------------------------------------------------------------- /bench/chipset_verilator/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/chipset_verilator/Makefile -------------------------------------------------------------------------------- /bench/chipset_verilator/Makefile_obj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/chipset_verilator/Makefile_obj -------------------------------------------------------------------------------- /bench/chipset_verilator/amiga_clk.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/chipset_verilator/amiga_clk.v -------------------------------------------------------------------------------- /bench/chipset_verilator/audiofilter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/chipset_verilator/audiofilter.v -------------------------------------------------------------------------------- /bench/chipset_verilator/chipset_tb.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/chipset_verilator/chipset_tb.cpp -------------------------------------------------------------------------------- /bench/chipset_verilator/chipset_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/chipset_verilator/chipset_tb.v -------------------------------------------------------------------------------- /bench/chipset_verilator/denise_colortable_ram_mf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/chipset_verilator/denise_colortable_ram_mf.v -------------------------------------------------------------------------------- /bench/cpu_cache_sdram/cpu_cache_sdram_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/cpu_cache_sdram/cpu_cache_sdram_tb.v -------------------------------------------------------------------------------- /bench/cpu_cache_sdram/fw/test.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/cpu_cache_sdram/fw/test.S -------------------------------------------------------------------------------- /bench/cpu_cache_sdram/fw/test.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/cpu_cache_sdram/fw/test.hex -------------------------------------------------------------------------------- /bench/cpu_cache_sdram/tg68_ram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/cpu_cache_sdram/tg68_ram.v -------------------------------------------------------------------------------- /bench/cpu_cache_sdram_verilator/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/cpu_cache_sdram_verilator/.gitignore -------------------------------------------------------------------------------- /bench/cpu_cache_sdram_verilator/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/cpu_cache_sdram_verilator/Makefile -------------------------------------------------------------------------------- /bench/cpu_cache_sdram_verilator/Makefile_obj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/cpu_cache_sdram_verilator/Makefile_obj -------------------------------------------------------------------------------- /bench/cpu_cache_sdram_verilator/cpu_cache_sdram_tb.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/cpu_cache_sdram_verilator/cpu_cache_sdram_tb.cpp -------------------------------------------------------------------------------- /bench/cpu_cache_sdram_verilator/cpu_cache_sdram_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/cpu_cache_sdram_verilator/cpu_cache_sdram_tb.v -------------------------------------------------------------------------------- /bench/cpu_cache_sdram_verilator/mt48lc16m16a2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/cpu_cache_sdram_verilator/mt48lc16m16a2.v -------------------------------------------------------------------------------- /bench/ctrl/ctrl_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/ctrl/ctrl_tb.v -------------------------------------------------------------------------------- /bench/de1/de1_clk_rst.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/de1/de1_clk_rst.v -------------------------------------------------------------------------------- /bench/interlace/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/interlace/Makefile -------------------------------------------------------------------------------- /bench/interlace/Makefile_obj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/interlace/Makefile_obj -------------------------------------------------------------------------------- /bench/interlace/chipset_tb.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/interlace/chipset_tb.cpp -------------------------------------------------------------------------------- /bench/interlace/chipset_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/interlace/chipset_tb.v -------------------------------------------------------------------------------- /bench/lcd/lcd_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/lcd/lcd_tb.v -------------------------------------------------------------------------------- /bench/minimig/soc_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/minimig/soc_tb.v -------------------------------------------------------------------------------- /bench/minimig_cpu/minimig_cpu_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/minimig_cpu/minimig_cpu_tb.v -------------------------------------------------------------------------------- /bench/ps2mouse/ps2mouse_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/ps2mouse/ps2mouse_ctrl.v -------------------------------------------------------------------------------- /bench/ps2mouse/ps2mouse_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/ps2mouse/ps2mouse_tb.v -------------------------------------------------------------------------------- /bench/sdm/sdm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/sdm/sdm.v -------------------------------------------------------------------------------- /bench/sdm/sdm_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/sdm/sdm_tb.v -------------------------------------------------------------------------------- /bench/sram/qmem_master.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/sram/qmem_master.v -------------------------------------------------------------------------------- /bench/sram/qmem_sram_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/sram/qmem_sram_tb.v -------------------------------------------------------------------------------- /bench/tg68/tg68_fast_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/tg68/tg68_fast_tb.v -------------------------------------------------------------------------------- /bench/tg68k/tg68k_fast_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/tg68k/tg68k_fast_tb.v -------------------------------------------------------------------------------- /bench/uart/uart_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/bench/uart/uart_tb.v -------------------------------------------------------------------------------- /debug: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/debug -------------------------------------------------------------------------------- /debugboot: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/debugboot -------------------------------------------------------------------------------- /doc/MemoryMap.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/MemoryMap.txt -------------------------------------------------------------------------------- /doc/amiga/Amiga System Memory Map.htm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/Amiga System Memory Map.htm -------------------------------------------------------------------------------- /doc/amiga/aga/A1200FuncSpec.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/aga/A1200FuncSpec.txt -------------------------------------------------------------------------------- /doc/amiga/aga/AGA Registers.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/aga/AGA Registers.pdf -------------------------------------------------------------------------------- /doc/amiga/aga/AGA.guide: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/aga/AGA.guide -------------------------------------------------------------------------------- /doc/amiga/aga/Action's guide to AGA fixing software!.mht: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/aga/Action's guide to AGA fixing software!.mht -------------------------------------------------------------------------------- /doc/amiga/aga/Mysterious Ways - How to Code the Amiga - AGA Chipset.mht: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/aga/Mysterious Ways - How to Code the Amiga - AGA Chipset.mht -------------------------------------------------------------------------------- /doc/amiga/aga/RandyAGA.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/aga/RandyAGA.txt -------------------------------------------------------------------------------- /doc/amiga/aga/aga_guide.lha: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/aga/aga_guide.lha -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world.html -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(1).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(1).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(10).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(10).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(11).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(11).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(12).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(12).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(13).php: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(14).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(14).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(15).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(15).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(2).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(2).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(3).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(3).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(4).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(4).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(5).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(5).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(6).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(6).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(7).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(7).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(8).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(8).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(9).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index(9).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/index.php: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/load(1).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/load(1).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/load(2).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/load(2).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/load(3).php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/load(3).php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/load.php: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/load.php -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/poweredby_mediawiki_88x31.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/poweredby_mediawiki_88x31.png -------------------------------------------------------------------------------- /doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/wikimedia-button.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/Aros_Developer_AHIDrivers - Wikibooks, open books for an open world_files/wikimedia-button.png -------------------------------------------------------------------------------- /doc/amiga/ahi/ahisrc.tar.gz: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/ahisrc.tar.gz -------------------------------------------------------------------------------- /doc/amiga/ahi/m68k-amigaos-ahidev.lha: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/m68k-amigaos-ahidev.lha -------------------------------------------------------------------------------- /doc/amiga/ahi/m68k-amigaos-ahiusr.lha: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/ahi/m68k-amigaos-ahiusr.lha -------------------------------------------------------------------------------- /doc/amiga/amiga_docs_links.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/amiga/amiga_docs_links.txt -------------------------------------------------------------------------------- /doc/avnet-spartan3/48LC16M16A2-75.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/48LC16M16A2-75.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/ADV7123.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/ADV7123.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/AT24C256W.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/AT24C256W.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/CY7C1041V33.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/CY7C1041V33.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/DP83846A.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/DP83846A.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/Release Notes.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/Release Notes.txt -------------------------------------------------------------------------------- /doc/avnet-spartan3/TE28F128J3C-150.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/TE28F128J3C-150.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/Xilinx Spartan-3 Evaluation Kit - BOM 021904F.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/Xilinx Spartan-3 Evaluation Kit - BOM 021904F.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/Xilinx Spartan-3 Evaluation Kit - Schematics 021904F.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/Xilinx Spartan-3 Evaluation Kit - Schematics 021904F.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/Xilinx Spartan-3 Evaluation Kit - Terms & Conditions 0….pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/Xilinx Spartan-3 Evaluation Kit - Terms & Conditions 0….pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/Xilinx Spartan-3 Evaluation Kit - User's Guide 022304F.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/Xilinx Spartan-3 Evaluation Kit - User's Guide 022304F.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/communications_memory_module_schematic_112202F.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/communications_memory_module_schematic_112202F.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/communications_memory_module_user_guide_112202.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/communications_memory_module_user_guide_112202.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/flash_exp-sch.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/flash_exp-sch.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/flash_exp-ug.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/flash_exp-ug.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/flash_exp.bom.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/flash_exp.bom.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/sdram_exp-bom.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/sdram_exp-bom.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/sdram_exp-sch.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/sdram_exp-sch.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/sdram_exp-ug.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/sdram_exp-ug.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/sram_exp-bom.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/sram_exp-bom.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/sram_exp-sch.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/sram_exp-sch.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/avbus/sram_exp-ug.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/avbus/sram_exp-ug.pdf -------------------------------------------------------------------------------- /doc/avnet-spartan3/sp3_1500_eval.ucf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/avnet-spartan3/sp3_1500_eval.ucf -------------------------------------------------------------------------------- /doc/fpga/cyc2_cii5v1.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/fpga/cyc2_cii5v1.pdf -------------------------------------------------------------------------------- /doc/fpga/cyclone3_handbook.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/fpga/cyclone3_handbook.pdf -------------------------------------------------------------------------------- /doc/mist/pcb.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/mist/pcb.pdf -------------------------------------------------------------------------------- /doc/mist/schematic.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/mist/schematic.pdf -------------------------------------------------------------------------------- /doc/rtc/Amiga world ... because it is fun and easy! Clock port expander .htm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/rtc/Amiga world ... because it is fun and easy! Clock port expander .htm -------------------------------------------------------------------------------- /doc/rtc/Clock port - Wikipedia, the free encyclopedia.htm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/rtc/Clock port - Wikipedia, the free encyclopedia.htm -------------------------------------------------------------------------------- /doc/rtc/Clock port.htm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/rtc/Clock port.htm -------------------------------------------------------------------------------- /doc/rtc/OKI MSM6242B.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/rtc/OKI MSM6242B.pdf -------------------------------------------------------------------------------- /doc/rtc/a1200clock_1_sm.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/rtc/a1200clock_1_sm.jpg -------------------------------------------------------------------------------- /doc/rtc/ide64clock_port.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/rtc/ide64clock_port.pdf -------------------------------------------------------------------------------- /doc/rtc/subway_sw_manual.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/doc/rtc/subway_sw_manual.pdf -------------------------------------------------------------------------------- /fpga/chameleonv1/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/chameleonv1/Makefile -------------------------------------------------------------------------------- /fpga/chameleonv1/MinimigAGA_TC64V1.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/chameleonv1/MinimigAGA_TC64V1.qpf -------------------------------------------------------------------------------- /fpga/chameleonv1/MinimigAGA_TC64V1.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/chameleonv1/MinimigAGA_TC64V1.qsf -------------------------------------------------------------------------------- /fpga/chameleonv1/constraints.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/chameleonv1/constraints.sdc -------------------------------------------------------------------------------- /fpga/chameleonv2/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/chameleonv2/Makefile -------------------------------------------------------------------------------- /fpga/chameleonv2/MinimigAGA_TC64V2.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/chameleonv2/MinimigAGA_TC64V2.qpf -------------------------------------------------------------------------------- /fpga/chameleonv2/MinimigAGA_TC64V2.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/chameleonv2/MinimigAGA_TC64V2.qsf -------------------------------------------------------------------------------- /fpga/chameleonv2/constraints.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/chameleonv2/constraints.sdc -------------------------------------------------------------------------------- /fpga/de0_nano/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/de0_nano/Makefile -------------------------------------------------------------------------------- /fpga/de0_nano/extension_board.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/de0_nano/extension_board.txt -------------------------------------------------------------------------------- /fpga/de0_nano/gpl.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/de0_nano/gpl.txt -------------------------------------------------------------------------------- /fpga/de0_nano/minimig_de0_nano.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/de0_nano/minimig_de0_nano.qsf -------------------------------------------------------------------------------- /fpga/de0_nano/minimig_de0_nano.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/de0_nano/minimig_de0_nano.sdc -------------------------------------------------------------------------------- /fpga/de10_lite/minimig_de10.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/de10_lite/minimig_de10.qpf -------------------------------------------------------------------------------- /fpga/de10_lite/minimig_de10.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/de10_lite/minimig_de10.qsf -------------------------------------------------------------------------------- /fpga/de10_lite/minimig_de10lite.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/de10_lite/minimig_de10lite.sdc -------------------------------------------------------------------------------- /fpga/mist/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/Makefile -------------------------------------------------------------------------------- /fpga/mist/cart_debug.stp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/cart_debug.stp -------------------------------------------------------------------------------- /fpga/mist/cpu_cache.stp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/cpu_cache.stp -------------------------------------------------------------------------------- /fpga/mist/cpu_debug.stp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/cpu_debug.stp -------------------------------------------------------------------------------- /fpga/mist/cpu_nmi.stp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/cpu_nmi.stp -------------------------------------------------------------------------------- /fpga/mist/cpu_nmi_auto_stripped.stp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/cpu_nmi_auto_stripped.stp -------------------------------------------------------------------------------- /fpga/mist/gpl.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/gpl.txt -------------------------------------------------------------------------------- /fpga/mist/ide.stp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/ide.stp -------------------------------------------------------------------------------- /fpga/mist/minimig_SiDi.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/minimig_SiDi.qpf -------------------------------------------------------------------------------- /fpga/mist/minimig_SiDi.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/minimig_SiDi.qsf -------------------------------------------------------------------------------- /fpga/mist/minimig_mist.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/minimig_mist.qpf -------------------------------------------------------------------------------- /fpga/mist/minimig_mist.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/minimig_mist.qsf -------------------------------------------------------------------------------- /fpga/mist/minimig_mist.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/minimig_mist.sdc -------------------------------------------------------------------------------- /fpga/mist/minimig_mist_assignment_defaults.qdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/minimig_mist_assignment_defaults.qdf -------------------------------------------------------------------------------- /fpga/mist/minimig_mist_sdram2.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/minimig_mist_sdram2.sdc -------------------------------------------------------------------------------- /fpga/mist/scroller.stp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/scroller.stp -------------------------------------------------------------------------------- /fpga/mist/stp1.stp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/mist/stp1.stp -------------------------------------------------------------------------------- /fpga/neptuno/minimig_neptuno.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/neptuno/minimig_neptuno.qpf -------------------------------------------------------------------------------- /fpga/neptuno/minimig_neptuno.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/neptuno/minimig_neptuno.qsf -------------------------------------------------------------------------------- /fpga/neptuno/minimig_neptuno.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/neptuno/minimig_neptuno.sdc -------------------------------------------------------------------------------- /fpga/neptuno/rtl/audio_i2s.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/neptuno/rtl/audio_i2s.vhd -------------------------------------------------------------------------------- /fpga/neptuno/rtl/dac_dsm2v.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/neptuno/rtl/dac_dsm2v.vhd -------------------------------------------------------------------------------- /fpga/neptuno/rtl/joydecoder.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/neptuno/rtl/joydecoder.v -------------------------------------------------------------------------------- /fpga/sidi128/minimig_sidi128.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/sidi128/minimig_sidi128.qpf -------------------------------------------------------------------------------- /fpga/sidi128/minimig_sidi128.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/sidi128/minimig_sidi128.qsf -------------------------------------------------------------------------------- /fpga/uareloaded/minimig_uareloaded.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/uareloaded/minimig_uareloaded.qpf -------------------------------------------------------------------------------- /fpga/uareloaded/minimig_uareloaded.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/uareloaded/minimig_uareloaded.qsf -------------------------------------------------------------------------------- /fpga/uareloaded/minimig_uareloaded.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/uareloaded/minimig_uareloaded.sdc -------------------------------------------------------------------------------- /fpga/uareloaded/rtl/i2s_audio.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/uareloaded/rtl/i2s_audio.vhd -------------------------------------------------------------------------------- /fpga/virtual/minimig_virtual.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/virtual/minimig_virtual.qpf -------------------------------------------------------------------------------- /fpga/virtual/minimig_virtual.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fpga/virtual/minimig_virtual.qsf -------------------------------------------------------------------------------- /fw/amiga_boot/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/amiga_boot/Makefile -------------------------------------------------------------------------------- /fw/amiga_boot/amiga_boot.asm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/amiga_boot/amiga_boot.asm -------------------------------------------------------------------------------- /fw/ctrl_832/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/Makefile -------------------------------------------------------------------------------- /fw/ctrl_832/Makefile.68k: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/Makefile.68k -------------------------------------------------------------------------------- /fw/ctrl_832/akiko.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/akiko.c -------------------------------------------------------------------------------- /fw/ctrl_832/akiko.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/akiko.h -------------------------------------------------------------------------------- /fw/ctrl_832/amiga_rawkey.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/amiga_rawkey.h -------------------------------------------------------------------------------- /fw/ctrl_832/audio.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/audio.c -------------------------------------------------------------------------------- /fw/ctrl_832/audio.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/audio.h -------------------------------------------------------------------------------- /fw/ctrl_832/audiotrack.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/audiotrack.c -------------------------------------------------------------------------------- /fw/ctrl_832/audiotrack.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/audiotrack.h -------------------------------------------------------------------------------- /fw/ctrl_832/bincue.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/bincue.c -------------------------------------------------------------------------------- /fw/ctrl_832/bincue.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/bincue.h -------------------------------------------------------------------------------- /fw/ctrl_832/boot.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/boot.c -------------------------------------------------------------------------------- /fw/ctrl_832/boot.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/boot.h -------------------------------------------------------------------------------- /fw/ctrl_832/bootcustominit.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/bootcustominit.h -------------------------------------------------------------------------------- /fw/ctrl_832/bootcustominit.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/bootcustominit.s -------------------------------------------------------------------------------- /fw/ctrl_832/c64keys.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/c64keys.c -------------------------------------------------------------------------------- /fw/ctrl_832/c64keys.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/c64keys.h -------------------------------------------------------------------------------- /fw/ctrl_832/charrom.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/charrom.h -------------------------------------------------------------------------------- /fw/ctrl_832/config.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/config.c -------------------------------------------------------------------------------- /fw/ctrl_832/config.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/config.h -------------------------------------------------------------------------------- /fw/ctrl_832/drivesounds.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/drivesounds.c -------------------------------------------------------------------------------- /fw/ctrl_832/drivesounds.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/drivesounds.h -------------------------------------------------------------------------------- /fw/ctrl_832/errors.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/errors.c -------------------------------------------------------------------------------- /fw/ctrl_832/errors.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/errors.h -------------------------------------------------------------------------------- /fw/ctrl_832/fat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/fat.c -------------------------------------------------------------------------------- /fw/ctrl_832/fat.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/fat.h -------------------------------------------------------------------------------- /fw/ctrl_832/fdd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/fdd.c -------------------------------------------------------------------------------- /fw/ctrl_832/fdd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/fdd.h -------------------------------------------------------------------------------- /fw/ctrl_832/firmware.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/firmware.h -------------------------------------------------------------------------------- /fw/ctrl_832/fpga.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/fpga.c -------------------------------------------------------------------------------- /fw/ctrl_832/fpga.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/fpga.h -------------------------------------------------------------------------------- /fw/ctrl_832/hardware.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/hardware.c -------------------------------------------------------------------------------- /fw/ctrl_832/hardware.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/hardware.h -------------------------------------------------------------------------------- /fw/ctrl_832/hdd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/hdd.c -------------------------------------------------------------------------------- /fw/ctrl_832/hdd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/hdd.h -------------------------------------------------------------------------------- /fw/ctrl_832/hdd_internal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/hdd_internal.h -------------------------------------------------------------------------------- /fw/ctrl_832/hexdump.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/hexdump.c -------------------------------------------------------------------------------- /fw/ctrl_832/hexdump.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/hexdump.h -------------------------------------------------------------------------------- /fw/ctrl_832/interrupts.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/interrupts.c -------------------------------------------------------------------------------- /fw/ctrl_832/interrupts.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/interrupts.h -------------------------------------------------------------------------------- /fw/ctrl_832/logo.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/logo.h -------------------------------------------------------------------------------- /fw/ctrl_832/main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/main.c -------------------------------------------------------------------------------- /fw/ctrl_832/menu.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/menu.c -------------------------------------------------------------------------------- /fw/ctrl_832/menu.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/menu.h -------------------------------------------------------------------------------- /fw/ctrl_832/minimig_cfg.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/minimig_cfg.h -------------------------------------------------------------------------------- /fw/ctrl_832/mmc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/mmc.c -------------------------------------------------------------------------------- /fw/ctrl_832/mmc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/mmc.h -------------------------------------------------------------------------------- /fw/ctrl_832/osd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/osd.c -------------------------------------------------------------------------------- /fw/ctrl_832/osd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/osd.h -------------------------------------------------------------------------------- /fw/ctrl_832/osd_m68k-elf.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/osd_m68k-elf.ld -------------------------------------------------------------------------------- /fw/ctrl_832/osd_zpu-elf.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/osd_zpu-elf.ld -------------------------------------------------------------------------------- /fw/ctrl_832/printf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/printf.c -------------------------------------------------------------------------------- /fw/ctrl_832/rafile.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/rafile.c -------------------------------------------------------------------------------- /fw/ctrl_832/rafile.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/rafile.h -------------------------------------------------------------------------------- /fw/ctrl_832/rtc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/rtc.c -------------------------------------------------------------------------------- /fw/ctrl_832/rtc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/rtc.h -------------------------------------------------------------------------------- /fw/ctrl_832/small_printf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/small_printf.c -------------------------------------------------------------------------------- /fw/ctrl_832/small_printf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/small_printf.h -------------------------------------------------------------------------------- /fw/ctrl_832/spi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/spi.c -------------------------------------------------------------------------------- /fw/ctrl_832/spi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/spi.h -------------------------------------------------------------------------------- /fw/ctrl_832/startup_m68k-elf.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/startup_m68k-elf.s -------------------------------------------------------------------------------- /fw/ctrl_832/startup_zpu-elf.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/startup_zpu-elf.s -------------------------------------------------------------------------------- /fw/ctrl_832/swap.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/swap.c -------------------------------------------------------------------------------- /fw/ctrl_832/swap.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/swap.h -------------------------------------------------------------------------------- /fw/ctrl_832/uart.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_832/uart.c -------------------------------------------------------------------------------- /fw/ctrl_boot_832/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/Makefile -------------------------------------------------------------------------------- /fw/ctrl_boot_832/OSDBoot_832_ROM.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/OSDBoot_832_ROM.vhd -------------------------------------------------------------------------------- /fw/ctrl_boot_832/boot.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/boot.c -------------------------------------------------------------------------------- /fw/ctrl_boot_832/bootdiag.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/bootdiag.h -------------------------------------------------------------------------------- /fw/ctrl_boot_832/bootdiag.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/bootdiag.s -------------------------------------------------------------------------------- /fw/ctrl_boot_832/checksum.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/checksum.c -------------------------------------------------------------------------------- /fw/ctrl_boot_832/checksum.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/checksum.h -------------------------------------------------------------------------------- /fw/ctrl_boot_832/hexdump.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/hexdump.c -------------------------------------------------------------------------------- /fw/ctrl_boot_832/hexdump.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/hexdump.h -------------------------------------------------------------------------------- /fw/ctrl_boot_832/main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/main.c -------------------------------------------------------------------------------- /fw/ctrl_boot_832/minfat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/minfat.c -------------------------------------------------------------------------------- /fw/ctrl_boot_832/minfat.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/minfat.h -------------------------------------------------------------------------------- /fw/ctrl_boot_832/mkchecksum.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/mkchecksum.c -------------------------------------------------------------------------------- /fw/ctrl_boot_832/rom_epilogue.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/rom_epilogue.vhd -------------------------------------------------------------------------------- /fw/ctrl_boot_832/rom_prologue.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/rom_prologue.vhd -------------------------------------------------------------------------------- /fw/ctrl_boot_832/small_printf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/small_printf.h -------------------------------------------------------------------------------- /fw/ctrl_boot_832/spi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/spi.c -------------------------------------------------------------------------------- /fw/ctrl_boot_832/spi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/spi.h -------------------------------------------------------------------------------- /fw/ctrl_boot_832/start68k.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/start68k.s -------------------------------------------------------------------------------- /fw/ctrl_boot_832/swap.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/swap.c -------------------------------------------------------------------------------- /fw/ctrl_boot_832/swap.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/swap.h -------------------------------------------------------------------------------- /fw/ctrl_boot_832/uart.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/uart.c -------------------------------------------------------------------------------- /fw/ctrl_boot_832/uart.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/ctrl_boot_832/uart.h -------------------------------------------------------------------------------- /fw/dhrystone_832/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/dhrystone_832/Makefile -------------------------------------------------------------------------------- /fw/dhrystone_832/debug: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/dhrystone_832/debug -------------------------------------------------------------------------------- /fw/dhrystone_832/dhry.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/dhrystone_832/dhry.h -------------------------------------------------------------------------------- /fw/dhrystone_832/dhry_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/dhrystone_832/dhry_1.c -------------------------------------------------------------------------------- /fw/dhrystone_832/dhry_2.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/dhrystone_832/dhry_2.c -------------------------------------------------------------------------------- /fw/dhrystone_832/hardware.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/dhrystone_832/hardware.h -------------------------------------------------------------------------------- /fw/dhrystone_832/setstack.asm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/dhrystone_832/setstack.asm -------------------------------------------------------------------------------- /fw/dhrystone_832/timer.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/dhrystone_832/timer.h -------------------------------------------------------------------------------- /fw/dhrystone_832/uart.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/dhrystone_832/uart.c -------------------------------------------------------------------------------- /fw/romgen/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/romgen/Makefile -------------------------------------------------------------------------------- /fw/romgen/rom_epilogue.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/romgen/rom_epilogue.vhd -------------------------------------------------------------------------------- /fw/romgen/rom_prologue.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/romgen/rom_prologue.vhd -------------------------------------------------------------------------------- /fw/romgen/romgen.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/romgen/romgen.c -------------------------------------------------------------------------------- /fw/testbed/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/Makefile -------------------------------------------------------------------------------- /fw/testbed/audiotrack.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/audiotrack.c -------------------------------------------------------------------------------- /fw/testbed/audiotrack.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/audiotrack.h -------------------------------------------------------------------------------- /fw/testbed/bincue.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/bincue.c -------------------------------------------------------------------------------- /fw/testbed/bincue.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/bincue.h -------------------------------------------------------------------------------- /fw/testbed/boot.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/boot.c -------------------------------------------------------------------------------- /fw/testbed/boot.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/boot.h -------------------------------------------------------------------------------- /fw/testbed/errors.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/errors.c -------------------------------------------------------------------------------- /fw/testbed/errors.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/errors.h -------------------------------------------------------------------------------- /fw/testbed/fat.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/fat.c -------------------------------------------------------------------------------- /fw/testbed/fat.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/fat.h -------------------------------------------------------------------------------- /fw/testbed/hardware.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/hardware.c -------------------------------------------------------------------------------- /fw/testbed/hardware.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/hardware.h -------------------------------------------------------------------------------- /fw/testbed/interrupts.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/interrupts.c -------------------------------------------------------------------------------- /fw/testbed/interrupts.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/interrupts.h -------------------------------------------------------------------------------- /fw/testbed/main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/main.c -------------------------------------------------------------------------------- /fw/testbed/malloc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/malloc.c -------------------------------------------------------------------------------- /fw/testbed/malloc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/malloc.h -------------------------------------------------------------------------------- /fw/testbed/mmc.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/mmc.c -------------------------------------------------------------------------------- /fw/testbed/mmc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/mmc.h -------------------------------------------------------------------------------- /fw/testbed/rafile.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/rafile.c -------------------------------------------------------------------------------- /fw/testbed/rafile.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/rafile.h -------------------------------------------------------------------------------- /fw/testbed/setstack.asm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/setstack.asm -------------------------------------------------------------------------------- /fw/testbed/spi.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/spi.c -------------------------------------------------------------------------------- /fw/testbed/spi.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/spi.h -------------------------------------------------------------------------------- /fw/testbed/swap.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/swap.c -------------------------------------------------------------------------------- /fw/testbed/swap.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/swap.h -------------------------------------------------------------------------------- /fw/testbed/timer.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/timer.h -------------------------------------------------------------------------------- /fw/testbed/uart.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/fw/testbed/uart.c -------------------------------------------------------------------------------- /lib/altera/220model.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/220model.v -------------------------------------------------------------------------------- /lib/altera/MULT18x18.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/MULT18x18.v -------------------------------------------------------------------------------- /lib/altera/altera_lnsim.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/altera_lnsim.sv -------------------------------------------------------------------------------- /lib/altera/altera_mf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/altera_mf.v -------------------------------------------------------------------------------- /lib/altera/altera_mf_macros.i: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/altera_mf_macros.i -------------------------------------------------------------------------------- /lib/altera/altera_primitives.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/altera_primitives.v -------------------------------------------------------------------------------- /lib/altera/altera_primitives_quasar.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/altera_primitives_quasar.v -------------------------------------------------------------------------------- /lib/altera/altmult_add.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/altmult_add.v -------------------------------------------------------------------------------- /lib/altera/cyclone_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cyclone_atoms.v -------------------------------------------------------------------------------- /lib/altera/cycloneii_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cycloneii_atoms.v -------------------------------------------------------------------------------- /lib/altera/cycloneiii_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cycloneiii_atoms.v -------------------------------------------------------------------------------- /lib/altera/cycloneiiils_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cycloneiiils_atoms.v -------------------------------------------------------------------------------- /lib/altera/cycloneiv_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cycloneiv_atoms.v -------------------------------------------------------------------------------- /lib/altera/cycloneiv_hssi_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cycloneiv_hssi_atoms.v -------------------------------------------------------------------------------- /lib/altera/cycloneiv_pcie_hip_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cycloneiv_pcie_hip_atoms.v -------------------------------------------------------------------------------- /lib/altera/cycloneive_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cycloneive_atoms.v -------------------------------------------------------------------------------- /lib/altera/cyclonev_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cyclonev_atoms.v -------------------------------------------------------------------------------- /lib/altera/cyclonev_hssi_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cyclonev_hssi_atoms.v -------------------------------------------------------------------------------- /lib/altera/cyclonev_pcie_hip_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/cyclonev_pcie_hip_atoms.v -------------------------------------------------------------------------------- /lib/altera/lpm_mult.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/lpm_mult.v -------------------------------------------------------------------------------- /lib/altera/max_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/max_atoms.v -------------------------------------------------------------------------------- /lib/altera/maxii_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/maxii_atoms.v -------------------------------------------------------------------------------- /lib/altera/maxv_atoms.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/maxv_atoms.v -------------------------------------------------------------------------------- /lib/altera/sgate.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/altera/sgate.v -------------------------------------------------------------------------------- /lib/io/generic_input.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/io/generic_input.v -------------------------------------------------------------------------------- /lib/io/generic_output.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/io/generic_output.v -------------------------------------------------------------------------------- /lib/io/io.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/io/io.v -------------------------------------------------------------------------------- /lib/models/IS61LV6416L.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/models/IS61LV6416L.v -------------------------------------------------------------------------------- /lib/models/mt48lc16m16a2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/models/mt48lc16m16a2.v -------------------------------------------------------------------------------- /lib/models/mt48lc4m16.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/models/mt48lc4m16.v -------------------------------------------------------------------------------- /lib/models/ps2mouse.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/models/ps2mouse.v -------------------------------------------------------------------------------- /lib/models/s29al032d_00.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/models/s29al032d_00.v -------------------------------------------------------------------------------- /lib/models/sd_card.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/models/sd_card.v -------------------------------------------------------------------------------- /lib/vga/vga_monitor.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/lib/vga/vga_monitor.v -------------------------------------------------------------------------------- /misc/51-usbblaster.rules: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/misc/51-usbblaster.rules -------------------------------------------------------------------------------- /paths.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/paths.mk -------------------------------------------------------------------------------- /pcb/chaos-de1_ext2.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/pcb/chaos-de1_ext2.zip -------------------------------------------------------------------------------- /rtl/akiko/akiko.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/akiko/akiko.vhd -------------------------------------------------------------------------------- /rtl/akiko/cornerturn.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/akiko/cornerturn.vhd -------------------------------------------------------------------------------- /rtl/audio/AudioMix.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/audio/AudioMix.vhd -------------------------------------------------------------------------------- /rtl/audio/I2C_AV_Config.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/audio/I2C_AV_Config.v -------------------------------------------------------------------------------- /rtl/audio/I2C_Controller.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/audio/I2C_Controller.v -------------------------------------------------------------------------------- /rtl/audio/audio_shifter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/audio/audio_shifter.v -------------------------------------------------------------------------------- /rtl/audio/audio_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/audio/audio_top.v -------------------------------------------------------------------------------- /rtl/audio/audiofilter.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/audio/audiofilter.vhd -------------------------------------------------------------------------------- /rtl/audio/i2s.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/audio/i2s.v -------------------------------------------------------------------------------- /rtl/audio/spdif.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/audio/spdif.v -------------------------------------------------------------------------------- /rtl/chameleon/chameleon.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon.qip -------------------------------------------------------------------------------- /rtl/chameleon/chameleon_1khz.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon_1khz.vhd -------------------------------------------------------------------------------- /rtl/chameleon/chameleon_1mhz.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon_1mhz.vhd -------------------------------------------------------------------------------- /rtl/chameleon/chameleon_autofire.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon_autofire.vhd -------------------------------------------------------------------------------- /rtl/chameleon/chameleon_c64_joykeyb.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon_c64_joykeyb.vhd -------------------------------------------------------------------------------- /rtl/chameleon/chameleon_cdtv_remote.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon_cdtv_remote.vhd -------------------------------------------------------------------------------- /rtl/chameleon/chameleon_docking_station.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon_docking_station.vhd -------------------------------------------------------------------------------- /rtl/chameleon/chameleon_led.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon_led.vhd -------------------------------------------------------------------------------- /rtl/chameleon/chameleon_phi_clock_a.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon_phi_clock_a.vhd -------------------------------------------------------------------------------- /rtl/chameleon/chameleon_phi_clock_e.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon_phi_clock_e.vhd -------------------------------------------------------------------------------- /rtl/chameleon/chameleon_reconfig.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/chameleon_reconfig.vhd -------------------------------------------------------------------------------- /rtl/chameleon/gen_usart.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleon/gen_usart.vhd -------------------------------------------------------------------------------- /rtl/chameleonv1/ChamelonV1CPLD.qud: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/ChamelonV1CPLD.qud -------------------------------------------------------------------------------- /rtl/chameleonv1/amiga_clk_altera.ppf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/amiga_clk_altera.ppf -------------------------------------------------------------------------------- /rtl/chameleonv1/amiga_clk_altera.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/amiga_clk_altera.qip -------------------------------------------------------------------------------- /rtl/chameleonv1/amiga_clk_altera.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/amiga_clk_altera.v -------------------------------------------------------------------------------- /rtl/chameleonv1/amiga_clk_altera_bb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/amiga_clk_altera_bb.v -------------------------------------------------------------------------------- /rtl/chameleonv1/chameleon_autofire.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/chameleon_autofire.vhd -------------------------------------------------------------------------------- /rtl/chameleonv1/chameleon_buttons.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/chameleon_buttons.vhd -------------------------------------------------------------------------------- /rtl/chameleonv1/chameleon_io.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/chameleon_io.vhd -------------------------------------------------------------------------------- /rtl/chameleonv1/chameleon_toplevel.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/chameleon_toplevel.vhd -------------------------------------------------------------------------------- /rtl/chameleonv1/chameleonv1.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/chameleonv1.qip -------------------------------------------------------------------------------- /rtl/chameleonv1/gen_reset.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv1/gen_reset.vhd -------------------------------------------------------------------------------- /rtl/chameleonv2/amiga_clk_altera.cmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/amiga_clk_altera.cmp -------------------------------------------------------------------------------- /rtl/chameleonv2/amiga_clk_altera.ppf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/amiga_clk_altera.ppf -------------------------------------------------------------------------------- /rtl/chameleonv2/amiga_clk_altera.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/amiga_clk_altera.qip -------------------------------------------------------------------------------- /rtl/chameleonv2/amiga_clk_altera.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/amiga_clk_altera.vhd -------------------------------------------------------------------------------- /rtl/chameleonv2/chameleon2_e.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/chameleon2_e.vhd -------------------------------------------------------------------------------- /rtl/chameleonv2/chameleon2_io_a.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/chameleon2_io_a.vhd -------------------------------------------------------------------------------- /rtl/chameleonv2/chameleon2_io_e.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/chameleon2_io_e.vhd -------------------------------------------------------------------------------- /rtl/chameleonv2/chameleon2_io_ps2iec.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/chameleon2_io_ps2iec.vhd -------------------------------------------------------------------------------- /rtl/chameleonv2/chameleon2_io_shiftreg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/chameleon2_io_shiftreg.vhd -------------------------------------------------------------------------------- /rtl/chameleonv2/chameleon2_toplevel.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/chameleon2_toplevel.vhd -------------------------------------------------------------------------------- /rtl/chameleonv2/chameleonv2.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/chameleonv2.qip -------------------------------------------------------------------------------- /rtl/chameleonv2/gen_reset.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/chameleonv2/gen_reset.vhd -------------------------------------------------------------------------------- /rtl/clock/amiga_clk.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/clock/amiga_clk.v -------------------------------------------------------------------------------- /rtl/clock/amiga_clk_altera.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/clock/amiga_clk_altera.v -------------------------------------------------------------------------------- /rtl/clock/amiga_clk_xilinx.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/clock/amiga_clk_xilinx.v -------------------------------------------------------------------------------- /rtl/clock/gpl.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/clock/gpl.txt -------------------------------------------------------------------------------- /rtl/de0_nano/amiga_clk_altera.ppf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/de0_nano/amiga_clk_altera.ppf -------------------------------------------------------------------------------- /rtl/de0_nano/amiga_clk_altera.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/de0_nano/amiga_clk_altera.qip -------------------------------------------------------------------------------- /rtl/de0_nano/amiga_clk_altera.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/de0_nano/amiga_clk_altera.v -------------------------------------------------------------------------------- /rtl/de10-lite/amiga_clk_altera.ppf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/de10-lite/amiga_clk_altera.ppf -------------------------------------------------------------------------------- /rtl/de10-lite/amiga_clk_altera.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/de10-lite/amiga_clk_altera.qip -------------------------------------------------------------------------------- /rtl/de10-lite/amiga_clk_altera.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/de10-lite/amiga_clk_altera.v -------------------------------------------------------------------------------- /rtl/de10-lite/amiga_clk_altera_bb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/de10-lite/amiga_clk_altera_bb.v -------------------------------------------------------------------------------- /rtl/drivesounds/drivesounds.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/drivesounds/drivesounds.vhd -------------------------------------------------------------------------------- /rtl/fifo/sync_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/fifo/sync_fifo.v -------------------------------------------------------------------------------- /rtl/host/832_bridge.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/host/832_bridge.vhd -------------------------------------------------------------------------------- /rtl/host/cfide.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/host/cfide.vhd -------------------------------------------------------------------------------- /rtl/host/host.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/host/host.qip -------------------------------------------------------------------------------- /rtl/host/hostcache.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/host/hostcache.v -------------------------------------------------------------------------------- /rtl/io/i_sync.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/io/i_sync.v -------------------------------------------------------------------------------- /rtl/io/indicators.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/io/indicators.v -------------------------------------------------------------------------------- /rtl/io/sseg_decode.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/io/sseg_decode.v -------------------------------------------------------------------------------- /rtl/jtag/amiga_registers.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/amiga_registers.tcl -------------------------------------------------------------------------------- /rtl/jtag/chipset_log.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/chipset_log.tcl -------------------------------------------------------------------------------- /rtl/jtag/chipset_log.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/chipset_log.v -------------------------------------------------------------------------------- /rtl/jtag/chipset_log_blitter.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/chipset_log_blitter.tcl -------------------------------------------------------------------------------- /rtl/jtag/edge_capture.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/edge_capture.tcl -------------------------------------------------------------------------------- /rtl/jtag/edge_capture.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/edge_capture.v -------------------------------------------------------------------------------- /rtl/jtag/jtagbridge.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/jtagbridge.tcl -------------------------------------------------------------------------------- /rtl/jtag/midi.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/midi.tcl -------------------------------------------------------------------------------- /rtl/jtag/profile_cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/profile_cpu.v -------------------------------------------------------------------------------- /rtl/jtag/rs232_jtag.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/rs232_jtag.v -------------------------------------------------------------------------------- /rtl/jtag/serial.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/serial.tcl -------------------------------------------------------------------------------- /rtl/jtag/simple_uart.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/jtag/simple_uart.vhd -------------------------------------------------------------------------------- /rtl/lcd/lcd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/lcd/lcd.v -------------------------------------------------------------------------------- /rtl/minimig/agnus.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_audiodma.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_audiodma.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_beamcounter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_beamcounter.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_bitplanedma.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_bitplanedma.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_blitter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_blitter.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_blitter_adrgen.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_blitter_adrgen.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_blitter_barrelshifter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_blitter_barrelshifter.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_blitter_fill.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_blitter_fill.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_blitter_minterm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_blitter_minterm.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_copper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_copper.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_diskdma.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_diskdma.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_refresh.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_refresh.v -------------------------------------------------------------------------------- /rtl/minimig/agnus_spritedma.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/agnus_spritedma.v -------------------------------------------------------------------------------- /rtl/minimig/akiko.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/akiko.v -------------------------------------------------------------------------------- /rtl/minimig/amber.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/amber.v -------------------------------------------------------------------------------- /rtl/minimig/amiga_keyboard.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/amiga_keyboard.v -------------------------------------------------------------------------------- /rtl/minimig/cart.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/cart.v -------------------------------------------------------------------------------- /rtl/minimig/cdda_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/cdda_fifo.v -------------------------------------------------------------------------------- /rtl/minimig/cia_int.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/cia_int.v -------------------------------------------------------------------------------- /rtl/minimig/cia_timera.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/cia_timera.v -------------------------------------------------------------------------------- /rtl/minimig/cia_timerb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/cia_timerb.v -------------------------------------------------------------------------------- /rtl/minimig/cia_timerd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/cia_timerd.v -------------------------------------------------------------------------------- /rtl/minimig/ciaa.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/ciaa.v -------------------------------------------------------------------------------- /rtl/minimig/ciaa_ps2keyboard.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/ciaa_ps2keyboard.v -------------------------------------------------------------------------------- /rtl/minimig/ciaa_ps2keyboard_map.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/ciaa_ps2keyboard_map.v -------------------------------------------------------------------------------- /rtl/minimig/ciab.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/ciab.v -------------------------------------------------------------------------------- /rtl/minimig/debug.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/debug.v -------------------------------------------------------------------------------- /rtl/minimig/denise.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise.v -------------------------------------------------------------------------------- /rtl/minimig/denise_bitplane_shifter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_bitplane_shifter.v -------------------------------------------------------------------------------- /rtl/minimig/denise_bitplanes.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_bitplanes.v -------------------------------------------------------------------------------- /rtl/minimig/denise_collision.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_collision.v -------------------------------------------------------------------------------- /rtl/minimig/denise_colortable.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_colortable.v -------------------------------------------------------------------------------- /rtl/minimig/denise_colortable_ram_mf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_colortable_ram_mf.v -------------------------------------------------------------------------------- /rtl/minimig/denise_hamgenerator.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_hamgenerator.v -------------------------------------------------------------------------------- /rtl/minimig/denise_hamgenerator_ram_mf.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_hamgenerator_ram_mf.v -------------------------------------------------------------------------------- /rtl/minimig/denise_playfields.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_playfields.v -------------------------------------------------------------------------------- /rtl/minimig/denise_spritepriority.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_spritepriority.v -------------------------------------------------------------------------------- /rtl/minimig/denise_sprites.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_sprites.v -------------------------------------------------------------------------------- /rtl/minimig/denise_sprites_shifter.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/denise_sprites_shifter.v -------------------------------------------------------------------------------- /rtl/minimig/gary.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/gary.v -------------------------------------------------------------------------------- /rtl/minimig/gayle.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/gayle.v -------------------------------------------------------------------------------- /rtl/minimig/ide.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/ide.v -------------------------------------------------------------------------------- /rtl/minimig/ide_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/ide_fifo.v -------------------------------------------------------------------------------- /rtl/minimig/minimig.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/minimig.qip -------------------------------------------------------------------------------- /rtl/minimig/minimig.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/minimig.v -------------------------------------------------------------------------------- /rtl/minimig/minimig_autoconfig.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/minimig_autoconfig.v -------------------------------------------------------------------------------- /rtl/minimig/minimig_autoconfig_rom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/minimig_autoconfig_rom.v -------------------------------------------------------------------------------- /rtl/minimig/minimig_bankmapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/minimig_bankmapper.v -------------------------------------------------------------------------------- /rtl/minimig/minimig_control_board.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/minimig_control_board.v -------------------------------------------------------------------------------- /rtl/minimig/minimig_m68k_bridge.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/minimig_m68k_bridge.v -------------------------------------------------------------------------------- /rtl/minimig/minimig_sram_bridge.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/minimig_sram_bridge.v -------------------------------------------------------------------------------- /rtl/minimig/minimig_syscontrol.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/minimig_syscontrol.v -------------------------------------------------------------------------------- /rtl/minimig/paula.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/paula.v -------------------------------------------------------------------------------- /rtl/minimig/paula_audio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/paula_audio.v -------------------------------------------------------------------------------- /rtl/minimig/paula_audio_channel.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/paula_audio_channel.v -------------------------------------------------------------------------------- /rtl/minimig/paula_audio_mixer.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/paula_audio_mixer.v -------------------------------------------------------------------------------- /rtl/minimig/paula_audio_sigmadelta.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/paula_audio_sigmadelta.v -------------------------------------------------------------------------------- /rtl/minimig/paula_audio_volume.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/paula_audio_volume.v -------------------------------------------------------------------------------- /rtl/minimig/paula_floppy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/paula_floppy.v -------------------------------------------------------------------------------- /rtl/minimig/paula_floppy_fifo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/paula_floppy_fifo.v -------------------------------------------------------------------------------- /rtl/minimig/paula_intcontroller.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/paula_intcontroller.v -------------------------------------------------------------------------------- /rtl/minimig/paula_uart.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/paula_uart.v -------------------------------------------------------------------------------- /rtl/minimig/regs.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/regs.vh -------------------------------------------------------------------------------- /rtl/minimig/rga_decode.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/rga_decode.v -------------------------------------------------------------------------------- /rtl/minimig/userio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/userio.v -------------------------------------------------------------------------------- /rtl/minimig/userio_osd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/userio_osd.v -------------------------------------------------------------------------------- /rtl/minimig/userio_osd_spi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/userio_osd_spi.v -------------------------------------------------------------------------------- /rtl/minimig/userio_ps2mouse.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/minimig/userio_ps2mouse.v -------------------------------------------------------------------------------- /rtl/mist/RGBtoYPbPr.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/RGBtoYPbPr.vhd -------------------------------------------------------------------------------- /rtl/mist/amiga_clk_altera.ppf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amiga_clk_altera.ppf -------------------------------------------------------------------------------- /rtl/mist/amiga_clk_altera.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amiga_clk_altera.qip -------------------------------------------------------------------------------- /rtl/mist/amiga_clk_altera.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amiga_clk_altera.v -------------------------------------------------------------------------------- /rtl/mist/amigaclk_ntsc.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amigaclk_ntsc.mif -------------------------------------------------------------------------------- /rtl/mist/amigaclk_pal.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amigaclk_pal.mif -------------------------------------------------------------------------------- /rtl/mist/amigaclk_pll_reconfig.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amigaclk_pll_reconfig.qip -------------------------------------------------------------------------------- /rtl/mist/amigaclk_pll_reconfig.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amigaclk_pll_reconfig.v -------------------------------------------------------------------------------- /rtl/mist/amigaclk_reconfig_ntsc.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amigaclk_reconfig_ntsc.qip -------------------------------------------------------------------------------- /rtl/mist/amigaclk_reconfig_ntsc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amigaclk_reconfig_ntsc.v -------------------------------------------------------------------------------- /rtl/mist/amigaclk_reconfig_pal.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amigaclk_reconfig_pal.qip -------------------------------------------------------------------------------- /rtl/mist/amigaclk_reconfig_pal.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/amigaclk_reconfig_pal.v -------------------------------------------------------------------------------- /rtl/mist/i2c_master.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/i2c_master.v -------------------------------------------------------------------------------- /rtl/mist/user_io.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/user_io.v -------------------------------------------------------------------------------- /rtl/mist/vidclkcntrl.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/vidclkcntrl.qip -------------------------------------------------------------------------------- /rtl/mist/vidclkcntrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/mist/vidclkcntrl.v -------------------------------------------------------------------------------- /rtl/neptuno/amiga_clk_altera.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/neptuno/amiga_clk_altera.qip -------------------------------------------------------------------------------- /rtl/neptuno/amiga_clk_altera.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/neptuno/amiga_clk_altera.v -------------------------------------------------------------------------------- /rtl/rtg/VideoStream.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/rtg/VideoStream.vhd -------------------------------------------------------------------------------- /rtl/rtg/rtg_video.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/rtg/rtg_video.v -------------------------------------------------------------------------------- /rtl/sdram/cpu_cache.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/cpu_cache.v -------------------------------------------------------------------------------- /rtl/sdram/cpu_cache_new.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/cpu_cache_new.v -------------------------------------------------------------------------------- /rtl/sdram/cpu_cache_small.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/cpu_cache_small.v -------------------------------------------------------------------------------- /rtl/sdram/dpram_256x32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/dpram_256x32.v -------------------------------------------------------------------------------- /rtl/sdram/dpram_be_1024x16.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/dpram_be_1024x16.v -------------------------------------------------------------------------------- /rtl/sdram/dpram_be_1024x32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/dpram_be_1024x32.v -------------------------------------------------------------------------------- /rtl/sdram/dpram_be_2048x16.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/dpram_be_2048x16.v -------------------------------------------------------------------------------- /rtl/sdram/dpram_inf_256x32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/dpram_inf_256x32.v -------------------------------------------------------------------------------- /rtl/sdram/dpram_inf_be_1024x16.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/dpram_inf_be_1024x16.v -------------------------------------------------------------------------------- /rtl/sdram/dpram_inf_be_1024x32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/dpram_inf_be_1024x32.v -------------------------------------------------------------------------------- /rtl/sdram/dpram_inf_be_2048x16.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/dpram_inf_be_2048x16.v -------------------------------------------------------------------------------- /rtl/sdram/dpram_inf_generic.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/dpram_inf_generic.v -------------------------------------------------------------------------------- /rtl/sdram/sdram.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/sdram.qip -------------------------------------------------------------------------------- /rtl/sdram/sdram_ctrl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/sdram_ctrl.v -------------------------------------------------------------------------------- /rtl/sdram/tpram_128x32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/tpram_128x32.v -------------------------------------------------------------------------------- /rtl/sdram/tpram_be_512x16.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/tpram_be_512x16.v -------------------------------------------------------------------------------- /rtl/sdram/tpram_inf_128x32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/tpram_inf_128x32.v -------------------------------------------------------------------------------- /rtl/sdram/tpram_inf_be_512x16.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sdram/tpram_inf_be_512x16.v -------------------------------------------------------------------------------- /rtl/sidi128/amiga_clk_altera.ppf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sidi128/amiga_clk_altera.ppf -------------------------------------------------------------------------------- /rtl/sidi128/amiga_clk_altera.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sidi128/amiga_clk_altera.qip -------------------------------------------------------------------------------- /rtl/sidi128/amiga_clk_altera.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/sidi128/amiga_clk_altera.v -------------------------------------------------------------------------------- /rtl/soc/TG68K.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/soc/TG68K.vhd -------------------------------------------------------------------------------- /rtl/soc/minimig_de0_nano_top.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/soc/minimig_de0_nano_top.vhd -------------------------------------------------------------------------------- /rtl/soc/minimig_de10lite_top.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/soc/minimig_de10lite_top.vhd -------------------------------------------------------------------------------- /rtl/soc/minimig_defines.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/soc/minimig_defines.vh -------------------------------------------------------------------------------- /rtl/soc/minimig_mist_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/soc/minimig_mist_top.v -------------------------------------------------------------------------------- /rtl/soc/minimig_neptuno_top.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/soc/minimig_neptuno_top.vhd -------------------------------------------------------------------------------- /rtl/soc/minimig_uareloaded_top.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/soc/minimig_uareloaded_top.vhd -------------------------------------------------------------------------------- /rtl/soc/minimig_virtual_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/soc/minimig_virtual_top.v -------------------------------------------------------------------------------- /rtl/soc/tg68.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/soc/tg68.qip -------------------------------------------------------------------------------- /rtl/tg68/TG68.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/tg68/TG68.vhd -------------------------------------------------------------------------------- /rtl/tg68/TG68_fast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/tg68/TG68_fast.vhd -------------------------------------------------------------------------------- /rtl/uareloaded/amiga_clk_altera.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/uareloaded/amiga_clk_altera.qip -------------------------------------------------------------------------------- /rtl/uareloaded/amiga_clk_altera.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/uareloaded/amiga_clk_altera.v -------------------------------------------------------------------------------- /rtl/uareloaded/amiga_clk_altera_bb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/uareloaded/amiga_clk_altera_bb.v -------------------------------------------------------------------------------- /rtl/virtual/hybrid_pwm_sd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/virtual/hybrid_pwm_sd.v -------------------------------------------------------------------------------- /rtl/virtual/minimig_virtual_pkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/virtual/minimig_virtual_pkg.vhd -------------------------------------------------------------------------------- /rtl/virtual/video_vga_dither.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/rtl/virtual/video_vga_dither.vhd -------------------------------------------------------------------------------- /sim/common/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/common/logger.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/common/logger.py -------------------------------------------------------------------------------- /sim/common/lst_parse.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/common/lst_parse.py -------------------------------------------------------------------------------- /sim/common/nc_run.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/common/nc_run.py -------------------------------------------------------------------------------- /sim/cpu_cache_sdram/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/cpu_cache_sdram/nc/run/dir.lst: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /sim/cpu_cache_sdram/nc/run/lib.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/cpu_cache_sdram/nc/run/lib.lst -------------------------------------------------------------------------------- /sim/cpu_cache_sdram/nc/run/rtl.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/cpu_cache_sdram/nc/run/rtl.lst -------------------------------------------------------------------------------- /sim/cpu_cache_sdram/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/cpu_cache_sdram/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/cpu_cache_sdram/nc/run/simvision.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/cpu_cache_sdram/nc/run/simvision.sv -------------------------------------------------------------------------------- /sim/cpu_cache_sdram/nc/run/temp.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/cpu_cache_sdram/nc/run/temp.sv -------------------------------------------------------------------------------- /sim/cpu_cache_sdram/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/cpu_cache_sdram/nc/run/test.py -------------------------------------------------------------------------------- /sim/ctrl/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/ctrl/nc/run/ctrl.sv: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /sim/ctrl/nc/run/ctrl2.sv: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /sim/ctrl/nc/run/dir.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ctrl/nc/run/dir.lst -------------------------------------------------------------------------------- /sim/ctrl/nc/run/lib.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ctrl/nc/run/lib.lst -------------------------------------------------------------------------------- /sim/ctrl/nc/run/rtl.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ctrl/nc/run/rtl.lst -------------------------------------------------------------------------------- /sim/ctrl/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ctrl/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/ctrl/nc/run/simvision.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ctrl/nc/run/simvision.sv -------------------------------------------------------------------------------- /sim/ctrl/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ctrl/nc/run/test.py -------------------------------------------------------------------------------- /sim/ctrl/nc/run/uart.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ctrl/nc/run/uart.sv -------------------------------------------------------------------------------- /sim/lcd/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/lcd/nc/run/dir.lst: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /sim/lcd/nc/run/lib.lst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /sim/lcd/nc/run/rtl.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/lcd/nc/run/rtl.lst -------------------------------------------------------------------------------- /sim/lcd/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/lcd/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/lcd/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/lcd/nc/run/test.py -------------------------------------------------------------------------------- /sim/minimig/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/minimig/nc/run/dir.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig/nc/run/dir.lst -------------------------------------------------------------------------------- /sim/minimig/nc/run/lib.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig/nc/run/lib.lst -------------------------------------------------------------------------------- /sim/minimig/nc/run/rtl.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig/nc/run/rtl.lst -------------------------------------------------------------------------------- /sim/minimig/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/minimig/nc/run/simvision.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig/nc/run/simvision.sv -------------------------------------------------------------------------------- /sim/minimig/nc/run/spi_memory.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig/nc/run/spi_memory.sv -------------------------------------------------------------------------------- /sim/minimig/nc/run/spi_sdram_test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig/nc/run/spi_sdram_test.sv -------------------------------------------------------------------------------- /sim/minimig/nc/run/temp.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig/nc/run/temp.sv -------------------------------------------------------------------------------- /sim/minimig/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig/nc/run/test.py -------------------------------------------------------------------------------- /sim/minimig/nc/run/tg68_fast.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig/nc/run/tg68_fast.sv -------------------------------------------------------------------------------- /sim/minimig_cpu/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/minimig_cpu/nc/run/dir.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig_cpu/nc/run/dir.lst -------------------------------------------------------------------------------- /sim/minimig_cpu/nc/run/lib.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig_cpu/nc/run/lib.lst -------------------------------------------------------------------------------- /sim/minimig_cpu/nc/run/rtl.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig_cpu/nc/run/rtl.lst -------------------------------------------------------------------------------- /sim/minimig_cpu/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig_cpu/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/minimig_cpu/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/minimig_cpu/nc/run/test.py -------------------------------------------------------------------------------- /sim/ps2mouse/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/ps2mouse/nc/run/dir.lst: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /sim/ps2mouse/nc/run/lib.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ps2mouse/nc/run/lib.lst -------------------------------------------------------------------------------- /sim/ps2mouse/nc/run/rtl.lst: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /sim/ps2mouse/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ps2mouse/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/ps2mouse/nc/run/simvision.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ps2mouse/nc/run/simvision.sv -------------------------------------------------------------------------------- /sim/ps2mouse/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/ps2mouse/nc/run/test.py -------------------------------------------------------------------------------- /sim/sdm/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/sdm/nc/run/dir.lst: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /sim/sdm/nc/run/lib.lst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /sim/sdm/nc/run/rtl.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/sdm/nc/run/rtl.lst -------------------------------------------------------------------------------- /sim/sdm/nc/run/sdm.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/sdm/nc/run/sdm.sv -------------------------------------------------------------------------------- /sim/sdm/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/sdm/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/sdm/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/sdm/nc/run/test.py -------------------------------------------------------------------------------- /sim/sram/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/sram/nc/run/dir.lst: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /sim/sram/nc/run/lib.lst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /sim/sram/nc/run/rtl.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/sram/nc/run/rtl.lst -------------------------------------------------------------------------------- /sim/sram/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/sram/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/sram/nc/run/sram.sv: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /sim/sram/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/sram/nc/run/test.py -------------------------------------------------------------------------------- /sim/tg68/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/tg68/nc/run/dir.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68/nc/run/dir.lst -------------------------------------------------------------------------------- /sim/tg68/nc/run/lib.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68/nc/run/lib.lst -------------------------------------------------------------------------------- /sim/tg68/nc/run/rtl.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68/nc/run/rtl.lst -------------------------------------------------------------------------------- /sim/tg68/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/tg68/nc/run/simvision.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68/nc/run/simvision.sv -------------------------------------------------------------------------------- /sim/tg68/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68/nc/run/test.py -------------------------------------------------------------------------------- /sim/tg68k/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/tg68k/nc/run/dir.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68k/nc/run/dir.lst -------------------------------------------------------------------------------- /sim/tg68k/nc/run/lib.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68k/nc/run/lib.lst -------------------------------------------------------------------------------- /sim/tg68k/nc/run/rtl.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68k/nc/run/rtl.lst -------------------------------------------------------------------------------- /sim/tg68k/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68k/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/tg68k/nc/run/simvision.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68k/nc/run/simvision.sv -------------------------------------------------------------------------------- /sim/tg68k/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/tg68k/nc/run/test.py -------------------------------------------------------------------------------- /sim/uart/nc/run/clean: -------------------------------------------------------------------------------- 1 | #!/bin/sh 2 | 3 | rm -rf ../out/ 4 | 5 | -------------------------------------------------------------------------------- /sim/uart/nc/run/dir.lst: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /sim/uart/nc/run/lib.lst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /sim/uart/nc/run/rtl.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/uart/nc/run/rtl.lst -------------------------------------------------------------------------------- /sim/uart/nc/run/sim.lst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/uart/nc/run/sim.lst -------------------------------------------------------------------------------- /sim/uart/nc/run/simvision.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/uart/nc/run/simvision.sv -------------------------------------------------------------------------------- /sim/uart/nc/run/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/uart/nc/run/test.py -------------------------------------------------------------------------------- /sim/uart/nc/run/uart.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sim/uart/nc/run/uart.sv -------------------------------------------------------------------------------- /sw/68kedit/68kEditPatch_5.1.2.80.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/68kedit/68kEditPatch_5.1.2.80.exe -------------------------------------------------------------------------------- /sw/68kedit/68kedit5.1.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/68kedit/68kedit5.1.exe -------------------------------------------------------------------------------- /sw/68kedit/File_id.diz: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/68kedit/File_id.diz -------------------------------------------------------------------------------- /sw/68kedit/ReadMe.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/68kedit/ReadMe.txt -------------------------------------------------------------------------------- /sw/AmigaUtils/Peek.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/AmigaUtils/Peek.c -------------------------------------------------------------------------------- /sw/AmigaUtils/Poke.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/AmigaUtils/Poke.c -------------------------------------------------------------------------------- /sw/AmigaUtils/SetNMI.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/AmigaUtils/SetNMI.s -------------------------------------------------------------------------------- /sw/AmigaUtils/build.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/AmigaUtils/build.sh -------------------------------------------------------------------------------- /sw/bin2mem/bin2mem.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/bin2mem/bin2mem.py -------------------------------------------------------------------------------- /sw/dice_disk/Readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/dice_disk/Readme.txt -------------------------------------------------------------------------------- /sw/dice_disk/mm_tc64.adf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/dice_disk/mm_tc64.adf -------------------------------------------------------------------------------- /sw/dma-seq-test/dma-seq: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/dma-seq-test/dma-seq -------------------------------------------------------------------------------- /sw/dma-seq-test/dma-seq.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/dma-seq-test/dma-seq.c -------------------------------------------------------------------------------- /sw/hex2mem/hex2mem.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/hex2mem/hex2mem.py -------------------------------------------------------------------------------- /sw/hex2mem/hex2mem_new.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/hex2mem/hex2mem_new.py -------------------------------------------------------------------------------- /sw/hex2mif/hex2mif.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/hex2mif/hex2mif.py -------------------------------------------------------------------------------- /sw/misc/Minimig2OnBlue_edit.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/misc/Minimig2OnBlue_edit.png -------------------------------------------------------------------------------- /sw/misc/boot_logo.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/misc/boot_logo.c -------------------------------------------------------------------------------- /sw/misc/font.in: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/misc/font.in -------------------------------------------------------------------------------- /sw/misc/makefont.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/misc/makefont.py -------------------------------------------------------------------------------- /sw/misc/makelogo.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/misc/makelogo.py -------------------------------------------------------------------------------- /sw/mkdrivesounds/DiskEject.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/DiskEject.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/DiskInsert.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/DiskInsert.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/HDDStep1.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/HDDStep1.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/HDDStep2.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/HDDStep2.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/HDDStep3.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/HDDStep3.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/HDDStep4.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/HDDStep4.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/Makefile -------------------------------------------------------------------------------- /sw/mkdrivesounds/MotorLoop.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/MotorLoop.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/MotorStart.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/MotorStart.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/MotorStop.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/MotorStop.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/Step1.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/Step1.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/Step2.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/Step2.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/Step3.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/Step3.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/Step4.raw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/Step4.raw -------------------------------------------------------------------------------- /sw/mkdrivesounds/drivesnd.bin: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/drivesnd.bin -------------------------------------------------------------------------------- /sw/mkdrivesounds/mkdrivesounds.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/mkdrivesounds/mkdrivesounds.c -------------------------------------------------------------------------------- /sw/sdm/build.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/sdm/build.sh -------------------------------------------------------------------------------- /sw/sdm/sdm.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/sdm/sdm.c -------------------------------------------------------------------------------- /sw/vasm/vasm.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/vasm/vasm.pdf -------------------------------------------------------------------------------- /sw/vasm/vasmm68k_mot: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/sw/vasm/vasmm68k_mot -------------------------------------------------------------------------------- /tcl/build_id.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/minimig-dev/MinimigAGA-MiST-TC64/HEAD/tcl/build_id.tcl --------------------------------------------------------------------------------