├── .editorconfig ├── .flake8 ├── .git-blame-ignore-revs ├── .github └── workflows │ ├── ci.yml │ └── lint.yml ├── .gitignore ├── .pre-commit-config.yaml ├── .readthedocs.yml ├── LICENSE ├── NEWS ├── README.md ├── dev-requirements.txt ├── doc ├── requirements.txt └── source │ ├── _static │ └── theme_overrides.css │ ├── conf.py │ ├── dev │ ├── devsetup.rst │ └── index.rst │ ├── index.rst │ ├── ref │ ├── glossary.rst │ ├── index.rst │ └── migrations.rst │ └── user │ ├── build_system │ ├── core_files.rst │ ├── dependencies.rst │ ├── eda_flows.rst │ ├── filters.rst │ ├── flags.rst │ ├── flow_options.rst │ ├── generators.rst │ ├── hooks.rst │ ├── index.rst │ ├── mappings.rst │ ├── tool_options.rst │ ├── virtual_cores.rst │ └── vpi.rst │ ├── cli.rst │ ├── index.rst │ ├── installation.rst │ ├── introduction.rst │ ├── knowledgebase.rst │ ├── optional_deps.png │ ├── overview.rst │ └── package_manager │ └── index.rst ├── extras └── bash-completion ├── fusesoc ├── capi2 │ ├── core.py │ ├── coredata.py │ ├── coreparser.py │ ├── exprs.py │ ├── generator.py │ ├── inheritance.py │ └── json_schema.py ├── config.py ├── core.py ├── coremanager.py ├── edalizer.py ├── filters │ ├── autotype.py │ ├── coredepsmk.py │ ├── custom.py │ ├── dot.py │ ├── spdxgen.py │ └── splitlib.py ├── fusesoc.py ├── librarymanager.py ├── lockfile.py ├── main.py ├── parser │ └── coreparser.py ├── provider │ ├── git.py │ ├── github.py │ ├── local.py │ ├── opencores.py │ ├── provider.py │ ├── svn.py │ └── url.py ├── signature.py ├── utils.py └── vlnv.py ├── pyproject.toml ├── tests ├── capi2_cores │ ├── dependencies │ │ ├── top.core │ │ ├── used-1.0.core │ │ └── used-1.1.core │ ├── deptree │ │ ├── child1.core │ │ ├── child2.core │ │ ├── child3.core │ │ ├── child4.core │ │ ├── generated_child_a.core │ │ ├── generated_child_a.py │ │ └── root.core │ ├── files_out_of_hierarchy │ │ ├── bad.sv │ │ └── subdir │ │ │ ├── files_out_of_hierarchy.core │ │ │ └── good.sv │ ├── mapping │ │ ├── a.core │ │ ├── b.core │ │ ├── c.core │ │ ├── d.core │ │ ├── e.core │ │ ├── f.core │ │ ├── map_rec.core │ │ ├── map_vers.core │ │ └── top.core │ ├── misc │ │ ├── append.core │ │ ├── depends.core │ │ ├── dontpickthisfile │ │ ├── dummy.tcl │ │ ├── empty.core │ │ ├── f1 │ │ ├── f2 │ │ ├── f3 │ │ ├── f4 │ │ ├── fileattrs.core │ │ ├── files.core │ │ ├── filters.core │ │ ├── flags.core │ │ ├── flow.core │ │ ├── generate │ │ │ ├── file_cachetest │ │ │ ├── generate.core │ │ │ ├── generators.core │ │ │ └── testgen.py │ │ ├── hooks.core │ │ ├── parameters.core │ │ ├── scriptfile │ │ ├── subdir │ │ │ └── dummy.extra │ │ ├── syntax_error.core │ │ ├── targets.core │ │ ├── toplevel.core │ │ ├── typecheck.core │ │ ├── uncachable.core │ │ ├── vhdlfile │ │ ├── vlogfile │ │ ├── vpi.core │ │ └── vpifile │ ├── override │ │ ├── 1 │ │ │ └── basic.core │ │ └── 2 │ │ │ └── basic.core │ ├── parser │ │ ├── inheritance.core │ │ ├── no_additional_properties.core │ │ └── with_additional_properties.core │ ├── providers │ │ ├── url_simple.core │ │ ├── url_simple_with_user_agent.core │ │ ├── url_tar.core │ │ └── url_zip.core │ └── virtual │ │ ├── impl1.core │ │ ├── impl2.core │ │ ├── top_conflict.core │ │ ├── top_impl1.core │ │ ├── top_impl2.core │ │ ├── top_non_deterministic.core │ │ └── user.core ├── cores │ ├── adv_debug_sys │ │ └── adv_debug_sys.core │ ├── atlys │ │ ├── atlys.core │ │ └── data │ │ │ ├── atlys.ucf │ │ │ └── dummy_backend_tcl_file.tcl │ ├── elf-loader │ │ ├── check_libelf.sh │ │ ├── elf-loader.c │ │ ├── elf-loader.core │ │ └── vpi_wrapper.c │ ├── gpio │ │ └── gpio.core │ ├── jtag_tap │ │ └── jtag_tap-1.13.core │ ├── libstorage │ │ └── libstorage-1.0.core │ ├── misc │ │ ├── c3demo.core │ │ ├── c3demo.pcf │ │ ├── copytocore │ │ │ ├── copytocore.core │ │ │ ├── copytodot │ │ │ ├── dummy.tcl │ │ │ └── subdir │ │ │ │ └── dummy.extra │ │ ├── dummy.tcl │ │ ├── dummy.xci │ │ ├── filetypes.core │ │ ├── ghdltest.core │ │ ├── gitcore.core │ │ ├── no_exe_script.core │ │ ├── nomain.core │ │ ├── opencorescore.core │ │ ├── paramtest.core │ │ ├── scripts │ │ │ ├── no_exe_script │ │ │ ├── post_build_script │ │ │ ├── post_run_script │ │ │ ├── pre_build_script │ │ │ └── pre_run_script │ │ ├── scriptscore.core │ │ ├── subdir │ │ │ └── dummy.extra │ │ └── svn.core │ ├── mor1kx-arty │ │ ├── mor1kx-arty.core │ │ └── mor1kx-arty.system │ ├── mor1kx-generic │ │ ├── mor1kx-generic.core │ │ └── scripts │ │ │ ├── post_run_script │ │ │ ├── pre_build_script │ │ │ └── pre_run_script │ ├── mor1kx │ │ └── mor1kx-3.1.core │ ├── sockit │ │ └── sockit.core │ ├── uart16550 │ │ └── uart16550-1.5.core │ ├── verilator_tb_utils │ │ └── verilator_tb_utils.core │ ├── verilog-arbiter │ │ └── verilog-arbiter-r1.core │ ├── verilog_utils │ │ ├── verilog_utils.core │ │ └── verilog_utils.vh │ ├── vga_lcd │ │ └── vga_lcd.core │ ├── vlog_tb_utils │ │ ├── files │ │ │ └── 0001-testpatch.patch │ │ └── vlog_tb_utils-1.1.core │ ├── wb_common │ │ ├── wb_common.core │ │ ├── wb_common.v │ │ └── wb_common_params.v │ └── wb_intercon │ │ ├── dummy_icarus.v │ │ └── wb_intercon-1.0.core ├── lockfiles │ ├── dependencies-partial-1.0.lock.yml │ ├── dependencies-partial.lock.yml │ ├── dependencies.lock.yml │ ├── duplicates.lock.yml │ └── works.lock.yml ├── signature_files │ ├── keyfiles │ │ ├── user1_ecdsa │ │ ├── user1_ecdsa.pub │ │ ├── user1_ed25519 │ │ ├── user1_ed25519.pub │ │ ├── user1_rsa │ │ ├── user1_rsa.pub │ │ ├── user2_ed25519 │ │ ├── user2_ed25519.pub │ │ ├── user3_ed25519 │ │ └── user3_ed25519.pub │ └── trustfiles │ │ ├── trustfile_1ed_and_2 │ │ ├── trustfile_1rsa+ed_and_3 │ │ └── trustfile_1rsa_and_2 ├── test_capi2.py ├── test_capi2 │ ├── generators.info │ └── targets.info ├── test_common.py ├── test_config.py ├── test_coremanager.py ├── test_edalizer.py ├── test_exprs.py ├── test_ignored_dirs.py ├── test_libraries.py ├── test_lockfile.py ├── test_provider.py ├── test_provider │ ├── file.tar.gz │ ├── file.v │ ├── file.zip │ └── vlog_functions.v ├── test_signatures.py ├── test_usecases.py ├── test_vlnv.py └── userguide │ ├── blinky │ ├── blinky.core │ ├── data │ │ └── nexys_video.xdc │ ├── rtl │ │ ├── blinky.sv │ │ └── macros.svh │ └── tb │ │ └── blinky_tb.sv │ └── dualblinky │ ├── data │ └── nexys_video.xdc │ ├── dualblinky.core │ └── rtl │ └── dualblinky.sv └── tox.ini /.editorconfig: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/.editorconfig -------------------------------------------------------------------------------- /.flake8: -------------------------------------------------------------------------------- 1 | [flake8] 2 | max-line-length = 88 3 | -------------------------------------------------------------------------------- /.git-blame-ignore-revs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/.git-blame-ignore-revs -------------------------------------------------------------------------------- /.github/workflows/ci.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/.github/workflows/ci.yml -------------------------------------------------------------------------------- /.github/workflows/lint.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/.github/workflows/lint.yml -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/.gitignore -------------------------------------------------------------------------------- /.pre-commit-config.yaml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/.pre-commit-config.yaml -------------------------------------------------------------------------------- /.readthedocs.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/.readthedocs.yml -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/LICENSE -------------------------------------------------------------------------------- /NEWS: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/NEWS -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/README.md -------------------------------------------------------------------------------- /dev-requirements.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/dev-requirements.txt -------------------------------------------------------------------------------- /doc/requirements.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/requirements.txt -------------------------------------------------------------------------------- /doc/source/_static/theme_overrides.css: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/_static/theme_overrides.css -------------------------------------------------------------------------------- /doc/source/conf.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/conf.py -------------------------------------------------------------------------------- /doc/source/dev/devsetup.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/dev/devsetup.rst -------------------------------------------------------------------------------- /doc/source/dev/index.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/dev/index.rst -------------------------------------------------------------------------------- /doc/source/index.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/index.rst -------------------------------------------------------------------------------- /doc/source/ref/glossary.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/ref/glossary.rst -------------------------------------------------------------------------------- /doc/source/ref/index.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/ref/index.rst -------------------------------------------------------------------------------- /doc/source/ref/migrations.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/ref/migrations.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/core_files.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/core_files.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/dependencies.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/dependencies.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/eda_flows.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/eda_flows.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/filters.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/filters.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/flags.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/flags.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/flow_options.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/flow_options.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/generators.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/generators.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/hooks.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/hooks.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/index.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/index.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/mappings.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/mappings.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/tool_options.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/tool_options.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/virtual_cores.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/virtual_cores.rst -------------------------------------------------------------------------------- /doc/source/user/build_system/vpi.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/build_system/vpi.rst -------------------------------------------------------------------------------- /doc/source/user/cli.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/cli.rst -------------------------------------------------------------------------------- /doc/source/user/index.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/index.rst -------------------------------------------------------------------------------- /doc/source/user/installation.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/installation.rst -------------------------------------------------------------------------------- /doc/source/user/introduction.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/introduction.rst -------------------------------------------------------------------------------- /doc/source/user/knowledgebase.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/knowledgebase.rst -------------------------------------------------------------------------------- /doc/source/user/optional_deps.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/optional_deps.png -------------------------------------------------------------------------------- /doc/source/user/overview.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/overview.rst -------------------------------------------------------------------------------- /doc/source/user/package_manager/index.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/doc/source/user/package_manager/index.rst -------------------------------------------------------------------------------- /extras/bash-completion: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/extras/bash-completion -------------------------------------------------------------------------------- /fusesoc/capi2/core.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/capi2/core.py -------------------------------------------------------------------------------- /fusesoc/capi2/coredata.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/capi2/coredata.py -------------------------------------------------------------------------------- /fusesoc/capi2/coreparser.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/capi2/coreparser.py -------------------------------------------------------------------------------- /fusesoc/capi2/exprs.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/capi2/exprs.py -------------------------------------------------------------------------------- /fusesoc/capi2/generator.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/capi2/generator.py -------------------------------------------------------------------------------- /fusesoc/capi2/inheritance.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/capi2/inheritance.py -------------------------------------------------------------------------------- /fusesoc/capi2/json_schema.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/capi2/json_schema.py -------------------------------------------------------------------------------- /fusesoc/config.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/config.py -------------------------------------------------------------------------------- /fusesoc/core.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/core.py -------------------------------------------------------------------------------- /fusesoc/coremanager.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/coremanager.py -------------------------------------------------------------------------------- /fusesoc/edalizer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/edalizer.py -------------------------------------------------------------------------------- /fusesoc/filters/autotype.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/filters/autotype.py -------------------------------------------------------------------------------- /fusesoc/filters/coredepsmk.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/filters/coredepsmk.py -------------------------------------------------------------------------------- /fusesoc/filters/custom.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/filters/custom.py -------------------------------------------------------------------------------- /fusesoc/filters/dot.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/filters/dot.py -------------------------------------------------------------------------------- /fusesoc/filters/spdxgen.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/filters/spdxgen.py -------------------------------------------------------------------------------- /fusesoc/filters/splitlib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/filters/splitlib.py -------------------------------------------------------------------------------- /fusesoc/fusesoc.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/fusesoc.py -------------------------------------------------------------------------------- /fusesoc/librarymanager.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/librarymanager.py -------------------------------------------------------------------------------- /fusesoc/lockfile.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/lockfile.py -------------------------------------------------------------------------------- /fusesoc/main.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/main.py -------------------------------------------------------------------------------- /fusesoc/parser/coreparser.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/parser/coreparser.py -------------------------------------------------------------------------------- /fusesoc/provider/git.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/provider/git.py -------------------------------------------------------------------------------- /fusesoc/provider/github.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/provider/github.py -------------------------------------------------------------------------------- /fusesoc/provider/local.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/provider/local.py -------------------------------------------------------------------------------- /fusesoc/provider/opencores.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/provider/opencores.py -------------------------------------------------------------------------------- /fusesoc/provider/provider.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/provider/provider.py -------------------------------------------------------------------------------- /fusesoc/provider/svn.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/provider/svn.py -------------------------------------------------------------------------------- /fusesoc/provider/url.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/provider/url.py -------------------------------------------------------------------------------- /fusesoc/signature.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/signature.py -------------------------------------------------------------------------------- /fusesoc/utils.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/utils.py -------------------------------------------------------------------------------- /fusesoc/vlnv.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/fusesoc/vlnv.py -------------------------------------------------------------------------------- /pyproject.toml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/pyproject.toml -------------------------------------------------------------------------------- /tests/capi2_cores/dependencies/top.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/dependencies/top.core -------------------------------------------------------------------------------- /tests/capi2_cores/dependencies/used-1.0.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/dependencies/used-1.0.core -------------------------------------------------------------------------------- /tests/capi2_cores/dependencies/used-1.1.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/dependencies/used-1.1.core -------------------------------------------------------------------------------- /tests/capi2_cores/deptree/child1.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/deptree/child1.core -------------------------------------------------------------------------------- /tests/capi2_cores/deptree/child2.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/deptree/child2.core -------------------------------------------------------------------------------- /tests/capi2_cores/deptree/child3.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/deptree/child3.core -------------------------------------------------------------------------------- /tests/capi2_cores/deptree/child4.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/deptree/child4.core -------------------------------------------------------------------------------- /tests/capi2_cores/deptree/generated_child_a.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/deptree/generated_child_a.core -------------------------------------------------------------------------------- /tests/capi2_cores/deptree/generated_child_a.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/deptree/generated_child_a.py -------------------------------------------------------------------------------- /tests/capi2_cores/deptree/root.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/deptree/root.core -------------------------------------------------------------------------------- /tests/capi2_cores/files_out_of_hierarchy/bad.sv: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/files_out_of_hierarchy/subdir/files_out_of_hierarchy.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/files_out_of_hierarchy/subdir/files_out_of_hierarchy.core -------------------------------------------------------------------------------- /tests/capi2_cores/files_out_of_hierarchy/subdir/good.sv: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/mapping/a.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/mapping/a.core -------------------------------------------------------------------------------- /tests/capi2_cores/mapping/b.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/mapping/b.core -------------------------------------------------------------------------------- /tests/capi2_cores/mapping/c.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/mapping/c.core -------------------------------------------------------------------------------- /tests/capi2_cores/mapping/d.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/mapping/d.core -------------------------------------------------------------------------------- /tests/capi2_cores/mapping/e.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/mapping/e.core -------------------------------------------------------------------------------- /tests/capi2_cores/mapping/f.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/mapping/f.core -------------------------------------------------------------------------------- /tests/capi2_cores/mapping/map_rec.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/mapping/map_rec.core -------------------------------------------------------------------------------- /tests/capi2_cores/mapping/map_vers.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/mapping/map_vers.core -------------------------------------------------------------------------------- /tests/capi2_cores/mapping/top.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/mapping/top.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/append.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/append.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/depends.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/depends.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/dontpickthisfile: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/dummy.tcl: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/empty.core: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/f1: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/f2: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/f3: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/f4: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/fileattrs.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/fileattrs.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/files.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/files.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/filters.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/filters.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/flags.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/flags.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/flow.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/flow.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/generate/file_cachetest: -------------------------------------------------------------------------------- 1 | cachetest 2 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/generate/generate.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/generate/generate.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/generate/generators.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/generate/generators.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/generate/testgen.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/generate/testgen.py -------------------------------------------------------------------------------- /tests/capi2_cores/misc/hooks.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/hooks.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/parameters.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/parameters.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/scriptfile: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/subdir/dummy.extra: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/syntax_error.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/syntax_error.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/targets.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/targets.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/toplevel.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/toplevel.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/typecheck.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/typecheck.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/uncachable.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/uncachable.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/vhdlfile: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/vlogfile: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/misc/vpi.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/misc/vpi.core -------------------------------------------------------------------------------- /tests/capi2_cores/misc/vpifile: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/capi2_cores/override/1/basic.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/override/1/basic.core -------------------------------------------------------------------------------- /tests/capi2_cores/override/2/basic.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/override/2/basic.core -------------------------------------------------------------------------------- /tests/capi2_cores/parser/inheritance.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/parser/inheritance.core -------------------------------------------------------------------------------- /tests/capi2_cores/parser/no_additional_properties.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/parser/no_additional_properties.core -------------------------------------------------------------------------------- /tests/capi2_cores/parser/with_additional_properties.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/parser/with_additional_properties.core -------------------------------------------------------------------------------- /tests/capi2_cores/providers/url_simple.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/providers/url_simple.core -------------------------------------------------------------------------------- /tests/capi2_cores/providers/url_simple_with_user_agent.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/providers/url_simple_with_user_agent.core -------------------------------------------------------------------------------- /tests/capi2_cores/providers/url_tar.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/providers/url_tar.core -------------------------------------------------------------------------------- /tests/capi2_cores/providers/url_zip.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/providers/url_zip.core -------------------------------------------------------------------------------- /tests/capi2_cores/virtual/impl1.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/virtual/impl1.core -------------------------------------------------------------------------------- /tests/capi2_cores/virtual/impl2.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/virtual/impl2.core -------------------------------------------------------------------------------- /tests/capi2_cores/virtual/top_conflict.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/virtual/top_conflict.core -------------------------------------------------------------------------------- /tests/capi2_cores/virtual/top_impl1.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/virtual/top_impl1.core -------------------------------------------------------------------------------- /tests/capi2_cores/virtual/top_impl2.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/virtual/top_impl2.core -------------------------------------------------------------------------------- /tests/capi2_cores/virtual/top_non_deterministic.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/virtual/top_non_deterministic.core -------------------------------------------------------------------------------- /tests/capi2_cores/virtual/user.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/capi2_cores/virtual/user.core -------------------------------------------------------------------------------- /tests/cores/adv_debug_sys/adv_debug_sys.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/adv_debug_sys/adv_debug_sys.core -------------------------------------------------------------------------------- /tests/cores/atlys/atlys.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/atlys/atlys.core -------------------------------------------------------------------------------- /tests/cores/atlys/data/atlys.ucf: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/atlys/data/dummy_backend_tcl_file.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/atlys/data/dummy_backend_tcl_file.tcl -------------------------------------------------------------------------------- /tests/cores/elf-loader/check_libelf.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/elf-loader/check_libelf.sh -------------------------------------------------------------------------------- /tests/cores/elf-loader/elf-loader.c: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/elf-loader/elf-loader.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/elf-loader/elf-loader.core -------------------------------------------------------------------------------- /tests/cores/elf-loader/vpi_wrapper.c: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/gpio/gpio.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/gpio/gpio.core -------------------------------------------------------------------------------- /tests/cores/jtag_tap/jtag_tap-1.13.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/jtag_tap/jtag_tap-1.13.core -------------------------------------------------------------------------------- /tests/cores/libstorage/libstorage-1.0.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/libstorage/libstorage-1.0.core -------------------------------------------------------------------------------- /tests/cores/misc/c3demo.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/c3demo.core -------------------------------------------------------------------------------- /tests/cores/misc/c3demo.pcf: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/misc/copytocore/copytocore.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/copytocore/copytocore.core -------------------------------------------------------------------------------- /tests/cores/misc/copytocore/copytodot: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/misc/copytocore/dummy.tcl: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/misc/copytocore/subdir/dummy.extra: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/misc/dummy.tcl: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/misc/dummy.xci: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/misc/filetypes.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/filetypes.core -------------------------------------------------------------------------------- /tests/cores/misc/ghdltest.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/ghdltest.core -------------------------------------------------------------------------------- /tests/cores/misc/gitcore.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/gitcore.core -------------------------------------------------------------------------------- /tests/cores/misc/no_exe_script.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/no_exe_script.core -------------------------------------------------------------------------------- /tests/cores/misc/nomain.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/nomain.core -------------------------------------------------------------------------------- /tests/cores/misc/opencorescore.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/opencorescore.core -------------------------------------------------------------------------------- /tests/cores/misc/paramtest.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/paramtest.core -------------------------------------------------------------------------------- /tests/cores/misc/scripts/no_exe_script: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/misc/scripts/post_build_script: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/scripts/post_build_script -------------------------------------------------------------------------------- /tests/cores/misc/scripts/post_run_script: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/scripts/post_run_script -------------------------------------------------------------------------------- /tests/cores/misc/scripts/pre_build_script: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/scripts/pre_build_script -------------------------------------------------------------------------------- /tests/cores/misc/scripts/pre_run_script: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/scripts/pre_run_script -------------------------------------------------------------------------------- /tests/cores/misc/scriptscore.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/scriptscore.core -------------------------------------------------------------------------------- /tests/cores/misc/subdir/dummy.extra: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/misc/svn.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/misc/svn.core -------------------------------------------------------------------------------- /tests/cores/mor1kx-arty/mor1kx-arty.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/mor1kx-arty/mor1kx-arty.core -------------------------------------------------------------------------------- /tests/cores/mor1kx-arty/mor1kx-arty.system: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/mor1kx-arty/mor1kx-arty.system -------------------------------------------------------------------------------- /tests/cores/mor1kx-generic/mor1kx-generic.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/mor1kx-generic/mor1kx-generic.core -------------------------------------------------------------------------------- /tests/cores/mor1kx-generic/scripts/post_run_script: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/mor1kx-generic/scripts/post_run_script -------------------------------------------------------------------------------- /tests/cores/mor1kx-generic/scripts/pre_build_script: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/mor1kx-generic/scripts/pre_build_script -------------------------------------------------------------------------------- /tests/cores/mor1kx-generic/scripts/pre_run_script: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/mor1kx-generic/scripts/pre_run_script -------------------------------------------------------------------------------- /tests/cores/mor1kx/mor1kx-3.1.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/mor1kx/mor1kx-3.1.core -------------------------------------------------------------------------------- /tests/cores/sockit/sockit.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/sockit/sockit.core -------------------------------------------------------------------------------- /tests/cores/uart16550/uart16550-1.5.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/uart16550/uart16550-1.5.core -------------------------------------------------------------------------------- /tests/cores/verilator_tb_utils/verilator_tb_utils.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/verilator_tb_utils/verilator_tb_utils.core -------------------------------------------------------------------------------- /tests/cores/verilog-arbiter/verilog-arbiter-r1.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/verilog-arbiter/verilog-arbiter-r1.core -------------------------------------------------------------------------------- /tests/cores/verilog_utils/verilog_utils.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/verilog_utils/verilog_utils.core -------------------------------------------------------------------------------- /tests/cores/verilog_utils/verilog_utils.vh: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/vga_lcd/vga_lcd.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/vga_lcd/vga_lcd.core -------------------------------------------------------------------------------- /tests/cores/vlog_tb_utils/files/0001-testpatch.patch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/vlog_tb_utils/files/0001-testpatch.patch -------------------------------------------------------------------------------- /tests/cores/vlog_tb_utils/vlog_tb_utils-1.1.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/vlog_tb_utils/vlog_tb_utils-1.1.core -------------------------------------------------------------------------------- /tests/cores/wb_common/wb_common.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/wb_common/wb_common.core -------------------------------------------------------------------------------- /tests/cores/wb_common/wb_common.v: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/wb_common/wb_common_params.v: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/wb_intercon/dummy_icarus.v: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/cores/wb_intercon/wb_intercon-1.0.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/cores/wb_intercon/wb_intercon-1.0.core -------------------------------------------------------------------------------- /tests/lockfiles/dependencies-partial-1.0.lock.yml: -------------------------------------------------------------------------------- 1 | cores: 2 | - name: "::used:1.0" 3 | -------------------------------------------------------------------------------- /tests/lockfiles/dependencies-partial.lock.yml: -------------------------------------------------------------------------------- 1 | cores: 2 | - name: "::used:1.1" 3 | -------------------------------------------------------------------------------- /tests/lockfiles/dependencies.lock.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/lockfiles/dependencies.lock.yml -------------------------------------------------------------------------------- /tests/lockfiles/duplicates.lock.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/lockfiles/duplicates.lock.yml -------------------------------------------------------------------------------- /tests/lockfiles/works.lock.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/lockfiles/works.lock.yml -------------------------------------------------------------------------------- /tests/signature_files/keyfiles/user1_ecdsa: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/keyfiles/user1_ecdsa -------------------------------------------------------------------------------- /tests/signature_files/keyfiles/user1_ecdsa.pub: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/keyfiles/user1_ecdsa.pub -------------------------------------------------------------------------------- /tests/signature_files/keyfiles/user1_ed25519: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/keyfiles/user1_ed25519 -------------------------------------------------------------------------------- /tests/signature_files/keyfiles/user1_ed25519.pub: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/keyfiles/user1_ed25519.pub -------------------------------------------------------------------------------- /tests/signature_files/keyfiles/user1_rsa: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/keyfiles/user1_rsa -------------------------------------------------------------------------------- /tests/signature_files/keyfiles/user1_rsa.pub: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/keyfiles/user1_rsa.pub -------------------------------------------------------------------------------- /tests/signature_files/keyfiles/user2_ed25519: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/keyfiles/user2_ed25519 -------------------------------------------------------------------------------- /tests/signature_files/keyfiles/user2_ed25519.pub: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/keyfiles/user2_ed25519.pub -------------------------------------------------------------------------------- /tests/signature_files/keyfiles/user3_ed25519: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/keyfiles/user3_ed25519 -------------------------------------------------------------------------------- /tests/signature_files/keyfiles/user3_ed25519.pub: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/keyfiles/user3_ed25519.pub -------------------------------------------------------------------------------- /tests/signature_files/trustfiles/trustfile_1ed_and_2: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/trustfiles/trustfile_1ed_and_2 -------------------------------------------------------------------------------- /tests/signature_files/trustfiles/trustfile_1rsa+ed_and_3: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/trustfiles/trustfile_1rsa+ed_and_3 -------------------------------------------------------------------------------- /tests/signature_files/trustfiles/trustfile_1rsa_and_2: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/signature_files/trustfiles/trustfile_1rsa_and_2 -------------------------------------------------------------------------------- /tests/test_capi2.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_capi2.py -------------------------------------------------------------------------------- /tests/test_capi2/generators.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_capi2/generators.info -------------------------------------------------------------------------------- /tests/test_capi2/targets.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_capi2/targets.info -------------------------------------------------------------------------------- /tests/test_common.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_common.py -------------------------------------------------------------------------------- /tests/test_config.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_config.py -------------------------------------------------------------------------------- /tests/test_coremanager.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_coremanager.py -------------------------------------------------------------------------------- /tests/test_edalizer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_edalizer.py -------------------------------------------------------------------------------- /tests/test_exprs.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_exprs.py -------------------------------------------------------------------------------- /tests/test_ignored_dirs.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_ignored_dirs.py -------------------------------------------------------------------------------- /tests/test_libraries.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_libraries.py -------------------------------------------------------------------------------- /tests/test_lockfile.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_lockfile.py -------------------------------------------------------------------------------- /tests/test_provider.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_provider.py -------------------------------------------------------------------------------- /tests/test_provider/file.tar.gz: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_provider/file.tar.gz -------------------------------------------------------------------------------- /tests/test_provider/file.v: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /tests/test_provider/file.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_provider/file.zip -------------------------------------------------------------------------------- /tests/test_provider/vlog_functions.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_provider/vlog_functions.v -------------------------------------------------------------------------------- /tests/test_signatures.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_signatures.py -------------------------------------------------------------------------------- /tests/test_usecases.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_usecases.py -------------------------------------------------------------------------------- /tests/test_vlnv.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/test_vlnv.py -------------------------------------------------------------------------------- /tests/userguide/blinky/blinky.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/userguide/blinky/blinky.core -------------------------------------------------------------------------------- /tests/userguide/blinky/data/nexys_video.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/userguide/blinky/data/nexys_video.xdc -------------------------------------------------------------------------------- /tests/userguide/blinky/rtl/blinky.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/userguide/blinky/rtl/blinky.sv -------------------------------------------------------------------------------- /tests/userguide/blinky/rtl/macros.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/userguide/blinky/rtl/macros.svh -------------------------------------------------------------------------------- /tests/userguide/blinky/tb/blinky_tb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/userguide/blinky/tb/blinky_tb.sv -------------------------------------------------------------------------------- /tests/userguide/dualblinky/data/nexys_video.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/userguide/dualblinky/data/nexys_video.xdc -------------------------------------------------------------------------------- /tests/userguide/dualblinky/dualblinky.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/userguide/dualblinky/dualblinky.core -------------------------------------------------------------------------------- /tests/userguide/dualblinky/rtl/dualblinky.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tests/userguide/dualblinky/rtl/dualblinky.sv -------------------------------------------------------------------------------- /tox.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/olofk/fusesoc/HEAD/tox.ini --------------------------------------------------------------------------------