├── HDLComponents ├── FFT │ ├── .gitignore │ └── examples │ │ └── rfft32_i14_o19 │ │ └── tb │ │ ├── inputRealValues.txt │ │ └── outputExpectedComplexValues.txt ├── .gitignore ├── FrontPanelToAxiLiteBridge │ ├── ex_blk_diag.png │ ├── .gitignore │ └── cpp_api │ │ └── Makefile.lnx ├── I2CController │ └── Simulation │ │ ├── isim_build.bat │ │ ├── isim_simulate.bat │ │ ├── isim.prj │ │ └── isim.bat ├── Synchronizers │ └── README.md └── SPIFlashController │ └── README.md ├── BoardTools ├── BRK1900 │ ├── PetaLinux │ │ ├── project-spec │ │ │ ├── hw-description │ │ │ │ ├── metadata │ │ │ │ └── system.xsa │ │ │ ├── meta-user │ │ │ │ ├── recipes-kernel │ │ │ │ │ └── linux │ │ │ │ │ │ ├── linux-xlnx │ │ │ │ │ │ └── bsp.cfg │ │ │ │ │ │ └── linux-xlnx_%.bbappend │ │ │ │ ├── recipes-bsp │ │ │ │ │ ├── u-boot │ │ │ │ │ │ ├── files │ │ │ │ │ │ │ ├── bsp.cfg │ │ │ │ │ │ │ ├── platform-top.h │ │ │ │ │ │ │ ├── user_2022-03-03-05-32-00.cfg │ │ │ │ │ │ │ ├── user_2022-10-06-22-41-00.cfg │ │ │ │ │ │ │ └── 0001-ubifs-distroboot-support.patch │ │ │ │ │ │ └── u-boot-xlnx_%.bbappend │ │ │ │ │ ├── uboot-device-tree │ │ │ │ │ │ ├── files │ │ │ │ │ │ │ └── system-user.dtsi │ │ │ │ │ │ └── uboot-device-tree.bbappend │ │ │ │ │ └── device-tree │ │ │ │ │ │ ├── files │ │ │ │ │ │ ├── pl-custom.dtsi │ │ │ │ │ │ └── system-user.dtsi │ │ │ │ │ │ └── device-tree.bbappend │ │ │ │ ├── recipes-apps │ │ │ │ │ ├── fan-control │ │ │ │ │ │ ├── .gdbinit │ │ │ │ │ │ ├── fan-control.bb │ │ │ │ │ │ └── README.md │ │ │ │ │ ├── device-sensors │ │ │ │ │ │ ├── .gdbinit │ │ │ │ │ │ └── device-sensors.bb │ │ │ │ │ ├── syzygy-ecm1900 │ │ │ │ │ │ ├── .gdbinit │ │ │ │ │ │ ├── files │ │ │ │ │ │ │ └── Makefile │ │ │ │ │ │ └── syzygy-ecm1900.bb │ │ │ │ │ ├── set-clock-brk1900 │ │ │ │ │ │ ├── .gdbinit │ │ │ │ │ │ ├── files │ │ │ │ │ │ │ └── Makefile │ │ │ │ │ │ └── set-clock-brk1900.bb │ │ │ │ │ ├── set-clock-ecm1900 │ │ │ │ │ │ ├── .gdbinit │ │ │ │ │ │ ├── files │ │ │ │ │ │ │ ├── ECM1900-Si5341-Regs.h │ │ │ │ │ │ │ └── Makefile │ │ │ │ │ │ └── set-clock-ecm1900.bb │ │ │ │ │ ├── setclk-reboot-init │ │ │ │ │ │ ├── .gdbinit │ │ │ │ │ │ ├── README.md │ │ │ │ │ │ └── setclk-reboot-init.bb │ │ │ │ │ ├── gpio-demo │ │ │ │ │ │ ├── files │ │ │ │ │ │ │ └── Makefile │ │ │ │ │ │ └── gpio-demo.bb │ │ │ │ │ └── peekpoke │ │ │ │ │ │ ├── files │ │ │ │ │ │ └── Makefile │ │ │ │ │ │ └── peekpoke.bb │ │ │ │ └── conf │ │ │ │ │ ├── petalinuxbsp.conf │ │ │ │ │ ├── user-rootfsconfig │ │ │ │ │ └── layer.conf │ │ │ ├── attributes │ │ │ └── configs │ │ │ │ ├── init-ifupdown │ │ │ │ └── interfaces │ │ │ │ └── busybox │ │ │ │ └── inetd.conf │ │ ├── .petalinux │ │ │ └── metadata │ │ ├── config.project │ │ └── README.md │ ├── ClockConfig │ │ ├── Si5338-BRK1900.slabtimeproj │ │ ├── Si5341-ECM1900.slabtimeproj │ │ └── README.md │ └── ZynqPreset │ │ └── README.md ├── XEM8350 │ └── ClockConfig │ │ ├── Si5338E-B10680-GM-v0-Project.slabtimeproj │ │ └── README.md └── .gitignore ├── ExampleProjects ├── Camera │ ├── Software │ │ ├── FP-Platform-HLS │ │ │ ├── src │ │ │ │ ├── FrameCaptureStatusView.css │ │ │ │ ├── declarations.d.ts │ │ │ │ ├── Utilities.tsx │ │ │ │ ├── HistogramView.css │ │ │ │ ├── index.tsx │ │ │ │ ├── index.html │ │ │ │ ├── App.css │ │ │ │ ├── FrameBufferStatusView.css │ │ │ │ ├── index.css │ │ │ │ └── EventSubscription.ts │ │ │ ├── .prettierignore │ │ │ ├── assets │ │ │ │ ├── favicon.ico │ │ │ │ ├── logo192.png │ │ │ │ ├── logo512.png │ │ │ │ └── manifest.json │ │ │ ├── .prettierrc │ │ │ ├── webpack.prod.js │ │ │ ├── README.md │ │ │ ├── webpack.dev.js │ │ │ ├── .gitignore │ │ │ ├── frontpanel-app.json │ │ │ ├── tsconfig.json │ │ │ ├── .vscode │ │ │ │ └── launch.json │ │ │ └── .eslintrc.json │ │ ├── FP-Platform │ │ │ ├── src │ │ │ │ ├── FrameCaptureStatusView.css │ │ │ │ ├── declarations.d.ts │ │ │ │ ├── Utilities.tsx │ │ │ │ ├── index.tsx │ │ │ │ ├── index.html │ │ │ │ ├── App.css │ │ │ │ ├── FrameBufferStatusView.css │ │ │ │ ├── index.css │ │ │ │ └── EventSubscription.ts │ │ │ ├── .prettierignore │ │ │ ├── assets │ │ │ │ ├── favicon.ico │ │ │ │ ├── logo192.png │ │ │ │ ├── logo512.png │ │ │ │ └── manifest.json │ │ │ ├── .prettierrc │ │ │ ├── webpack.prod.js │ │ │ ├── README.md │ │ │ ├── webpack.dev.js │ │ │ ├── .gitignore │ │ │ ├── frontpanel-app.json │ │ │ ├── tsconfig.json │ │ │ ├── .vscode │ │ │ │ └── launch.json │ │ │ └── .eslintrc.json │ │ ├── Web │ │ │ ├── .gitattributes │ │ │ ├── .gitignore │ │ │ ├── src │ │ │ │ ├── pug │ │ │ │ │ └── partials │ │ │ │ │ │ ├── _footer.pug │ │ │ │ │ │ ├── layout.pug │ │ │ │ │ │ ├── _header.pug │ │ │ │ │ │ ├── _favicons.pug │ │ │ │ │ │ ├── add-file.pug │ │ │ │ │ │ └── _variables.pug │ │ │ │ ├── scss │ │ │ │ │ ├── _dialogs.scss │ │ │ │ │ ├── _media.scss │ │ │ │ │ ├── styles.scss │ │ │ │ │ ├── _alerts.scss │ │ │ │ │ ├── _messages.scss │ │ │ │ │ └── _lists.scss │ │ │ │ ├── images │ │ │ │ │ ├── bg-tile.png │ │ │ │ │ ├── ok-camera-logo.png │ │ │ │ │ ├── favicons │ │ │ │ │ │ ├── favicon.ico │ │ │ │ │ │ ├── mstile-70x70.png │ │ │ │ │ │ ├── favicon-16x16.png │ │ │ │ │ │ ├── favicon-32x32.png │ │ │ │ │ │ ├── mstile-144x144.png │ │ │ │ │ │ ├── mstile-150x150.png │ │ │ │ │ │ ├── mstile-310x150.png │ │ │ │ │ │ ├── mstile-310x310.png │ │ │ │ │ │ ├── apple-touch-icon.png │ │ │ │ │ │ ├── android-chrome-192x192.png │ │ │ │ │ │ ├── site.webmanifest │ │ │ │ │ │ ├── browserconfig.xml │ │ │ │ │ │ └── safari-pinned-tab.svg │ │ │ │ │ ├── ok-camera-logomark.png │ │ │ │ │ ├── alert-danger.svg │ │ │ │ │ ├── led.svg │ │ │ │ │ ├── status-connected.svg │ │ │ │ │ ├── status-disconnected.svg │ │ │ │ │ └── icon-log-toggle.svg │ │ │ │ └── ts │ │ │ │ │ └── camera-error.ts │ │ │ ├── .prettierignore │ │ │ ├── .posthtmlrc.js │ │ │ ├── tslint.json │ │ │ └── tsconfig.json │ │ ├── Cxx │ │ │ ├── okCameraApp │ │ │ │ ├── okCameraApp.rc │ │ │ │ ├── okApp.ico │ │ │ │ ├── logo │ │ │ │ │ ├── led.png │ │ │ │ │ ├── busy1.png │ │ │ │ │ ├── busy2.png │ │ │ │ │ ├── busy3.png │ │ │ │ │ ├── busy4.png │ │ │ │ │ ├── busy5.png │ │ │ │ │ ├── busy6.png │ │ │ │ │ ├── busy7.png │ │ │ │ │ ├── busy8.png │ │ │ │ │ ├── busy9.png │ │ │ │ │ ├── logo.png │ │ │ │ │ ├── busy10.png │ │ │ │ │ ├── busy11.png │ │ │ │ │ ├── busy12.png │ │ │ │ │ ├── busy13.png │ │ │ │ │ ├── busy14.png │ │ │ │ │ ├── busy15.png │ │ │ │ │ ├── busy16.png │ │ │ │ │ ├── busy17.png │ │ │ │ │ ├── busy18.png │ │ │ │ │ ├── busy19.png │ │ │ │ │ ├── busy20.png │ │ │ │ │ ├── busy21.png │ │ │ │ │ ├── busy22.png │ │ │ │ │ ├── busy23.png │ │ │ │ │ ├── busy24.png │ │ │ │ │ ├── busy25.png │ │ │ │ │ ├── busy26.png │ │ │ │ │ ├── busy27.png │ │ │ │ │ ├── busy28.png │ │ │ │ │ ├── busy29.png │ │ │ │ │ ├── busy30.png │ │ │ │ │ ├── busy31.png │ │ │ │ │ ├── busy32.png │ │ │ │ │ ├── busy33.png │ │ │ │ │ ├── busy34.png │ │ │ │ │ ├── busy35.png │ │ │ │ │ ├── busy36.png │ │ │ │ │ ├── busy37.png │ │ │ │ │ ├── busy38.png │ │ │ │ │ ├── busy39.png │ │ │ │ │ └── bitmapList.txt │ │ │ │ ├── okApp.icns │ │ │ │ ├── util │ │ │ │ │ └── wxrc.exe │ │ │ │ ├── icon │ │ │ │ │ ├── icon-128.png │ │ │ │ │ ├── icon-16.png │ │ │ │ │ ├── icon-256.png │ │ │ │ │ ├── icon-32.png │ │ │ │ │ ├── icon-512.png │ │ │ │ │ ├── icon-64.png │ │ │ │ │ └── icon-1024.png │ │ │ │ ├── okwx.h │ │ │ │ └── Info.plist │ │ │ ├── Common │ │ │ │ └── okCCamera.cpp │ │ │ └── FrontPanelSDK_submodule.props │ │ └── FreeMat │ │ │ ├── okSleepMS.m │ │ │ ├── okCameraLogicReset.m │ │ │ ├── snap_display.m │ │ │ ├── okCameraInitialize.m │ │ │ ├── snap.m │ │ │ ├── okCameraSingleCapture.m │ │ │ └── okCameraI2CWrite.m │ ├── HDL-I2C │ │ ├── i2cController.prj │ │ ├── build.bat │ │ ├── buildngc.scr │ │ └── okDRAM16X8D.v │ ├── HDL │ │ ├── XEM7010 │ │ │ └── xem7010.xdc │ │ ├── XEM7310 │ │ │ └── xem7310.xdc │ │ ├── ZEM4310 │ │ │ ├── zem4310.sdc │ │ │ ├── I2C │ │ │ │ └── i2cController_enc.v │ │ │ ├── Megafunctions │ │ │ │ ├── extclk_pll_inst.v │ │ │ │ ├── pixclk_pll_inst.v │ │ │ │ ├── ram16x8_inst.v │ │ │ │ ├── ram16x8.qip │ │ │ │ ├── fifo_w64_1024_r32_2048_inst.v │ │ │ │ ├── fifo_w64_1024_r64_1024_inst.v │ │ │ │ ├── fifo_w64_1024_r32_2048.qip │ │ │ │ ├── fifo_w64_1024_r64_1024.qip │ │ │ │ ├── extclk_pll.qip │ │ │ │ └── pixclk_pll.qip │ │ │ ├── HPC │ │ │ │ ├── altmemphy-library │ │ │ │ │ └── auk_ddr_hp_controller.ocp │ │ │ │ ├── ddr2_interface_example_top.sdc │ │ │ │ ├── ddr2_high_performance_controller-library │ │ │ │ │ └── auk_ddr_hp_controller.ocp │ │ │ │ └── ddr2_interface_phy_alt_mem_phy_pll.qip │ │ │ └── QUARTUS │ │ │ │ └── evb1007.qpf │ │ ├── XEM7350 │ │ │ ├── xem7350-k160t.xdc │ │ │ ├── xem7350-k70t.xdc │ │ │ └── MIG │ │ │ │ └── example_top.xdc │ │ ├── XEM6006 │ │ │ ├── Core │ │ │ │ └── coregen.cgp │ │ │ └── MIG │ │ │ │ └── coregen.cgp │ │ ├── XEM6010 │ │ │ ├── Core │ │ │ │ └── coregen.cgp │ │ │ └── MIG │ │ │ │ ├── coregen.cgp │ │ │ │ └── mig.xco │ │ ├── XEM6310 │ │ │ ├── Core │ │ │ │ └── coregen.cgp │ │ │ └── MIG │ │ │ │ ├── coregen.cgp │ │ │ │ └── mig.xco │ │ └── XEM8320 │ │ │ └── SZG-Camera-HLS │ │ │ ├── README.md │ │ │ └── HLS │ │ │ └── ISP │ │ │ ├── xf_isp_tb_config.h │ │ │ ├── xf_isp_types.h │ │ │ └── xf_isp_accel_config.h │ ├── README.md │ └── CPLD │ │ └── top.v ├── DAC-ADC │ ├── Software │ │ └── FP-Platform │ │ │ ├── .prettierignore │ │ │ ├── src │ │ │ ├── declarations.d.ts │ │ │ ├── SpectrumAnalyzerView.css │ │ │ ├── Vector.ts │ │ │ ├── FrequencyVectorComponent.css │ │ │ ├── ListItemComponent.css │ │ │ ├── index.html │ │ │ ├── index.tsx │ │ │ ├── index.css │ │ │ ├── App.css │ │ │ ├── DigitalSignalSamplerView.css │ │ │ ├── Panel.css │ │ │ ├── FFTSignalGeneratorView.css │ │ │ ├── Panel.tsx │ │ │ └── FrontPanel.css │ │ │ ├── assets │ │ │ ├── favicon.ico │ │ │ ├── logo192.png │ │ │ ├── logo512.png │ │ │ └── manifest.json │ │ │ ├── .prettierrc │ │ │ ├── webpack.prod.js │ │ │ ├── README.md │ │ │ ├── webpack.dev.js │ │ │ ├── .gitignore │ │ │ ├── .eslintrc.json │ │ │ ├── frontpanel-app.json │ │ │ ├── tsconfig.json │ │ │ └── .vscode │ │ │ └── launch.json │ ├── gateware │ │ ├── adc-12 │ │ │ └── .gitignore │ │ └── adc-14 │ │ │ └── .gitignore │ ├── README.md │ ├── linux_create_project-adc-12.sh │ └── linux_create_project-adc-14.sh ├── EthernetExampleDesign │ └── Software │ │ └── FP-Platform │ │ ├── .prettierignore │ │ ├── src │ │ ├── declarations.d.ts │ │ ├── index.tsx │ │ ├── index.html │ │ ├── EthernetPortView.props.ts │ │ ├── FrontPanel.css │ │ ├── index.css │ │ └── App.css │ │ ├── assets │ │ ├── favicon.ico │ │ ├── logo192.png │ │ ├── logo512.png │ │ └── manifest.json │ │ ├── .prettierrc │ │ ├── webpack.prod.js │ │ ├── README.md │ │ ├── webpack.dev.js │ │ ├── .gitignore │ │ ├── frontpanel-app.json │ │ ├── .eslintrc.json │ │ ├── tsconfig.json │ │ └── .vscode │ │ └── launch.json ├── MultiDAQ │ └── XEM8320 │ │ ├── software │ │ └── FP-Platform │ │ │ ├── src │ │ │ ├── react-app-env.d.ts │ │ │ ├── declarations.d.ts │ │ │ ├── SignalCaptureView.css │ │ │ ├── ScopeControl.css │ │ │ ├── ListItemComponent.css │ │ │ ├── index.tsx │ │ │ ├── index.html │ │ │ ├── index.css │ │ │ ├── App.css │ │ │ ├── Panel.css │ │ │ ├── SignalGeneratorView.css │ │ │ ├── FrontPanel.css │ │ │ └── Panel.tsx │ │ │ ├── assets │ │ │ ├── favicon.ico │ │ │ ├── logo512.png │ │ │ └── multidaq.bit │ │ │ ├── webpack.prod.js │ │ │ ├── README.md │ │ │ ├── webpack.dev.js │ │ │ ├── .gitignore │ │ │ ├── frontpanel-app.json │ │ │ ├── tsconfig.json │ │ │ └── .vscode │ │ │ └── launch.json │ │ └── README.md ├── .gitignore ├── DisplayPort │ └── XEM8320 │ │ ├── python_app │ │ └── requirements.txt │ │ └── README.md ├── ADC_Sample │ ├── XEM7320 │ │ └── python │ │ │ └── requirements.txt │ └── XEM8320 │ │ └── python │ │ └── requirements.txt ├── DAC_Sample │ ├── XEM8320 │ │ └── Cxx │ │ │ ├── include │ │ │ └── okFrontPanel.dll │ │ │ └── makefile │ └── XEM7320 │ │ └── Cxx │ │ └── Makefile ├── frontpanel-hls │ └── XEM7320 │ │ ├── octave │ │ └── gen_data.m │ │ └── HLS │ │ └── fir.cpp └── Sensor_Sample │ ├── XEM7320 │ └── software │ │ └── python │ │ └── lps22hb.py │ └── XEM8320 │ └── software │ └── python │ └── lps22hb.py ├── Software └── Templates │ └── frontpanel-react-app │ ├── .prettierignore │ ├── src │ ├── declarations.d.ts │ ├── FrontPanel.css │ ├── App.css │ ├── index.tsx │ ├── index.css │ ├── index.html │ └── FPGAConfigurationFiles.ts │ ├── assets │ ├── favicon.ico │ ├── logo192.png │ ├── logo512.png │ └── manifest.json │ ├── .prettierrc │ ├── webpack.prod.js │ ├── webpack.dev.js │ ├── .gitignore │ ├── README.md │ ├── frontpanel-app.json │ ├── .eslintrc.json │ ├── .vscode │ └── launch.json │ ├── tsconfig.json │ └── APP-INFO.md ├── .gitignore ├── .gitmodules └── readme.md /HDLComponents/FFT/.gitignore: -------------------------------------------------------------------------------- 1 | **/vitis* 2 | **/build -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/hw-description/metadata: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /HDLComponents/.gitignore: -------------------------------------------------------------------------------- 1 | Models/ 2 | work/ 3 | m25p64/ 4 | *swp 5 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/.petalinux/metadata: -------------------------------------------------------------------------------- 1 | PETALINUX_VER=2021.2 2 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/src/FrameCaptureStatusView.css: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/src/FrameCaptureStatusView.css: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/.prettierignore: -------------------------------------------------------------------------------- 1 | /src/index.html 2 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/.prettierignore: -------------------------------------------------------------------------------- 1 | /src/index.html 2 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/.prettierignore: -------------------------------------------------------------------------------- 1 | /src/index.html 2 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/.gitattributes: -------------------------------------------------------------------------------- 1 | msgpack.min.js -diff 2 | 3 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/.prettierignore: -------------------------------------------------------------------------------- 1 | /src/index.html 2 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/bsp.cfg: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/.prettierignore: -------------------------------------------------------------------------------- 1 | /src/index.html 2 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/.gitignore: -------------------------------------------------------------------------------- 1 | /.cache/ 2 | /dist/ 3 | /lib/ 4 | /node_modules/ 5 | /srv/ 6 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL-I2C/i2cController.prj: -------------------------------------------------------------------------------- 1 | verilog work .\i2cController.v 2 | verilog work .\okDRAM16X8D.v 3 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/okCameraApp.rc: -------------------------------------------------------------------------------- 1 | #include "wx/msw/wx.rc" 2 | appicon ICON "okApp.ico" 3 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/pug/partials/_footer.pug: -------------------------------------------------------------------------------- 1 | block footer 2 | script(src=tsPath + "evb100x.ts") 3 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/react-app-env.d.ts: -------------------------------------------------------------------------------- 1 | /// 2 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/u-boot/files/bsp.cfg: -------------------------------------------------------------------------------- 1 | CONFIG_SYS_CONFIG_NAME="platform-top" 2 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/u-boot/files/platform-top.h: -------------------------------------------------------------------------------- 1 | #include 2 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/.prettierignore: -------------------------------------------------------------------------------- 1 | # package.json is formatted by package managers, so we ignore it here 2 | package.json -------------------------------------------------------------------------------- /ExampleProjects/.gitignore: -------------------------------------------------------------------------------- 1 | VIVADO 2 | VIVADO_HLS 3 | **/HDL/frontpanel 4 | *ok.py* 5 | *__pycache__* 6 | *swp 7 | vitis 8 | vitis_hls.log 9 | -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- 1 | # Only put main directory targeted ignores here 2 | # Use the gitignores in each main folder for project type ignores 3 | .vscode 4 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/uboot-device-tree/files/system-user.dtsi: -------------------------------------------------------------------------------- 1 | /include/ "system-conf.dtsi" 2 | / { 3 | }; 4 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL-I2C/build.bat: -------------------------------------------------------------------------------- 1 | rem 2 | rem Build FrontPanel HDL modules. 3 | rem 4 | 5 | xst -ifn buildngc.scr 6 | rmdir /s /q xst 7 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/gateware/adc-12/.gitignore: -------------------------------------------------------------------------------- 1 | *.jou 2 | *.log 3 | *.str 4 | fft/vitis/ 5 | ifft/vitis/ 6 | vitis/* 7 | Vivado/* 8 | !Vivado/*.xpr 9 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/gateware/adc-14/.gitignore: -------------------------------------------------------------------------------- 1 | *.jou 2 | *.log 3 | *.str 4 | fft/vitis/ 5 | ifft/vitis/ 6 | vitis/* 7 | Vivado/* 8 | !Vivado/*.xpr 9 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/src/declarations.d.ts: -------------------------------------------------------------------------------- 1 | declare module "*.png" { 2 | const value: string; 3 | export default value; 4 | } 5 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/fan-control/.gdbinit: -------------------------------------------------------------------------------- 1 | # Load the PetaLinux SDK main gdbinit script 2 | source plnx_gdbinit 3 | 4 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/src/declarations.d.ts: -------------------------------------------------------------------------------- 1 | declare module "*.png" { 2 | const value: string; 3 | export default value; 4 | } 5 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/src/declarations.d.ts: -------------------------------------------------------------------------------- 1 | declare module "*.png" { 2 | const value: string; 3 | export default value; 4 | } 5 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/declarations.d.ts: -------------------------------------------------------------------------------- 1 | declare module "*.png" { 2 | const value: string; 3 | export default value; 4 | } 5 | -------------------------------------------------------------------------------- /ExampleProjects/DisplayPort/XEM8320/python_app/requirements.txt: -------------------------------------------------------------------------------- 1 | numpy==1.24.2 2 | opencv-python==4.8.0.76 3 | tk==0.1.0 4 | PyAutoGUI==0.9.54 5 | PyQt5==5.15.9 6 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/device-sensors/.gdbinit: -------------------------------------------------------------------------------- 1 | # Load the PetaLinux SDK main gdbinit script 2 | source plnx_gdbinit 3 | 4 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/syzygy-ecm1900/.gdbinit: -------------------------------------------------------------------------------- 1 | # Load the PetaLinux SDK main gdbinit script 2 | source plnx_gdbinit 3 | 4 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM7010/xem7010.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/HDL/XEM7010/xem7010.xdc -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM7310/xem7310.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/HDL/XEM7310/xem7310.xdc -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/zem4310.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/HDL/ZEM4310/zem4310.sdc -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/set-clock-brk1900/.gdbinit: -------------------------------------------------------------------------------- 1 | # Load the PetaLinux SDK main gdbinit script 2 | source plnx_gdbinit 3 | 4 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/set-clock-ecm1900/.gdbinit: -------------------------------------------------------------------------------- 1 | # Load the PetaLinux SDK main gdbinit script 2 | source plnx_gdbinit 3 | 4 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/setclk-reboot-init/.gdbinit: -------------------------------------------------------------------------------- 1 | # Load the PetaLinux SDK main gdbinit script 2 | source plnx_gdbinit 3 | 4 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/scss/_dialogs.scss: -------------------------------------------------------------------------------- 1 | .add-file-dialog { 2 | display: none; 3 | } 4 | 5 | .add-file-content { 6 | z-index: 999; 7 | } 8 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/declarations.d.ts: -------------------------------------------------------------------------------- 1 | declare module "*.png" { 2 | const value: string; 3 | export default value; 4 | } 5 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM7350/xem7350-k160t.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/HDL/XEM7350/xem7350-k160t.xdc -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM7350/xem7350-k70t.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/HDL/XEM7350/xem7350-k70t.xdc -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/src/declarations.d.ts: -------------------------------------------------------------------------------- 1 | declare module "*.png" { 2 | const value: string; 3 | export default value; 4 | } 5 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/u-boot/files/user_2022-03-03-05-32-00.cfg: -------------------------------------------------------------------------------- 1 | CONFIG_ZYNQ_GEM_I2C_MAC_OFFSET=0xFA 2 | CONFIG_SYS_I2C_EEPROM_ADDR=0x57 3 | -------------------------------------------------------------------------------- /HDLComponents/FrontPanelToAxiLiteBridge/ex_blk_diag.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/HDLComponents/FrontPanelToAxiLiteBridge/ex_blk_diag.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/Common/okCCamera.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/Common/okCCamera.cpp -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/okApp.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/okApp.ico -------------------------------------------------------------------------------- /BoardTools/BRK1900/ClockConfig/Si5338-BRK1900.slabtimeproj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/BoardTools/BRK1900/ClockConfig/Si5338-BRK1900.slabtimeproj -------------------------------------------------------------------------------- /BoardTools/BRK1900/ClockConfig/Si5341-ECM1900.slabtimeproj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/BoardTools/BRK1900/ClockConfig/Si5341-ECM1900.slabtimeproj -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/conf/petalinuxbsp.conf: -------------------------------------------------------------------------------- 1 | #User Configuration 2 | 3 | #OE_TERMINAL = "tmux" 4 | 5 | IMAGE_BOOT_FILES_zynqmp = "BOOT.BIN boot.scr Image" 6 | -------------------------------------------------------------------------------- /ExampleProjects/ADC_Sample/XEM7320/python/requirements.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/ADC_Sample/XEM7320/python/requirements.txt -------------------------------------------------------------------------------- /ExampleProjects/ADC_Sample/XEM8320/python/requirements.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/ADC_Sample/XEM8320/python/requirements.txt -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/I2C/i2cController_enc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/HDL/ZEM4310/I2C/i2cController_enc.v -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/led.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/led.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/okApp.icns: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/okApp.icns -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/bg-tile.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/bg-tile.png -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/assets/favicon.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/Software/Templates/frontpanel-react-app/assets/favicon.ico -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/assets/logo192.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/Software/Templates/frontpanel-react-app/assets/logo192.png -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/assets/logo512.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/Software/Templates/frontpanel-react-app/assets/logo512.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy1.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy1.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy2.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy2.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy3.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy3.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy4.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy4.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy5.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy5.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy6.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy6.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy7.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy7.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy8.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy8.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy9.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy9.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/logo.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/logo.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/util/wxrc.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/util/wxrc.exe -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/assets/favicon.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/FP-Platform/assets/favicon.ico -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/assets/logo192.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/FP-Platform/assets/logo192.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/assets/logo512.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/FP-Platform/assets/logo512.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-128.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-128.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-16.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-16.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-256.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-256.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-32.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-32.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-512.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-512.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-64.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-64.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy10.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy10.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy11.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy11.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy12.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy12.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy13.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy13.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy14.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy14.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy15.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy15.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy16.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy16.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy17.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy17.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy18.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy18.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy19.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy19.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy20.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy20.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy21.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy21.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy22.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy22.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy23.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy23.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy24.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy24.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy25.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy25.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy26.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy26.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy27.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy27.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy28.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy28.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy29.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy29.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy30.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy30.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy31.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy31.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy32.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy32.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy33.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy33.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy34.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy34.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy35.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy35.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy36.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy36.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy37.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy37.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy38.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy38.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy39.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/busy39.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FreeMat/okSleepMS.m: -------------------------------------------------------------------------------- 1 | function sleepms(ms) 2 | x=clocktotime(clock); 3 | y=clocktotime(clock); 4 | while ( 1000*(y-x) < ms ) 5 | y = clocktotime(clock); 6 | end -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/ok-camera-logo.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/ok-camera-logo.png -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/assets/favicon.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/DAC-ADC/Software/FP-Platform/assets/favicon.ico -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/assets/logo192.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/DAC-ADC/Software/FP-Platform/assets/logo192.png -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/assets/logo512.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/DAC-ADC/Software/FP-Platform/assets/logo512.png -------------------------------------------------------------------------------- /ExampleProjects/DAC_Sample/XEM8320/Cxx/include/okFrontPanel.dll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/DAC_Sample/XEM8320/Cxx/include/okFrontPanel.dll -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/hw-description/system.xsa: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/BoardTools/BRK1900/PetaLinux/project-spec/hw-description/system.xsa -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-1024.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Cxx/okCameraApp/icon/icon-1024.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/assets/favicon.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/FP-Platform-HLS/assets/favicon.ico -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/assets/logo192.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/FP-Platform-HLS/assets/logo192.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/assets/logo512.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/FP-Platform-HLS/assets/logo512.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/favicon.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/favicons/favicon.ico -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/ok-camera-logomark.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/ok-camera-logomark.png -------------------------------------------------------------------------------- /BoardTools/XEM8350/ClockConfig/Si5338E-B10680-GM-v0-Project.slabtimeproj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/BoardTools/XEM8350/ClockConfig/Si5338E-B10680-GM-v0-Project.slabtimeproj -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/mstile-70x70.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/favicons/mstile-70x70.png -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/assets/favicon.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/assets/favicon.ico -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/assets/logo512.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/assets/logo512.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/Megafunctions/extclk_pll_inst.v: -------------------------------------------------------------------------------- 1 | extclk_pll extclk_pll_inst ( 2 | .areset ( areset_sig ), 3 | .inclk0 ( inclk0_sig ), 4 | .c0 ( c0_sig ), 5 | .locked ( locked_sig ) 6 | ); 7 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/Megafunctions/pixclk_pll_inst.v: -------------------------------------------------------------------------------- 1 | pixclk_pll pixclk_pll_inst ( 2 | .areset ( areset_sig ), 3 | .inclk0 ( inclk0_sig ), 4 | .c0 ( c0_sig ), 5 | .locked ( locked_sig ) 6 | ); 7 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/favicon-16x16.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/favicons/favicon-16x16.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/favicon-32x32.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/favicons/favicon-32x32.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/mstile-144x144.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/favicons/mstile-144x144.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/mstile-150x150.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/favicons/mstile-150x150.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/mstile-310x150.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/favicons/mstile-310x150.png -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/mstile-310x310.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/favicons/mstile-310x310.png -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/assets/multidaq.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/assets/multidaq.bit -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/setclk-reboot-init/README.md: -------------------------------------------------------------------------------- 1 | Please read more about this startup script at: 2 | https://docs.opalkelly.com/ecm1900/brk1900-breakout-board/brk1900-linux-image/ 3 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/apple-touch-icon.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/favicons/apple-touch-icon.png -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/assets/favicon.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/EthernetExampleDesign/Software/FP-Platform/assets/favicon.ico -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/assets/logo192.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/EthernetExampleDesign/Software/FP-Platform/assets/logo192.png -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/assets/logo512.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/EthernetExampleDesign/Software/FP-Platform/assets/logo512.png -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-kernel/linux/linux-xlnx_%.bbappend: -------------------------------------------------------------------------------- 1 | FILESEXTRAPATHS_prepend := "${THISDIR}/${PN}:" 2 | 3 | SRC_URI_append = " file://bsp.cfg" 4 | KERNEL_FEATURES_append = " bsp.cfg" 5 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/.prettierrc: -------------------------------------------------------------------------------- 1 | { 2 | "printWidth": 100, 3 | "tabWidth": 4, 4 | "useTabs": false, 5 | "bracketSameLine": true, 6 | "endOfLine":"auto", 7 | "trailingComma": "none" 8 | } 9 | -------------------------------------------------------------------------------- /.gitmodules: -------------------------------------------------------------------------------- 1 | [submodule "ExampleProjects/Camera/HDL/XEM8320/SZG-Camera-HLS/HLS/Vitis_Libraries"] 2 | path = ExampleProjects/Camera/HDL/XEM8320/SZG-Camera-HLS/HLS/Vitis_Libraries 3 | url = https://github.com/Xilinx/Vitis_Libraries.git 4 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/HPC/altmemphy-library/auk_ddr_hp_controller.ocp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/HDL/ZEM4310/HPC/altmemphy-library/auk_ddr_hp_controller.ocp -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/.prettierrc: -------------------------------------------------------------------------------- 1 | { 2 | "printWidth": 100, 3 | "tabWidth": 4, 4 | "useTabs": false, 5 | "bracketSameLine": true, 6 | "endOfLine":"auto", 7 | "trailingComma": "none" 8 | } 9 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/android-chrome-192x192.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/Software/Web/src/images/favicons/android-chrome-192x192.png -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/.prettierrc: -------------------------------------------------------------------------------- 1 | { 2 | "printWidth": 100, 3 | "tabWidth": 4, 4 | "useTabs": false, 5 | "bracketSameLine": true, 6 | "endOfLine":"auto", 7 | "trailingComma": "none" 8 | } 9 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/webpack.prod.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'production', 7 | }); 8 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/HPC/ddr2_interface_example_top.sdc: -------------------------------------------------------------------------------- 1 | set_false_path -from * -to [get_ports "pnf"] 2 | set_false_path -from * -to [get_ports "test_complete"] 3 | set_false_path -from * -to [get_ports "pnf_per_byte\[*\]"] 4 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/.prettierrc: -------------------------------------------------------------------------------- 1 | { 2 | "printWidth": 100, 3 | "tabWidth": 4, 4 | "useTabs": false, 5 | "bracketSameLine": true, 6 | "endOfLine":"auto", 7 | "trailingComma": "none" 8 | } 9 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/webpack.prod.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'production', 7 | }); 8 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/webpack.prod.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'production', 7 | }); 8 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/webpack.prod.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'production', 7 | }); 8 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/attributes: -------------------------------------------------------------------------------- 1 | #Virtual Providers 2 | 3 | 4 | 5 | #defconfigs 6 | 7 | UBOOT_DEFAULT_DEFCONFIG="xilinx_zynqmp_virt_defconfig" 8 | 9 | #atf 10 | CONFIG_SUBSYSTEM_PRELOADED_BL33_BASE="0x8000000" 11 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/.prettierrc: -------------------------------------------------------------------------------- 1 | { 2 | "printWidth": 100, 3 | "tabWidth": 4, 4 | "useTabs": false, 5 | "bracketSameLine": true, 6 | "endOfLine":"auto", 7 | "trailingComma": "none" 8 | } 9 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/webpack.prod.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'production', 7 | }); 8 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/webpack.prod.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'production', 7 | }); 8 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/Megafunctions/ram16x8_inst.v: -------------------------------------------------------------------------------- 1 | ram16x8 ram16x8_inst ( 2 | .clock ( clock_sig ), 3 | .data ( data_sig ), 4 | .rdaddress ( rdaddress_sig ), 5 | .wraddress ( wraddress_sig ), 6 | .wren ( wren_sig ), 7 | .q ( q_sig ) 8 | ); 9 | -------------------------------------------------------------------------------- /HDLComponents/FrontPanelToAxiLiteBridge/.gitignore: -------------------------------------------------------------------------------- 1 | # Python related ignores 2 | __pycache__/ 3 | 4 | # Pytest 5 | .pytest_cache/ 6 | 7 | # Xilinx 8 | .Xil/ 9 | 10 | # Directories in 'gateware' you want to exclude 11 | gateware/vivado/ 12 | gateware/sim_build/ 13 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/device-tree/files/pl-custom.dtsi: -------------------------------------------------------------------------------- 1 | /*Add pl custom nodes for pl.dtsi which is generated from base xsa file. 2 | Changes in this file reflects only when enabled the FPGA manager/Device tree overlay.*/ 3 | / { 4 | }; 5 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/HPC/ddr2_high_performance_controller-library/auk_ddr_hp_controller.ocp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/ExampleProjects/Camera/HDL/ZEM4310/HPC/ddr2_high_performance_controller-library/auk_ddr_hp_controller.ocp -------------------------------------------------------------------------------- /ExampleProjects/Camera/README.md: -------------------------------------------------------------------------------- 1 | # Camera Example Design 2 | 3 | ## Overview 4 | 5 | For more details on this sample, such as functionality and how-to guides, please visit the [documentation on our website.](https://docs.opalkelly.com/fpsdk/samples-and-tools/camera-example-design/) 6 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FreeMat/okCameraLogicReset.m: -------------------------------------------------------------------------------- 1 | function okCameraLogicReset(dev) 2 | okFPSetWireInValue(dev, hex2dec('00'), hex2dec('0008'), hex2dec('0008')); 3 | okFPUpdateWireIns(dev); 4 | okFPSetWireInValue(dev, hex2dec('00'), hex2dec('0000'), hex2dec('0008')); 5 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/set-clock-ecm1900/files/ECM1900-Si5341-Regs.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/opalkelly-opensource/design-resources/HEAD/BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/set-clock-ecm1900/files/ECM1900-Si5341-Regs.h -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/README.md: -------------------------------------------------------------------------------- 1 | # High Speed DAC/ADC Example Design 2 | 3 | ## Overview 4 | 5 | For more details on this sample, such as functionality and how-to guides, please visit the [documentation on our website.](https://docs.opalkelly.com/fpsdk/samples-and-tools/dac-adc-example-design/) 6 | -------------------------------------------------------------------------------- /BoardTools/XEM8350/ClockConfig/README.md: -------------------------------------------------------------------------------- 1 | # Clock Builder Pro Project Files 2 | 3 | This subfolder contains the The SiLabs [ClockBuilder Pro](https://www.silabs.com/developers/clockbuilder-pro-software) project files that can be used 4 | to change the default output frequencies used on the Si5338 (XEM8350). -------------------------------------------------------------------------------- /ExampleProjects/DisplayPort/XEM8320/README.md: -------------------------------------------------------------------------------- 1 | # DisplayPort Example Design 2 | 3 | This example design's overview, requirements, tutorials, and how-to's are located at: [DisplayPort Example Design Documenatation](https://docs.opalkelly.com/syzygy-peripherals/szg-displayport/displayport-example-design/) 4 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/README.md: -------------------------------------------------------------------------------- 1 | # FrontPanel Platform Camera Example 2 | 3 | ## Overview 4 | 5 | For more details on this sample, such as functionality and how-to guides, please visit the [documentation on our website.](https://docs.opalkelly.com/fpsdk/samples-and-tools/camera-example-design/) 6 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/README.md: -------------------------------------------------------------------------------- 1 | # FrontPanel 6 FFT Application 2 | 3 | ## Overview 4 | 5 | For more details on this sample, such as functionality and how-to guides, please visit the [documentation on our website.](https://docs.opalkelly.com/fpsdk/samples-and-tools/sample-fft-signal-generator/) 6 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/README.md: -------------------------------------------------------------------------------- 1 | # FrontPanel Alloy Signal Generator and ADC Example Design 2 | 3 | ## Overview 4 | 5 | For more details on this sample, such as functionality and how-to guides, please visit the [documentation on our website.](https://docs.opalkelly.com/fpsdk/samples-and-tools/multidaq/) 6 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/README.md: -------------------------------------------------------------------------------- 1 | # FrontPanel 6 Multi-DAQ Application 2 | 3 | ## Overview 4 | 5 | For more details on this sample, such as functionality and how-to guides, please visit the [documentation on our website.](https://docs.opalkelly.com/fpsdk/samples-and-tools/multidaq/) 6 | -------------------------------------------------------------------------------- /HDLComponents/I2CController/Simulation/isim_build.bat: -------------------------------------------------------------------------------- 1 | fuse -intstyle ise ^ 2 | -incremental ^ 3 | -lib unisims_ver ^ 4 | -lib unimacro_ver ^ 5 | -lib xilinxcorelib_ver ^ 6 | -L secureip ^ 7 | -i ./oksim ^ 8 | -o tf_isim.exe ^ 9 | -prj isim.prj ^ 10 | work.tf work.glbl -------------------------------------------------------------------------------- /HDLComponents/I2CController/Simulation/isim_simulate.bat: -------------------------------------------------------------------------------- 1 | REM DES Simulation Batch File 2 | REM $Rev: 2 $ $Date: 2015-02-06 19:55:07 -0800 (Fri, 06 Feb 2015) $ 3 | 4 | REM Edit path for settings32/64, depending on architecture 5 | call %XILINX%\..\settings64.bat 6 | 7 | tf_isim.exe -gui -tclbatch isim.tcl -wdb isim.wdb -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/src/FrontPanel.css: -------------------------------------------------------------------------------- 1 | .ControlPanel { 2 | display: flex; 3 | flex-direction: column; 4 | align-content: stretch; 5 | background-color: rgb(255, 255, 255); 6 | padding: 10px; 7 | margin: 10px; 8 | gap: 8px; 9 | border-radius: 8px; 10 | } 11 | -------------------------------------------------------------------------------- /HDLComponents/I2CController/Simulation/isim.prj: -------------------------------------------------------------------------------- 1 | verilog work ../src/i2cController.v 2 | verilog work ../src/i2cTokenizer.v 3 | verilog work ../src/mux8to1.v 4 | verilog work ../src/okDRAM64X8D.v 5 | verilog work ../Models/24LC64.v 6 | verilog work ../Models/24LC02B.v 7 | verilog work ./i2c_tf.v 8 | verilog work ./glbl.v 9 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/README.md: -------------------------------------------------------------------------------- 1 | # FrontPanel Platform Camera-HLS Example 2 | 3 | ## Overview 4 | 5 | For more details on this sample, such as functionality and how-to guides, please visit the [documentation on our website.](https://docs.opalkelly.com/fpsdk/samples-and-tools/camera-example-design/) 6 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM6006/Core/coregen.cgp: -------------------------------------------------------------------------------- 1 | SET busformat = BusFormatAngleBracketNotRipped 2 | SET designentry = Advanced 3 | SET device = xc6slx45 4 | SET devicefamily = spartan6 5 | SET flowvendor = Other 6 | SET package = fgg484 7 | SET speedgrade = -2 8 | SET verilogsim = true 9 | SET vhdlsim = true 10 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM6006/MIG/coregen.cgp: -------------------------------------------------------------------------------- 1 | SET busformat = BusFormatAngleBracketNotRipped 2 | SET designentry = Verilog 3 | SET device = xc6slx16 4 | SET devicefamily = spartan6 5 | SET flowvendor = Other 6 | SET package = ftg256 7 | SET speedgrade = -2 8 | SET verilogsim = true 9 | SET vhdlsim = false 10 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM6010/Core/coregen.cgp: -------------------------------------------------------------------------------- 1 | SET busformat = BusFormatAngleBracketNotRipped 2 | SET designentry = Advanced 3 | SET device = xc6slx45 4 | SET devicefamily = spartan6 5 | SET flowvendor = Other 6 | SET package = fgg484 7 | SET speedgrade = -2 8 | SET verilogsim = true 9 | SET vhdlsim = true 10 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM6010/MIG/coregen.cgp: -------------------------------------------------------------------------------- 1 | SET busformat = BusFormatAngleBracketNotRipped 2 | SET designentry = Advanced 3 | SET device = xc6slx45 4 | SET devicefamily = spartan6 5 | SET flowvendor = Other 6 | SET package = fgg484 7 | SET speedgrade = -2 8 | SET verilogsim = true 9 | SET vhdlsim = true 10 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM6310/Core/coregen.cgp: -------------------------------------------------------------------------------- 1 | SET busformat = BusFormatAngleBracketNotRipped 2 | SET designentry = Advanced 3 | SET device = xc6slx45 4 | SET devicefamily = spartan6 5 | SET flowvendor = Other 6 | SET package = fgg484 7 | SET speedgrade = -2 8 | SET verilogsim = true 9 | SET vhdlsim = true 10 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM6310/MIG/coregen.cgp: -------------------------------------------------------------------------------- 1 | SET busformat = BusFormatAngleBracketNotRipped 2 | SET designentry = Advanced 3 | SET device = xc6slx45 4 | SET devicefamily = spartan6 5 | SET flowvendor = Other 6 | SET package = fgg484 7 | SET speedgrade = -2 8 | SET verilogsim = true 9 | SET vhdlsim = true 10 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/webpack.dev.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'development', 7 | devtool: 'inline-source-map', 8 | devServer: { 9 | static: './dist', 10 | }, 11 | }); 12 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/webpack.dev.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'development', 7 | devtool: 'inline-source-map', 8 | devServer: { 9 | static: './dist', 10 | }, 11 | }); 12 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/pug/partials/layout.pug: -------------------------------------------------------------------------------- 1 | doctype html 2 | 3 | html(lang="en", prefix="og: http://ogp.me/ns#") 4 | 5 | include _variables 6 | 7 | include _header 8 | 9 | body(itemscope, itemtype="http://schema.org/WebPage") 10 | 11 | block content 12 | 13 | include _footer 14 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/webpack.dev.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'development', 7 | devtool: 'inline-source-map', 8 | devServer: { 9 | static: './dist', 10 | }, 11 | }); 12 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/README.md: -------------------------------------------------------------------------------- 1 | # FrontPanel Platform Ethernet Example 2 | 3 | ## Overview 4 | 5 | For more details on this sample, such as functionality and how-to guides, please visit the [documentation on our website.](https://docs.opalkelly.com/fpsdk/samples-and-tools/ethernet-reference-design/) 6 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/config.project: -------------------------------------------------------------------------------- 1 | # 2 | # Automatically generated file; DO NOT EDIT. 3 | # PetaLinux SDK Project Configuration 4 | # 5 | CONFIG_PROJECT_ADDITIONAL_COMPONENTS_SEARCH_PATH="" 6 | 7 | # 8 | # Subsystems of the project 9 | # 10 | CONFIG_PROJECT_SUBSYSTEM_LINUX_INSTANCE_LINUX=y 11 | CONFIG_PROJECT_SUBSYSTEMS=y 12 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/webpack.dev.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'development', 7 | devtool: 'inline-source-map', 8 | devServer: { 9 | static: './dist', 10 | }, 11 | }); 12 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/src/App.css: -------------------------------------------------------------------------------- 1 | .App { 2 | text-align: center; 3 | background-color: #282c34; 4 | min-height: 100vh; 5 | display: flex; 6 | flex-direction: row; 7 | align-items: center; 8 | justify-content: center; 9 | font-size: calc(10px + 2vmin); 10 | color: white; 11 | } 12 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/.posthtmlrc.js: -------------------------------------------------------------------------------- 1 | // Configure PostHTML plugins with Parcel. 2 | module.exports = { 3 | plugins: { 4 | 'posthtml-include': { 5 | root: `${__dirname}/node_modules/@opalkelly/frontpanel-samples-common` 6 | }, 7 | 'posthtml-beautify': { 8 | blankLines: false 9 | } 10 | } 11 | }; 12 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/webpack.dev.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | 3 | const common = require('./webpack.common.js'); 4 | 5 | module.exports = merge(common, { 6 | mode: 'development', 7 | devtool: 'inline-source-map', 8 | devServer: { 9 | static: './dist', 10 | }, 11 | }); 12 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/site.webmanifest: -------------------------------------------------------------------------------- 1 | { 2 | "name": "FrontPanel Camera Sample", 3 | "icons": [ 4 | { 5 | "src": "android-chrome-192x192.png", 6 | "sizes": "192x192", 7 | "type": "image/png" 8 | } 9 | ], 10 | "display": "standalone" 11 | } 12 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/gpio-demo/files/Makefile: -------------------------------------------------------------------------------- 1 | APP = gpio-demo 2 | 3 | # Add any other object files to this list below 4 | APP_OBJS = gpio-demo.o 5 | 6 | all: $(APP) 7 | 8 | $(APP): $(APP_OBJS) 9 | $(CC) $(LDFLAGS) -o $@ $(APP_OBJS) $(LDLIBS) 10 | 11 | clean: 12 | -rm -f $(APP) *.elf *.gdb *.o 13 | 14 | 15 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/u-boot/files/user_2022-10-06-22-41-00.cfg: -------------------------------------------------------------------------------- 1 | CONFIG_AUTOBOOT_KEYED=y 2 | CONFIG_AUTOBOOT_PROMPT="Autoboot in 2 seconds. Type 'stop' to interrupt.\n" 3 | # CONFIG_AUTOBOOT_ENCRYPTION is not set 4 | CONFIG_AUTOBOOT_DELAY_STR="" 5 | CONFIG_AUTOBOOT_STOP_STR="stop" 6 | # CONFIG_AUTOBOOT_KEYED_CTRLC is not set 7 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FreeMat/snap_display.m: -------------------------------------------------------------------------------- 1 | y = 2592; 2 | z = 1944; 3 | x = rawread('output', [1,y*z], 'uint8', 'be'); 4 | xx = double(reshape(x, y, z))'; 5 | xc = zeros(z/2, y/2, 3); 6 | xc(:,:,1) = xx(1:2:z, 2:2:y) / 256; % RED 7 | xc(:,:,2) = xx(1:2:z, 1:2:y) / 256; % GREEN 8 | xc(:,:,3) = xx(2:2:z, 1:2:y) / 256; % BLUE 9 | figure(1);image(xc); 10 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/set-clock-brk1900/files/Makefile: -------------------------------------------------------------------------------- 1 | APP = set-clock-brk1900 2 | 3 | # Add any other object files to this list below 4 | APP_OBJS = set-clock-brk1900.o 5 | 6 | all: build 7 | 8 | build: $(APP) 9 | 10 | $(APP): $(APP_OBJS) 11 | $(CXX) -o $@ $(APP_OBJS) $(LDFLAGS) $(LDLIBS) 12 | clean: 13 | rm -f $(APP) *.o 14 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/set-clock-ecm1900/files/Makefile: -------------------------------------------------------------------------------- 1 | APP = set-clock-ecm1900 2 | 3 | # Add any other object files to this list below 4 | APP_OBJS = set-clock-ecm1900.o 5 | 6 | all: build 7 | 8 | build: $(APP) 9 | 10 | $(APP): $(APP_OBJS) 11 | $(CXX) -o $@ $(APP_OBJS) $(LDFLAGS) $(LDLIBS) 12 | clean: 13 | rm -f $(APP) *.o 14 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/syzygy-ecm1900/files/Makefile: -------------------------------------------------------------------------------- 1 | APP = syzygy-ecm1900 2 | 3 | # Add any other object files to this list below 4 | APP_OBJS = syzygy-ecm1900.o syzygy.o 5 | 6 | all: build 7 | 8 | build: $(APP) 9 | 10 | $(APP): $(APP_OBJS) 11 | $(CXX) -o $@ $(APP_OBJS) $(LDFLAGS) $(LDLIBS) 12 | clean: 13 | rm -f $(APP) *.o 14 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/ClockConfig/README.md: -------------------------------------------------------------------------------- 1 | # Clock Builder Pro Project Files 2 | 3 | This subfolder is not used during the PetaLinux build process. 4 | 5 | This subfolder contains the The SiLabs [ClockBuilder Pro](https://www.silabs.com/developers/clockbuilder-pro-software) project files that can be used 6 | to change the default output frequencies used on the Si5341 (ECM1900) and Si5338 (BRK1900). -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/conf/user-rootfsconfig: -------------------------------------------------------------------------------- 1 | #Note: Mention Each package in individual line 2 | #These packages will get added into rootfs menu entry 3 | 4 | CONFIG_gpio-demo 5 | CONFIG_peekpoke 6 | CONFIG_set-clock-brk1900 7 | CONFIG_setclk-reboot-init 8 | CONFIG_set-clock-ecm1900 9 | CONFIG_syzygy-ecm1900 10 | CONFIG_device-sensors 11 | CONFIG_fan-control 12 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/src/Utilities.tsx: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | export function sleep(ms: number): Promise { 9 | return new Promise((resolve) => setTimeout(resolve, ms)); 10 | } 11 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/SignalCaptureView.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .SignalCaptureChartContainer { 9 | position: relative; 10 | width: 100%; 11 | height: 100%; 12 | } 13 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/src/Utilities.tsx: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | export function sleep(ms: number): Promise { 9 | return new Promise((resolve) => setTimeout(resolve, ms)); 10 | } 11 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/SpectrumAnalyzerView.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okSpectrumAnalyzerChartContainer { 9 | position: relative; 10 | width: 100%; 11 | height: 100%; 12 | } 13 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/HPC/ddr2_interface_phy_alt_mem_phy_pll.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name IP_TOOL_NAME "ALTPLL" 2 | set_global_assignment -name IP_TOOL_VERSION "13.1" 3 | set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "ddr2_interface_phy_alt_mem_phy_pll.v"] 4 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ddr2_interface_phy_alt_mem_phy_pll_bb.v"] 5 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/Vector.ts: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | /** 9 | * Type representing a two-dimensional vector. 10 | */ 11 | export type Vector2D = { 12 | x: number; 13 | y: number; 14 | }; 15 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/Megafunctions/ram16x8.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name IP_TOOL_NAME "RAM: 2-PORT" 2 | set_global_assignment -name IP_TOOL_VERSION "13.1" 3 | set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "ram16x8.v"] 4 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ram16x8_inst.v"] 5 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ram16x8_bb.v"] 6 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/ScopeControl.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .ScopeContentPanel { 9 | flex: 0 1 auto; 10 | align-self: flex-start; 11 | gap: 8px; 12 | padding: 6px; 13 | margin: 6px; 14 | } 15 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL-I2C/buildngc.scr: -------------------------------------------------------------------------------- 1 | run 2 | -ifn .\i2cController.prj 3 | -ofn .\i2cController-s3.ngc 4 | -top i2cController 5 | -ifmt mixed 6 | -opt_mode area 7 | -opt_level 1 8 | -p xc3s400-4pq208 9 | -iobuf no 10 | 11 | run 12 | -ifn .\i2cController.prj 13 | -ofn .\i2cController-s6.ngc 14 | -top i2cController 15 | -ifmt mixed 16 | -opt_mode area 17 | -opt_level 1 18 | -p xc6slx45-2fgg484 19 | -iobuf no 20 | 21 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/Megafunctions/fifo_w64_1024_r32_2048_inst.v: -------------------------------------------------------------------------------- 1 | fifo_w64_1024_r32_2048 fifo_w64_1024_r32_2048_inst ( 2 | .aclr ( aclr_sig ), 3 | .data ( data_sig ), 4 | .rdclk ( rdclk_sig ), 5 | .rdreq ( rdreq_sig ), 6 | .wrclk ( wrclk_sig ), 7 | .wrreq ( wrreq_sig ), 8 | .q ( q_sig ), 9 | .rdempty ( rdempty_sig ), 10 | .rdusedw ( rdusedw_sig ), 11 | .wrfull ( wrfull_sig ), 12 | .wrusedw ( wrusedw_sig ) 13 | ); 14 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/Megafunctions/fifo_w64_1024_r64_1024_inst.v: -------------------------------------------------------------------------------- 1 | fifo_w64_1024_r64_1024 fifo_w64_1024_r64_1024_inst ( 2 | .aclr ( aclr_sig ), 3 | .data ( data_sig ), 4 | .rdclk ( rdclk_sig ), 5 | .rdreq ( rdreq_sig ), 6 | .wrclk ( wrclk_sig ), 7 | .wrreq ( wrreq_sig ), 8 | .q ( q_sig ), 9 | .rdempty ( rdempty_sig ), 10 | .rdusedw ( rdusedw_sig ), 11 | .wrfull ( wrfull_sig ), 12 | .wrusedw ( wrusedw_sig ) 13 | ); 14 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FreeMat/okCameraInitialize.m: -------------------------------------------------------------------------------- 1 | function okCameraInitialize(dev) 2 | okFPLoadDefaultPLLConfiguration(dev); 3 | brd = okFPGetBoardModel(dev); 4 | if (brd == 13) 5 | okFPConfigureFPGA(dev, 'evb1005-xem6010-lx45.bit'); 6 | elseif (brd == 17) 7 | okFPConfigureFPGA(dev, 'evb1006-xem6006-lx16.bit'); 8 | else 9 | disp('Unrecognized Opal Kelly module.'); 10 | end 11 | 12 | % Reset 13 | okCameraFullReset(dev); 14 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/conf/layer.conf: -------------------------------------------------------------------------------- 1 | # We have a conf and classes directory, add to BBPATH 2 | BBPATH .= ":${LAYERDIR}" 3 | 4 | # We have recipes-* directories, add to BBFILES 5 | BBFILES += "${LAYERDIR}/recipes-*/*/*.bb \ 6 | ${LAYERDIR}/recipes-*/*/*.bbappend" 7 | 8 | BBFILE_COLLECTIONS += "meta-user" 9 | BBFILE_PATTERN_meta-user = "^${LAYERDIR}/" 10 | BBFILE_PRIORITY_meta-user = "7" 11 | LAYERSERIES_COMPAT_meta-user = "gatesgarth" 12 | -------------------------------------------------------------------------------- /BoardTools/.gitignore: -------------------------------------------------------------------------------- 1 | */PetaLinux/*/*/config.old 2 | */PetaLinux/*/*/rootfs_config.old 3 | */PetaLinux/build/ 4 | */PetaLinux/project-spec/meta-user/COPYING.MIT 5 | */PetaLinux/project-spec/meta-user/README 6 | */PetaLinux/images/linux/ 7 | */PetaLinux/pre-built/linux/ 8 | */PetaLinux/.petalinux/* 9 | !*/PetaLinux/.petalinux/metadata 10 | */PetaLinux/*.o 11 | */PetaLinux/*.jou 12 | */PetaLinux/*.log 13 | */PetaLinux/components/plnx_workspace 14 | */PetaLinux/components/yocto 15 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/src/HistogramView.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okHistogramChartPanel { 9 | min-width: 300px; 10 | height: 300px; 11 | } 12 | 13 | .okHistogramChartContainer { 14 | position: relative; 15 | width: 100%; 16 | height: 100%; 17 | } 18 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/peekpoke/files/Makefile: -------------------------------------------------------------------------------- 1 | PEEK = peek 2 | POKE = poke 3 | 4 | # Add any other object files to this list below 5 | PEEK_OBJS = peek.o 6 | POKE_OBJS = poke.o 7 | 8 | all: $(PEEK) $(POKE) 9 | 10 | $(POKE): $(POKE_OBJS) 11 | $(CC) $(LDFLAGS) -o $@ $(POKE_OBJS) $(LDLIBS) 12 | 13 | $(PEEK): $(PEEK_OBJS) 14 | $(CC) $(LDFLAGS) -o $@ $(PEEK_OBJS) $(LDLIBS) 15 | 16 | clean: 17 | -rm -f $(POKE) $(PEEK) *.elf *.gdb *.o 18 | 19 | 20 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/Megafunctions/fifo_w64_1024_r32_2048.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name IP_TOOL_NAME "FIFO" 2 | set_global_assignment -name IP_TOOL_VERSION "13.1" 3 | set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "fifo_w64_1024_r32_2048.v"] 4 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "fifo_w64_1024_r32_2048_inst.v"] 5 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "fifo_w64_1024_r32_2048_bb.v"] 6 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/Megafunctions/fifo_w64_1024_r64_1024.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name IP_TOOL_NAME "FIFO" 2 | set_global_assignment -name IP_TOOL_VERSION "13.1" 3 | set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "fifo_w64_1024_r64_1024.v"] 4 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "fifo_w64_1024_r64_1024_inst.v"] 5 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "fifo_w64_1024_r64_1024_bb.v"] 6 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/.gitignore: -------------------------------------------------------------------------------- 1 | # See https://help.github.com/articles/ignoring-files/ for more about ignoring files. 2 | 3 | # dependencies 4 | /node_modules 5 | /.pnp 6 | .pnp.js 7 | 8 | # testing 9 | /coverage 10 | 11 | # production 12 | /dist 13 | /output 14 | 15 | # misc 16 | .DS_Store 17 | .env.local 18 | .env.development.local 19 | .env.test.local 20 | .env.production.local 21 | 22 | npm-debug.log* 23 | yarn-debug.log* 24 | yarn-error.log* 25 | 26 | # bitfiles 27 | *.bit -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/.gitignore: -------------------------------------------------------------------------------- 1 | # See https://help.github.com/articles/ignoring-files/ for more about ignoring files. 2 | 3 | # dependencies 4 | /node_modules 5 | /.pnp 6 | .pnp.js 7 | 8 | # testing 9 | /coverage 10 | 11 | # production 12 | /dist 13 | /output 14 | 15 | # misc 16 | .DS_Store 17 | .env.local 18 | .env.development.local 19 | .env.test.local 20 | .env.production.local 21 | 22 | npm-debug.log* 23 | yarn-debug.log* 24 | yarn-error.log* 25 | 26 | # bitfiles 27 | *.bit -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/.gitignore: -------------------------------------------------------------------------------- 1 | # See https://help.github.com/articles/ignoring-files/ for more about ignoring files. 2 | 3 | # dependencies 4 | /node_modules 5 | /.pnp 6 | .pnp.js 7 | 8 | # testing 9 | /coverage 10 | 11 | # production 12 | /dist 13 | /output 14 | 15 | # misc 16 | .DS_Store 17 | .env.local 18 | .env.development.local 19 | .env.test.local 20 | .env.production.local 21 | 22 | npm-debug.log* 23 | yarn-debug.log* 24 | yarn-error.log* 25 | 26 | # bitfiles 27 | *.bit -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/FrequencyVectorComponent.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .FrequencyVectorPanel { 9 | display: flex; 10 | flex-direction: row; 11 | align-items: center; 12 | justify-content: center; 13 | gap: 8px; 14 | width: 100%; 15 | height: 100%; 16 | } 17 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/webpack.dev.js: -------------------------------------------------------------------------------- 1 | const { merge } = require('webpack-merge'); 2 | const common = require('./webpack.common.js'); 3 | 4 | module.exports = merge(common, { 5 | mode: 'development', 6 | devtool: 'inline-source-map', 7 | devServer: { 8 | static: './dist', 9 | }, 10 | stats: { 11 | assets: true, 12 | modules: true, 13 | warnings: true, 14 | errors: true, 15 | errorDetails: true, 16 | children: true, 17 | }, 18 | }); 19 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/.gitignore: -------------------------------------------------------------------------------- 1 | # See https://help.github.com/articles/ignoring-files/ for more about ignoring files. 2 | 3 | # dependencies 4 | /node_modules 5 | /.pnp 6 | .pnp.js 7 | 8 | # testing 9 | /coverage 10 | 11 | # production 12 | /dist 13 | /output 14 | 15 | # misc 16 | .DS_Store 17 | .env.local 18 | .env.development.local 19 | .env.test.local 20 | .env.production.local 21 | 22 | npm-debug.log* 23 | yarn-debug.log* 24 | yarn-error.log* 25 | 26 | # bitfiles 27 | *.bit 28 | -------------------------------------------------------------------------------- /HDLComponents/FFT/examples/rfft32_i14_o19/tb/inputRealValues.txt: -------------------------------------------------------------------------------- 1 | -0.807799 2 | 0.118971 3 | -0.135519 4 | -0.506291 5 | 0.166994 6 | 0.203769 7 | 0.582496 8 | 0.176997 9 | -0.153130 10 | 0.800605 11 | 0.784518 12 | 0.889550 13 | 0.868972 14 | 0.189316 15 | -0.297856 16 | 0.338144 17 | -0.961814 18 | 0.008819 19 | 0.896028 20 | -0.460653 21 | 0.762982 22 | 0.614091 23 | -0.905548 24 | 0.032174 25 | 0.506144 26 | 0.492280 27 | 0.089580 28 | -0.488347 29 | -0.628107 30 | 0.947929 31 | -0.075198 32 | 0.454417 33 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/.gitignore: -------------------------------------------------------------------------------- 1 | # See https://help.github.com/articles/ignoring-files/ for more about ignoring files. 2 | 3 | # dependencies 4 | /node_modules 5 | /.pnp 6 | .pnp.js 7 | 8 | # testing 9 | /coverage 10 | 11 | # production 12 | /dist 13 | /output 14 | 15 | # misc 16 | .DS_Store 17 | .env.local 18 | .env.development.local 19 | .env.test.local 20 | .env.production.local 21 | 22 | npm-debug.log* 23 | yarn-debug.log* 24 | yarn-error.log* 25 | 26 | # bitfiles 27 | *.bit -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/tslint.json: -------------------------------------------------------------------------------- 1 | { 2 | "extends": ["tslint:latest", "tslint-config-prettier", "tslint-immutable"], 3 | "defaultSeverity": "error", 4 | "jsRules": {}, 5 | "rules": { 6 | "no-bitwise": false, 7 | "object-literal-sort-keys": false, 8 | "variable-name": { 9 | "options": [ 10 | "ban-keywords", 11 | "check-format", 12 | "allow-leading-underscore", 13 | "allow-pascal-case" 14 | ] 15 | } 16 | }, 17 | "rulesDirectory": [] 18 | } -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/.gitignore: -------------------------------------------------------------------------------- 1 | # See https://help.github.com/articles/ignoring-files/ for more about ignoring files. 2 | 3 | # dependencies 4 | /node_modules 5 | /.pnp 6 | .pnp.js 7 | 8 | # testing 9 | /coverage 10 | 11 | # production 12 | /dist 13 | /output 14 | 15 | # misc 16 | .DS_Store 17 | .env.local 18 | .env.development.local 19 | .env.test.local 20 | .env.production.local 21 | 22 | npm-debug.log* 23 | yarn-debug.log* 24 | yarn-error.log* 25 | 26 | # bitfiles 27 | *.bit -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/pug/partials/_header.pug: -------------------------------------------------------------------------------- 1 | head 2 | title=pageTitle 3 | meta(charset="utf-8") 4 | meta(http-equiv="X-UA-Compatible", content="IE=edge") 5 | meta(name="description", content=description) 6 | meta(name="author", content=author) 7 | meta(name="viewport", content="width=device-width, initial-scale=1") 8 | 9 | //- Favicons 10 | include _favicons 11 | 12 | //- Website styles 13 | block styles 14 | link(rel="stylesheet", href=scssPath + "styles.scss") 15 | -------------------------------------------------------------------------------- /HDLComponents/FrontPanelToAxiLiteBridge/cpp_api/Makefile.lnx: -------------------------------------------------------------------------------- 1 | # Compiler settings 2 | CC=g++ 3 | CFLAGS=-I. -L. -lokFrontPanel 4 | 5 | # Files 6 | DEPS = FrontPanelToAxiLiteBridge.h okFrontPanel.h 7 | OBJ = example.o FrontPanelToAxiLiteBridge.o 8 | 9 | # Rule for object files 10 | %.o: %.cpp $(DEPS) 11 | $(CC) -c -o $@ $< $(CFLAGS) 12 | 13 | # Rule for the final executable 14 | example: $(OBJ) 15 | $(CC) -o $@ $^ $(CFLAGS) 16 | 17 | # Phony targets 18 | .PHONY: clean 19 | 20 | clean: 21 | rm -f *.o *~ core example 22 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/Megafunctions/extclk_pll.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name IP_TOOL_NAME "ALTPLL" 2 | set_global_assignment -name IP_TOOL_VERSION "13.1" 3 | set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "extclk_pll.v"] 4 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "extclk_pll_inst.v"] 5 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "extclk_pll_bb.v"] 6 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "extclk_pll.ppf"] 7 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/Megafunctions/pixclk_pll.qip: -------------------------------------------------------------------------------- 1 | set_global_assignment -name IP_TOOL_NAME "ALTPLL" 2 | set_global_assignment -name IP_TOOL_VERSION "13.1" 3 | set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pixclk_pll.v"] 4 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pixclk_pll_inst.v"] 5 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pixclk_pll_bb.v"] 6 | set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pixclk_pll.ppf"] 7 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/ListItemComponent.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okListItemComponent { 9 | display: flex; 10 | flex-direction: row; 11 | align-self: stretch; 12 | align-items: center; 13 | justify-content: flex-end; 14 | gap: 8px; 15 | 16 | border: 1px solid rgb(199, 199, 199); 17 | } 18 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM8320/SZG-Camera-HLS/README.md: -------------------------------------------------------------------------------- 1 | # Quick Start Guide 2 | 3 | ## Overview 4 | This guide outlines the necessary steps to set up and generate the bitfile using Vivado. 5 | 6 | ## Installation 7 | 8 | 1. **Generate HLS Module**: Start by generating the HLS module that the `project.tcl` file requires. 9 | 10 | 2. **Vivado**: 11 | - Navigate to `HDL\XEM8320\SZG-Camera` directory. 12 | - Follow the instructions specified in the `project.tcl` file to configure, build and generate the project to bitfile. 13 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/ListItemComponent.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okListItemComponent { 9 | display: flex; 10 | flex-direction: row; 11 | align-self: stretch; 12 | align-items: center; 13 | justify-content: flex-end; 14 | gap: 8px; 15 | 16 | border: 1px solid rgb(199, 199, 199); 17 | } 18 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/README.md: -------------------------------------------------------------------------------- 1 | # FrontPanel Application 2 | 3 | ## Getting Started 4 | 5 | Tutorials, How-To guides, Technical References, and Discussion documentation pieces are available at: [FrontPanel Platform documentation](https://docs.opalkelly.com/fpsdk/frontpanel-platform-introduction/) 6 | 7 | 8 | ## Learn More 9 | 10 | Opal Kelly documentation portal [Opal Kelly documentation](https://docs.opalkelly.com/fpsdk/samples-and-tools/) 11 | 12 | To learn React, check out the [React documentation](https://reactjs.org/). 13 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/frontpanel-app.json: -------------------------------------------------------------------------------- 1 | { 2 | "id": "frontpanel-react-app", 3 | "name": "TemplateApp", 4 | "version": "1.0.0", 5 | "author": "Opal Kelly Incorporated", 6 | "summary": "FrontPanel Application (Webpack+Typescript+React)", 7 | "icon": { "source": "assets/images/frontpanel-app-icon.svg" }, 8 | "deviceBindings": [ 9 | { "name": "Target Device", "description": "Target Device" } 10 | ], 11 | "initialContentSize": { 12 | "width": 300, 13 | "height": 420 14 | } 15 | } -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/src/index.tsx: -------------------------------------------------------------------------------- 1 | import React from "react"; 2 | 3 | import ReactDOM from "react-dom/client"; 4 | 5 | import "./index.css"; 6 | 7 | import App from "./App"; 8 | 9 | import "@radix-ui/themes/styles.css"; 10 | 11 | import { Theme } from "@radix-ui/themes"; 12 | 13 | const root = ReactDOM.createRoot(document.getElementById("root") as HTMLElement); 14 | 15 | root.render( 16 | 17 | 18 | 19 | 20 | 21 | ); 22 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/index.tsx: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | import ReactDOM from "react-dom/client"; 9 | 10 | import "./index.css"; 11 | import "../assets/multidaq.bit"; 12 | 13 | import App from "./App"; 14 | 15 | const root = ReactDOM.createRoot(document.getElementById("root") as HTMLElement); 16 | 17 | root.render( 18 | 19 | ); 20 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/src/index.tsx: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | import ReactDOM from "react-dom/client"; 9 | 10 | import "./index.css"; 11 | 12 | import "../assets/szg-camera-xem8320.bit"; 13 | 14 | import App from "./App"; 15 | 16 | const root = ReactDOM.createRoot(document.getElementById("root") as HTMLElement); 17 | 18 | root.render( 19 | 20 | ); 21 | -------------------------------------------------------------------------------- /HDLComponents/I2CController/Simulation/isim.bat: -------------------------------------------------------------------------------- 1 | REM DES Simulation Batch File 2 | REM $Rev: 2 $ $Date: 2015-02-06 19:55:07 -0800 (Fri, 06 Feb 2015) $ 3 | 4 | REM Edit path for settings32/64, depending on architecture 5 | call %XILINX%\..\settings64.bat 6 | 7 | del tf_isim.exe 8 | 9 | fuse -intstyle ise ^ 10 | -incremental ^ 11 | -lib unisims_ver ^ 12 | -lib unimacro_ver ^ 13 | -lib xilinxcorelib_ver ^ 14 | -i ./oksim ^ 15 | -o tf_isim.exe ^ 16 | -prj isim.prj ^ 17 | work.tf work.glbl 18 | tf_isim.exe -gui -tclbatch isim.tcl -wdb isim.wdb -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/src/index.tsx: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | import ReactDOM from "react-dom/client"; 9 | 10 | import "./index.css"; 11 | 12 | import "../assets/szg-camera-xem8320-hls.bit"; 13 | 14 | import App from "./App"; 15 | 16 | const root = ReactDOM.createRoot(document.getElementById("root") as HTMLElement); 17 | 18 | root.render( 19 | 20 | ); 21 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/frontpanel-app.json: -------------------------------------------------------------------------------- 1 | { 2 | "id": "ethernet-example-app", 3 | "name": "Ethernet", 4 | "version": "0.5.0", 5 | "author": "Opal Kelly Incorporated", 6 | "summary": "FrontPanel Ethernet Example App", 7 | "description": "FrontPanel Ethernet Example App", 8 | "icon": { "source": "" }, 9 | "deviceBindings": [ 10 | { "name": "Ethernet Device", "description": "Ethernet Device" } 11 | ], 12 | "initialContentSize": { 13 | "width": 1086, 14 | "height": 750 15 | } 16 | } 17 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/src/index.css: -------------------------------------------------------------------------------- 1 | 2 | html { 3 | width: 100%; 4 | height: 100%; 5 | } 6 | 7 | body { 8 | width: 100%; 9 | height: 100%; 10 | margin: 0; 11 | font-family: -apple-system, BlinkMacSystemFont, "Segoe UI", "Roboto", "Oxygen", "Ubuntu", 12 | "Cantarell", "Fira Sans", "Droid Sans", "Helvetica Neue", sans-serif; 13 | -webkit-font-smoothing: antialiased; 14 | -moz-osx-font-smoothing: grayscale; 15 | } 16 | 17 | code { 18 | font-family: source-code-pro, Menlo, Monaco, Consolas, "Courier New", monospace; 19 | } 20 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/frontpanel-app.json: -------------------------------------------------------------------------------- 1 | { 2 | "id": "camera-example-app", 3 | "name": "Camera", 4 | "version": "2.8.0", 5 | "author": "Opal Kelly Incorporated", 6 | "summary": "Machine vision image capture", 7 | "description": "Machine vision image capture", 8 | "icon": { "source": "assets/images/camera-app-logo.svg" }, 9 | "deviceBindings": [ 10 | { "name": "Camera Device", "description": "Camera Device" } 11 | ], 12 | "initialContentSize": { 13 | "width": 988, 14 | "height": 531 15 | } 16 | } 17 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/linux_create_project-adc-12.sh: -------------------------------------------------------------------------------- 1 | # For instructions on how to execute this build script, see 'How-To Setup the Project' 2 | # at the Spectrum Analyzer sample's documentation located at the link provided 3 | # in this sample's README.md. 4 | 5 | if [ $# -eq 0 ] 6 | then 7 | echo "Usage: ./linux_create_project.sh [path to FrontPanel Vivado IP]" 8 | exit 1 9 | fi 10 | 11 | cd gateware/adc-12/ifft 12 | vitis_hls build_ifft_vitis.tcl 13 | cd ../fft 14 | vitis_hls build_fft_vitis.tcl 15 | cd .. 16 | vivado -source create_project_vivado.tcl -tclargs $1 17 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/linux_create_project-adc-14.sh: -------------------------------------------------------------------------------- 1 | # For instructions on how to execute this build script, see 'How-To Setup the Project' 2 | # at the Spectrum Analyzer sample's documentation located at the link provided 3 | # in this sample's README.md. 4 | 5 | if [ $# -eq 0 ] 6 | then 7 | echo "Usage: ./linux_create_project.sh [path to FrontPanel Vivado IP]" 8 | exit 1 9 | fi 10 | 11 | cd gateware/adc-14/ifft 12 | vitis_hls build_ifft_vitis.tcl 13 | cd ../fft 14 | vitis_hls build_fft_vitis.tcl 15 | cd .. 16 | vivado -source create_project_vivado.tcl -tclargs $1 17 | -------------------------------------------------------------------------------- /ExampleProjects/DAC_Sample/XEM8320/Cxx/makefile: -------------------------------------------------------------------------------- 1 | okFP_SDK ?= ./include 2 | 3 | CXXFLAGS := -Isrc -I$(okFP_SDK) -Iinclude -std=c++11 -Wall -Wpedantic -g 4 | LDFLAGS := -L$(okFP_SDK) 5 | 6 | LIBS := -ldl -lsndfile -lm 7 | okFP_LIBS := -lokFrontPanel 8 | 9 | CXX = g++ 10 | 11 | VPATH = src 12 | 13 | .SUFFIXES: 14 | .SUFFIXES: .cpp .o 15 | 16 | AudioPipe: AudioPipe.o AudioFile.o 17 | $(CXX) $(okFP_LDFLAGS) $(LDFLAGS) $(CXXFLAGS) -o $@ $^ $(okFP_LIBS) $(LIBS) 18 | 19 | AudioFile.o: include/AudioFile.h 20 | 21 | AudioPipe.o: AudioPipe.cpp include/AudioFile.h 22 | $(CXX) $(CXXFLAGS) -c $< 23 | -------------------------------------------------------------------------------- /ExampleProjects/DAC_Sample/XEM7320/Cxx/Makefile: -------------------------------------------------------------------------------- 1 | okFP_SDK ?= ../../../API 2 | 3 | CXXFLAGS := -Isrc -I$(okFP_SDK) -Iinclude -std=c++11 -Wall -Wpedantic -g 4 | LDFLAGS := -L$(okFP_SDK) 5 | 6 | LIBS := -ldl -lsndfile -lm 7 | okFP_LIBS := -lokFrontPanel 8 | 9 | CXX = g++ 10 | 11 | VPATH = src 12 | 13 | .SUFFIXES: 14 | .SUFFIXES: .cpp .o 15 | 16 | AudioPipe: AudioPipe.o AudioFile.o 17 | $(CXX) $(okFP_LDFLAGS) $(LDFLAGS) $(CXXFLAGS) -o $@ $^ $(okFP_LIBS) $(LIBS) 18 | 19 | AudioFile.o: include/AudioFile.h 20 | 21 | AudioPipe.o: AudioPipe.cpp include/AudioFile.h 22 | $(CXX) $(CXXFLAGS) -c $< 23 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/src/index.tsx: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | import ReactDOM from "react-dom/client"; 9 | 10 | import "./index.css"; 11 | 12 | import "../assets/EthernetExampleDesign-v3.0.bit"; 13 | 14 | import App from "./App"; 15 | 16 | const root = ReactDOM.createRoot(document.getElementById("root") as HTMLElement); 17 | 18 | root.render( 19 | 20 | ); 21 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/frontpanel-app.json: -------------------------------------------------------------------------------- 1 | { 2 | "id": "multidaq-example-app", 3 | "name": "Multi-DAQ", 4 | "version": "3.1.0", 5 | "author": "Opal Kelly Incorporated", 6 | "summary": "FrontPanel Multi-DAQ Example App", 7 | "description": "FrontPanel Multi-DAQ Example App", 8 | "icon": { "source": "assets/images/multidaq-app-logo.svg" }, 9 | "deviceBindings": [ 10 | { "name": "Multi-DAQ Device", "description": "Multi-DAQ Device" } 11 | ], 12 | "initialContentSize": { 13 | "width": 1086, 14 | "height": 750 15 | } 16 | } 17 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/fan-control/fan-control.bb: -------------------------------------------------------------------------------- 1 | # 2 | # This file is the fan-control recipe. 3 | # 4 | 5 | SUMMARY = "Simple fan-control application" 6 | SECTION = "PETALINUX/apps" 7 | LICENSE = "MIT" 8 | LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302" 9 | 10 | SRC_URI = "file://fan-control.py \ 11 | " 12 | 13 | S = "${WORKDIR}" 14 | 15 | do_install() { 16 | install -d ${D}/home/root/tools/fan-control 17 | install -m 0755 fan-control.py ${D}/home/root/tools/fan-control 18 | } 19 | FILES_${PN} += "/home/root/*" 20 | 21 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL-I2C/okDRAM16X8D.v: -------------------------------------------------------------------------------- 1 | module okDRAM16X8D( 2 | input wire wclk, 3 | input wire we, 4 | input wire [3:0] addrA, 5 | input wire [3:0] addrB, 6 | input wire [7:0] din, 7 | output wire [7:0] doutA, 8 | output wire [7:0] doutB 9 | ); 10 | 11 | genvar i; 12 | generate 13 | for (i=0; i<8; i=i+1) begin : gen_ram 14 | RAM16X1D ram(.WCLK(wclk), .WE(we), .D(din[i]), .SPO(doutA[i]), .DPO(doutB[i]), 15 | .A0(addrA[0]), .A1(addrA[1]), .A2(addrA[2]), .A3(addrA[3]), 16 | .DPRA0(addrB[0]), .DPRA1(addrB[1]), .DPRA2(addrB[2]), .DPRA3(addrB[3]) ); 17 | end 18 | endgenerate 19 | 20 | endmodule 21 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/device-sensors/device-sensors.bb: -------------------------------------------------------------------------------- 1 | # 2 | # This file is the device-sensors recipe. 3 | # 4 | 5 | SUMMARY = "Simple device-sensors application" 6 | SECTION = "PETALINUX/apps" 7 | LICENSE = "MIT" 8 | LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302" 9 | 10 | SRC_URI = "file://device-sensors.py \ 11 | " 12 | 13 | S = "${WORKDIR}" 14 | 15 | do_install() { 16 | install -d ${D}/home/root/tools/device-sensors 17 | install -m 0755 device-sensors.py ${D}/home/root/tools/device-sensors 18 | } 19 | FILES_${PN} += "/home/root/*" 20 | 21 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/src/index.html: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | " /> 6 | 7 | 8 | 12 | FrontPanel Application 13 | 14 | 15 | 16 |
17 | 18 | 19 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/browserconfig.xml: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | #ffffff 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/logo/bitmapList.txt: -------------------------------------------------------------------------------- 1 | BITMAPLIST 2 | // File format: BITMAPLIST\n This comments line \n delayinMs \n framesCount \n frame0 \n frame1 \n ... framen \n 3 | 50 4 | 39 5 | busy1 6 | busy2 7 | busy3 8 | busy4 9 | busy5 10 | busy6 11 | busy7 12 | busy8 13 | busy9 14 | busy10 15 | busy11 16 | busy12 17 | busy13 18 | busy14 19 | busy15 20 | busy16 21 | busy17 22 | busy18 23 | busy19 24 | busy20 25 | busy21 26 | busy22 27 | busy23 28 | busy24 29 | busy25 30 | busy26 31 | busy27 32 | busy28 33 | busy29 34 | busy30 35 | busy31 36 | busy32 37 | busy33 38 | busy34 39 | busy35 40 | busy36 41 | busy37 42 | busy38 43 | busy39 44 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/src/index.html: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | " /> 6 | 7 | 8 | 12 | FrontPanel Camera Example 13 | 14 | 15 | 16 |
17 | 18 | 19 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/index.html: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | " /> 6 | 7 | 8 | 12 | FrontPanel DAC-ADC Example 13 | 14 | 15 | 16 |
17 | 18 | 19 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/assets/manifest.json: -------------------------------------------------------------------------------- 1 | { 2 | "short_name": "FrontPanel App", 3 | "name": "FrontPanel Application", 4 | "icons": [ 5 | { 6 | "src": "favicon.ico", 7 | "sizes": "64x64 32x32 24x24 16x16", 8 | "type": "image/x-icon" 9 | }, 10 | { 11 | "src": "logo192.png", 12 | "type": "image/png", 13 | "sizes": "192x192" 14 | }, 15 | { 16 | "src": "logo512.png", 17 | "type": "image/png", 18 | "sizes": "512x512" 19 | } 20 | ], 21 | "start_url": ".", 22 | "display": "standalone", 23 | "theme_color": "#000000", 24 | "background_color": "#ffffff" 25 | } 26 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/gpio-demo/gpio-demo.bb: -------------------------------------------------------------------------------- 1 | # 2 | # This is the GPIO-DEMO apllication recipe 3 | # 4 | # 5 | 6 | SUMMARY = "gpio-demo application" 7 | SECTION = "PETALINUX/apps" 8 | LICENSE = "MIT" 9 | LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302" 10 | SRC_URI = "file://gpio-demo.c \ 11 | file://Makefile \ 12 | " 13 | S = "${WORKDIR}" 14 | CFLAGS_prepend = "-I ${S}/include" 15 | do_compile() { 16 | oe_runmake 17 | } 18 | do_install() { 19 | install -d ${D}${bindir} 20 | install -m 0755 ${S}/gpio-demo ${D}${bindir} 21 | 22 | } 23 | 24 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/src/index.html: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | " /> 6 | 7 | 8 | 12 | FrontPanel Camera-HLS Example 13 | 14 | 15 | 16 |
17 | 18 | 19 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/tsconfig.json: -------------------------------------------------------------------------------- 1 | { 2 | "compilerOptions": { 3 | "module": "commonjs", 4 | "moduleResolution": "node", 5 | "newLine": "LF", 6 | "outDir": "./lib/", 7 | "target": "es5", 8 | "sourceMap": true, 9 | "declaration": true, 10 | "lib": [ 11 | "es2017", 12 | "dom" 13 | ], 14 | "esModuleInterop": true, 15 | "strict": true, 16 | "noUnusedLocals": true, 17 | "noUnusedParameters": true, 18 | "noImplicitReturns": true, 19 | "noFallthroughCasesInSwitch": true 20 | }, 21 | "include": [ 22 | "src/ts/**/*" 23 | ], 24 | "exclude": [ 25 | "node_modules" 26 | ] 27 | } 28 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/src/index.html: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | " /> 6 | 7 | 8 | 12 | FrontPanel Ethernet Example 13 | 14 | 15 | 16 |
17 | 18 | 19 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/index.html: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | " /> 6 | 7 | 8 | 12 | FrontPanel Multi-DAQ Example 13 | 14 | 15 | 16 |
17 | 18 | 19 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/assets/manifest.json: -------------------------------------------------------------------------------- 1 | { 2 | "short_name": "FrontPanel Camera Example", 3 | "name": "FrontPanel Camera Example App", 4 | "icons": [ 5 | { 6 | "src": "favicon.ico", 7 | "sizes": "64x64 32x32 24x24 16x16", 8 | "type": "image/x-icon" 9 | }, 10 | { 11 | "src": "logo192.png", 12 | "type": "image/png", 13 | "sizes": "192x192" 14 | }, 15 | { 16 | "src": "logo512.png", 17 | "type": "image/png", 18 | "sizes": "512x512" 19 | } 20 | ], 21 | "start_url": ".", 22 | "display": "standalone", 23 | "theme_color": "#000000", 24 | "background_color": "#ffffff" 25 | } 26 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/src/App.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .App { 9 | text-align: center; 10 | background-color: #282c34; 11 | font-size: calc(10px + 2vmin); 12 | } 13 | 14 | .AppLogo { 15 | text-align: center; 16 | background-color: #282c34; 17 | min-height: 100vh; 18 | display: flex; 19 | flex-direction: row; 20 | align-items: center; 21 | justify-content: center; 22 | font-size: calc(10px + 2vmin); 23 | color: white; 24 | } 25 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/assets/manifest.json: -------------------------------------------------------------------------------- 1 | { 2 | "short_name": "FrontPanel DAC-ADC Example", 3 | "name": "FrontPanel DAC-ADC Example App", 4 | "icons": [ 5 | { 6 | "src": "favicon.ico", 7 | "sizes": "64x64 32x32 24x24 16x16", 8 | "type": "image/x-icon" 9 | }, 10 | { 11 | "src": "logo192.png", 12 | "type": "image/png", 13 | "sizes": "192x192" 14 | }, 15 | { 16 | "src": "logo512.png", 17 | "type": "image/png", 18 | "sizes": "512x512" 19 | } 20 | ], 21 | "start_url": ".", 22 | "display": "standalone", 23 | "theme_color": "#000000", 24 | "background_color": "#ffffff" 25 | } 26 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/src/App.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .App { 9 | text-align: center; 10 | background-color: #282c34; 11 | font-size: calc(10px + 2vmin); 12 | } 13 | 14 | .AppLogo { 15 | text-align: center; 16 | background-color: #282c34; 17 | min-height: 100vh; 18 | display: flex; 19 | flex-direction: row; 20 | align-items: center; 21 | justify-content: center; 22 | font-size: calc(10px + 2vmin); 23 | color: white; 24 | } 25 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/assets/manifest.json: -------------------------------------------------------------------------------- 1 | { 2 | "short_name": "FrontPanel Camera-HLS Example", 3 | "name": "FrontPanel Camera-HLS Example App", 4 | "icons": [ 5 | { 6 | "src": "favicon.ico", 7 | "sizes": "64x64 32x32 24x24 16x16", 8 | "type": "image/x-icon" 9 | }, 10 | { 11 | "src": "logo192.png", 12 | "type": "image/png", 13 | "sizes": "192x192" 14 | }, 15 | { 16 | "src": "logo512.png", 17 | "type": "image/png", 18 | "sizes": "512x512" 19 | } 20 | ], 21 | "start_url": ".", 22 | "display": "standalone", 23 | "theme_color": "#000000", 24 | "background_color": "#ffffff" 25 | } 26 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/.eslintrc.json: -------------------------------------------------------------------------------- 1 | { 2 | "env": { 3 | "browser": true, 4 | "es2021": true 5 | }, 6 | "extends": [ 7 | "eslint:recommended", 8 | "plugin:@typescript-eslint/recommended", 9 | "plugin:react/recommended" 10 | ], 11 | "parser": "@typescript-eslint/parser", 12 | "parserOptions": { 13 | "ecmaVersion": "latest", 14 | "sourceType": "module" 15 | }, 16 | "plugins": [ 17 | "@typescript-eslint", 18 | "react" 19 | ], 20 | "rules": { 21 | }, 22 | "settings": { 23 | "react": { 24 | "version": "detect" 25 | } 26 | } 27 | } 28 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/.eslintrc.json: -------------------------------------------------------------------------------- 1 | { 2 | "env": { 3 | "browser": true, 4 | "es2021": true 5 | }, 6 | "extends": [ 7 | "eslint:recommended", 8 | "plugin:@typescript-eslint/recommended", 9 | "plugin:react/recommended" 10 | ], 11 | "parser": "@typescript-eslint/parser", 12 | "parserOptions": { 13 | "ecmaVersion": "latest", 14 | "sourceType": "module" 15 | }, 16 | "plugins": [ 17 | "@typescript-eslint", 18 | "react" 19 | ], 20 | "rules": { 21 | }, 22 | "settings": { 23 | "react": { 24 | "version": "detect" 25 | } 26 | } 27 | } 28 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/assets/manifest.json: -------------------------------------------------------------------------------- 1 | { 2 | "short_name": "FrontPanel Ethernet Example", 3 | "name": "FrontPanel Ethernet Example App", 4 | "icons": [ 5 | { 6 | "src": "favicon.ico", 7 | "sizes": "64x64 32x32 24x24 16x16", 8 | "type": "image/x-icon" 9 | }, 10 | { 11 | "src": "logo192.png", 12 | "type": "image/png", 13 | "sizes": "192x192" 14 | }, 15 | { 16 | "src": "logo512.png", 17 | "type": "image/png", 18 | "sizes": "512x512" 19 | } 20 | ], 21 | "start_url": ".", 22 | "display": "standalone", 23 | "theme_color": "#000000", 24 | "background_color": "#ffffff" 25 | } 26 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/frontpanel-app.json: -------------------------------------------------------------------------------- 1 | { 2 | "id": "camera-hls-example-app", 3 | "name": "Camera-HLS", 4 | "version": "2.8.0", 5 | "author": "Opal Kelly Incorporated", 6 | "summary": "Machine vision image capture with HLS black level and color correction histogram", 7 | "description": "Machine vision image capture with HLS black level and color correction histogram", 8 | "icon": { "source": "assets/images/camera-hls-app-logo.svg" }, 9 | "deviceBindings": [ 10 | { "name": "Camera Device", "description": "Camera Device" } 11 | ], 12 | "initialContentSize": { 13 | "width": 988, 14 | "height": 774 15 | } 16 | } 17 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/index.tsx: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | import ReactDOM from "react-dom/client"; 9 | 10 | import "./index.css"; 11 | 12 | //TODO: Use the correct bitfile for your ADC 13 | //import "../assets/DAC-ADC-ExampleDesign-ADC-12-v3.1.bit"; 14 | import "../assets/DAC-ADC-ExampleDesign-ADC-14-v3.1.bit"; 15 | 16 | import App from "./App"; 17 | 18 | const root = ReactDOM.createRoot(document.getElementById("root") as HTMLElement); 19 | 20 | root.render( 21 | 22 | ); 23 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/.eslintrc.json: -------------------------------------------------------------------------------- 1 | { 2 | "env": { 3 | "browser": true, 4 | "es2021": true 5 | }, 6 | "extends": [ 7 | "eslint:recommended", 8 | "plugin:@typescript-eslint/recommended", 9 | "plugin:react/recommended" 10 | ], 11 | "parser": "@typescript-eslint/parser", 12 | "parserOptions": { 13 | "ecmaVersion": "latest", 14 | "sourceType": "module" 15 | }, 16 | "plugins": [ 17 | "@typescript-eslint", 18 | "react" 19 | ], 20 | "rules": { 21 | }, 22 | "settings": { 23 | "react": { 24 | "version": "detect" 25 | } 26 | } 27 | } 28 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/frontpanel-app.json: -------------------------------------------------------------------------------- 1 | { 2 | "id": "dac+adc-example-app", 3 | "name": "DAC-ADC", 4 | "version": "3.1.0", 5 | "author": "Opal Kelly Incorporated", 6 | "summary": "FFT-based signal generator, oscilloscope, and spectrum analyzer demonstration instruments", 7 | "description": "FFT-based signal generator, oscilloscope, and spectrum analyzer demonstration instruments", 8 | "icon": { "source": "assets/images/dac+adc-app-logo.svg" }, 9 | "deviceBindings": [ 10 | { "name": "DAC-ADC Device", "description": "DAC-ADC Device" } 11 | ], 12 | "initialContentSize": { 13 | "width": 1200, 14 | "height": 804 15 | } 16 | } 17 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/device-tree/device-tree.bbappend: -------------------------------------------------------------------------------- 1 | FILESEXTRAPATHS_prepend := "${THISDIR}/files:${SYSCONFIG_PATH}:" 2 | 3 | SRC_URI_append = " file://config file://system-user.dtsi" 4 | 5 | python () { 6 | if d.getVar("CONFIG_DISABLE"): 7 | d.setVarFlag("do_configure", "noexec", "1") 8 | } 9 | 10 | export PETALINUX 11 | do_configure_append () { 12 | script="${PETALINUX}/etc/hsm/scripts/petalinux_hsm_bridge.tcl" 13 | data=${PETALINUX}/etc/hsm/data/ 14 | eval xsct -sdx -nodisp ${script} -c ${WORKDIR}/config \ 15 | -hdf ${DT_FILES_PATH}/hardware_description.${HDF_EXT} -repo ${S} \ 16 | -data ${data} -sw ${DT_FILES_PATH} -o ${DT_FILES_PATH} -a "soc_mapping" 17 | } 18 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/fan-control/README.md: -------------------------------------------------------------------------------- 1 | ### fan-control Application 2 | ``` 3 | Usage: python3 fan-control.py [on | off] 4 | ``` 5 | fan-control is a Python application example that can turn on and off the fan output. This output 6 | is controlled by the onboard LTC2991 part. 7 | 8 | > Note: By default the fan is always enabled by the 0 Ohm jumper resistor R201. To control the fan, that resistor must first be removed. 9 | 10 | ``` 11 | root@brk1900:~/tools/fan-control# python3 fan-control.py on 12 | ------ Fan Control ------ 13 | Turning fan on... 14 | 15 | root@brk1900:~/tools/fan-control# python3 fan-control.py off 16 | ------ Fan Control ------ 17 | Turning fan off... 18 | ``` 19 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/syzygy-ecm1900/syzygy-ecm1900.bb: -------------------------------------------------------------------------------- 1 | # 2 | # This file is the syzygy-ecm1900 recipe. 3 | # 4 | 5 | SUMMARY = "Simple syzygy-ecm1900 application" 6 | SECTION = "PETALINUX/apps" 7 | LICENSE = "MIT" 8 | LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302" 9 | 10 | SRC_URI = "file://syzygy-ecm1900.cpp \ 11 | file://syzygy.c \ 12 | file://syzygy.h \ 13 | file://json.hpp \ 14 | file://Makefile \ 15 | " 16 | 17 | S = "${WORKDIR}" 18 | 19 | do_compile() { 20 | oe_runmake 21 | } 22 | 23 | do_install() { 24 | install -d ${D}${bindir} 25 | install -m 0755 syzygy-ecm1900 ${D}${bindir} 26 | } 27 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/peekpoke/peekpoke.bb: -------------------------------------------------------------------------------- 1 | # 2 | # This is the peekpoke apllication recipe 3 | # 4 | # 5 | 6 | SUMMARY = "peekpoke application" 7 | SECTION = "PETALINUX/apps" 8 | LICENSE = "MIT" 9 | LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302" 10 | SRC_URI = "file://peek.c \ 11 | file://poke.c \ 12 | file://Makefile \ 13 | " 14 | S = "${WORKDIR}" 15 | CFLAGS_prepend = "-I ${S}/include" 16 | do_compile() { 17 | oe_runmake 18 | } 19 | do_install() { 20 | install -d ${D}${bindir} 21 | install -m 0755 ${S}/peek ${D}${bindir} 22 | install -m 0755 ${S}/poke ${D}${bindir} 23 | 24 | } 25 | 26 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/u-boot/u-boot-xlnx_%.bbappend: -------------------------------------------------------------------------------- 1 | FILESEXTRAPATHS_prepend := "${THISDIR}/files:" 2 | 3 | SRC_URI_append = " file://platform-top.h file://bsp.cfg" 4 | 5 | do_configure_append () { 6 | install ${WORKDIR}/platform-top.h ${S}/include/configs/ 7 | } 8 | 9 | do_configure_append_microblaze () { 10 | if [ "${U_BOOT_AUTO_CONFIG}" = "1" ]; then 11 | install ${WORKDIR}/platform-auto.h ${S}/include/configs/ 12 | install -d ${B}/source/board/xilinx/microblaze-generic/ 13 | install ${WORKDIR}/config.mk ${B}/source/board/xilinx/microblaze-generic/ 14 | fi 15 | } 16 | SRC_URI += "file://user_2022-03-03-05-32-00.cfg \ 17 | file://user_2022-10-06-22-41-00.cfg \ 18 | " 19 | 20 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/pug/partials/_favicons.pug: -------------------------------------------------------------------------------- 1 | //- Source: http://realfavicongenerator.net/ 2 | link(rel="apple-touch-icon", sizes="180x180", href=faviconsPath + "/apple-touch-icon.png") 3 | link(rel="icon", type="image/png", sizes="32x32", href=faviconsPath + "/favicon-32x32.png") 4 | link(rel="icon", type="image/png", sizes="16x16", href=faviconsPath + "/favicon-16x16.png") 5 | link(rel="shortcut icon", href=faviconsPath + "favicon.ico") 6 | link(rel="manifest", href=faviconsPath + "/site.webmanifest") 7 | link(rel="mask-icon", href=faviconsPath + "/safari-pinned-tab.svg", color=safariPinnedTabColor) 8 | meta(name="msapplication-TileColor", content=msApplicationTileColor) 9 | meta(name="theme-color", content=themeColor) 10 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM8320/SZG-Camera-HLS/HLS/ISP/xf_isp_tb_config.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright 2022 Xilinx, Inc. 3 | * 4 | * Licensed under the Apache License, Version 2.0 (the "License"); 5 | * you may not use this file except in compliance with the License. 6 | * You may obtain a copy of the License at 7 | * 8 | * http://www.apache.org/licenses/LICENSE-2.0 9 | * 10 | * Unless required by applicable law or agreed to in writing, software 11 | * distributed under the License is distributed on an "AS IS" BASIS, 12 | * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. 13 | * See the License for the specific language governing permissions and 14 | * limitations under the License. 15 | */ 16 | 17 | #include "xf_config_params.h" -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM8320/SZG-Camera-HLS/HLS/ISP/xf_isp_types.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright 2022 Xilinx, Inc. 3 | * 4 | * Licensed under the Apache License, Version 2.0 (the "License"); 5 | * you may not use this file except in compliance with the License. 6 | * You may obtain a copy of the License at 7 | * 8 | * http://www.apache.org/licenses/LICENSE-2.0 9 | * 10 | * Unless required by applicable law or agreed to in writing, software 11 | * distributed under the License is distributed on an "AS IS" BASIS, 12 | * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. 13 | * See the License for the specific language governing permissions and 14 | * limitations under the License. 15 | */ 16 | 17 | #include "xf_config_params.h" -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM8320/SZG-Camera-HLS/HLS/ISP/xf_isp_accel_config.h: -------------------------------------------------------------------------------- 1 | /* 2 | * Copyright 2022 Xilinx, Inc. 3 | * 4 | * Licensed under the Apache License, Version 2.0 (the "License"); 5 | * you may not use this file except in compliance with the License. 6 | * You may obtain a copy of the License at 7 | * 8 | * http://www.apache.org/licenses/LICENSE-2.0 9 | * 10 | * Unless required by applicable law or agreed to in writing, software 11 | * distributed under the License is distributed on an "AS IS" BASIS, 12 | * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. 13 | * See the License for the specific language governing permissions and 14 | * limitations under the License. 15 | */ 16 | 17 | #include "xf_config_params.h" -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FreeMat/snap.m: -------------------------------------------------------------------------------- 1 | % Load the FreeMat okFrontPanel library 2 | okfp 3 | 4 | % Configure the FPGA 5 | dev = okFPConstruct; 6 | okFPOpenBySerialX(dev, 0); 7 | okCameraInitialize(dev); 8 | 9 | % Capture 10 | x=2592;y=1944; 11 | img = okCameraSingleCapture(dev); 12 | 13 | % Reshape into a X:Y:3 vector for display 14 | disp('Displaying image') 15 | tmp = zeros(y,x); 16 | tmp = double(reshape(img(1:x*y), x, y)).'; 17 | imgv = zeros(y/2, x/2, 3); 18 | imgv(:,:,1) = tmp(1:2:y, 2:2:x)/256; % RED 19 | imgv(:,:,2) = tmp(1:2:y, 1:2:x)/256; % GREEN 20 | imgv(:,:,3) = tmp(2:2:y, 1:2:x)/256; % BLUE 21 | figure(1); 22 | image(imgv(:, 1:1024, :)); 23 | 24 | 25 | okFPDestruct(dev); 26 | disp 'FrontPanel object destroyed.' 27 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/uboot-device-tree/uboot-device-tree.bbappend: -------------------------------------------------------------------------------- 1 | FILESEXTRAPATHS_prepend := "${THISDIR}/files:${SYSCONFIG_PATH}:" 2 | 3 | SRC_URI_append = " file://config file://system-user.dtsi" 4 | 5 | python () { 6 | if d.getVar("CONFIG_DISABLE"): 7 | d.setVarFlag("do_configure", "noexec", "1") 8 | } 9 | export PETALINUX 10 | do_configure_append () { 11 | script="${PETALINUX}/etc/hsm/scripts/petalinux_hsm_bridge.tcl" 12 | data=${PETALINUX}/etc/hsm/data/ 13 | eval xsct -sdx -nodisp ${script} -c ${WORKDIR}/config \ 14 | -hdf ${DT_FILES_PATH}/hardware_description.${HDF_EXT} -repo ${S} \ 15 | -data ${data} -sw ${DT_FILES_PATH} -o ${DT_FILES_PATH} -a "soc_mapping" 16 | } 17 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/src/FrameBufferStatusView.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okCameraProgressRoot { 9 | /* Layout */ 10 | position: relative; 11 | border-radius: 99999px; 12 | width: 100%; 13 | height: 8px; 14 | 15 | /* Style */ 16 | background: var(--Gray-2, #d0d7df); 17 | overflow: hidden; 18 | 19 | transform: translateZ(0); 20 | } 21 | 22 | .okCameraProgressIndicator { 23 | background-color: #44bd84; 24 | width: 100%; 25 | height: 100%; 26 | transition: transform 660ms cubic-bezier(0.65, 0, 0.35, 1); 27 | } 28 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/src/FrameBufferStatusView.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okCameraProgressRoot { 9 | /* Layout */ 10 | position: relative; 11 | border-radius: 99999px; 12 | width: 100%; 13 | height: 8px; 14 | 15 | /* Style */ 16 | background: var(--Gray-2, #d0d7df); 17 | overflow: hidden; 18 | 19 | transform: translateZ(0); 20 | } 21 | 22 | .okCameraProgressIndicator { 23 | background-color: #44bd84; 24 | width: 100%; 25 | height: 100%; 26 | transition: transform 660ms cubic-bezier(0.65, 0, 0.35, 1); 27 | } 28 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/scss/_media.scss: -------------------------------------------------------------------------------- 1 | // MEDIA 2 | // 3 | // Media element from Bootstrap 4 | 5 | .media { 6 | display: flex; 7 | margin-top: $spacing-type; 8 | 9 | &:first-child { 10 | margin-top: 0; 11 | } 12 | } 13 | 14 | .media-object { 15 | display: block; 16 | } 17 | 18 | .media-body { 19 | flex: 1; 20 | 21 | p { 22 | &:last-child { 23 | margin-bottom: 0; 24 | } 25 | } 26 | } 27 | 28 | // 29 | // Alignment 30 | // 31 | 32 | .media-left { 33 | padding-right: $grid-gutter; 34 | } 35 | 36 | .media-right { 37 | padding-left: $grid-gutter; 38 | } 39 | 40 | .media-middle { 41 | align-self: center; 42 | } 43 | 44 | .media-bottom { 45 | align-self: flex-end; 46 | } 47 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/src/EthernetPortView.props.ts: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | import { EthernetPortConfiguration } from "./EthernetPortConfiguration"; 9 | 10 | /** 11 | * Ethernet Port View Component Properties 12 | * @property label - The label to display for the Ethernet Port. 13 | * @property configuration - The configuration specifying the FrontPanel Endpoints for the Ethernet Port. 14 | */ 15 | interface EthernetPortViewProps { 16 | label: string; 17 | configuration: EthernetPortConfiguration; 18 | } 19 | 20 | export default EthernetPortViewProps; 21 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/src/index.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | html { 9 | width: 100%; 10 | height: 100%; 11 | } 12 | 13 | body { 14 | width: 100%; 15 | height: 100%; 16 | margin: 0; 17 | font-family: -apple-system, BlinkMacSystemFont, "Segoe UI", "Roboto", "Oxygen", "Ubuntu", 18 | "Cantarell", "Fira Sans", "Droid Sans", "Helvetica Neue", sans-serif; 19 | -webkit-font-smoothing: antialiased; 20 | -moz-osx-font-smoothing: grayscale; 21 | } 22 | 23 | code { 24 | font-family: source-code-pro, Menlo, Monaco, Consolas, "Courier New", monospace; 25 | } 26 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/src/index.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | html { 9 | width: 100%; 10 | height: 100%; 11 | } 12 | 13 | body { 14 | width: 100%; 15 | height: 100%; 16 | margin: 0; 17 | font-family: -apple-system, BlinkMacSystemFont, "Segoe UI", "Roboto", "Oxygen", "Ubuntu", 18 | "Cantarell", "Fira Sans", "Droid Sans", "Helvetica Neue", sans-serif; 19 | -webkit-font-smoothing: antialiased; 20 | -moz-osx-font-smoothing: grayscale; 21 | } 22 | 23 | code { 24 | font-family: source-code-pro, Menlo, Monaco, Consolas, "Courier New", monospace; 25 | } 26 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/index.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | html { 9 | width: 100%; 10 | height: 100%; 11 | } 12 | 13 | body { 14 | width: 100%; 15 | height: 100%; 16 | margin: 0; 17 | font-family: -apple-system, BlinkMacSystemFont, "Segoe UI", "Roboto", "Oxygen", "Ubuntu", 18 | "Cantarell", "Fira Sans", "Droid Sans", "Helvetica Neue", sans-serif; 19 | -webkit-font-smoothing: antialiased; 20 | -moz-osx-font-smoothing: grayscale; 21 | } 22 | 23 | code { 24 | font-family: source-code-pro, Menlo, Monaco, Consolas, "Courier New", monospace; 25 | } 26 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/README.md: -------------------------------------------------------------------------------- 1 | # BRK1900 PetaLinux Sources 2 | 3 | Follow the [Build Instructions](https://docs.opalkelly.com/ecm1900/brk1900-breakout-board/brk1900-linux-image/) to generate an SD card image from these sources. 4 | 5 | 6 | # BRK1900 Applications 7 | The follow applications are baked into the BRK1900 Linux image: 8 | 9 | - [device-sensors](project-spec/meta-user/recipes-apps/device-sensors) 10 | - [set-clock-brk1900](project-spec/meta-user/recipes-apps/set-clock-brk1900) 11 | - [set-clock-ecm1900](project-spec/meta-user/recipes-apps/set-clock-ecm1900) 12 | - [syzygy-ecm1900](project-spec/meta-user/recipes-apps/syzygy-ecm1900) 13 | - [fan-control](project-spec/meta-user/recipes-apps/fan-control) 14 | - [setclk-reboot-init](project-spec/meta-user/recipes-apps/setclk-reboot-init) 15 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/setclk-reboot-init/setclk-reboot-init.bb: -------------------------------------------------------------------------------- 1 | # 2 | # This file is the setclk-reboot-init recipe. 3 | # 4 | 5 | SUMMARY = "Simple setclk-reboot-init application" 6 | SECTION = "PETALINUX/apps" 7 | LICENSE = "MIT" 8 | LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302" 9 | 10 | SRC_URI = "file://setclk-reboot-init \ 11 | " 12 | 13 | S = "${WORKDIR}" 14 | FILESEXTRAPATHS_prepend := "${THISDIR}/files:" 15 | inherit update-rc.d 16 | INITSCRIPT_NAME = "setclk-reboot-init" 17 | INITSCRIPT_PARAMS = "start 99 S ." 18 | do_install() { 19 | install -d ${D}${sysconfdir}/init.d 20 | install -m 0755 ${S}/setclk-reboot-init ${D}${sysconfdir}/init.d/setclk-reboot-init 21 | } 22 | FILES_${PN} += "${sysconfdir}/*" 23 | 24 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/src/FrontPanel.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okFrontPanel { 9 | /* Layout */ 10 | display: flex; 11 | flex-direction: row; 12 | gap: 10px; 13 | padding: 10px; 14 | align-items: flex-start; 15 | } 16 | 17 | .okControlPanel { 18 | /* Layout */ 19 | align-self: stretch; 20 | display: flex; 21 | flex-direction: column; 22 | align-content: stretch; 23 | gap: 8px; 24 | 25 | /* Style */ 26 | background-color: rgb(255, 255, 255); 27 | padding: 6px; 28 | margin: 6px; 29 | border-radius: 8px; 30 | } 31 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/index.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | html { 9 | width: 100%; 10 | height: 100%; 11 | } 12 | 13 | body { 14 | width: 100%; 15 | height: 100%; 16 | margin: 0; 17 | font-family: -apple-system, BlinkMacSystemFont, "Segoe UI", "Roboto", "Oxygen", "Ubuntu", 18 | "Cantarell", "Fira Sans", "Droid Sans", "Helvetica Neue", sans-serif; 19 | -webkit-font-smoothing: antialiased; 20 | -moz-osx-font-smoothing: grayscale; 21 | } 22 | 23 | code { 24 | font-family: source-code-pro, Menlo, Monaco, Consolas, "Courier New", monospace; 25 | } 26 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/src/index.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | html { 9 | width: 100%; 10 | height: 100%; 11 | } 12 | 13 | body { 14 | width: 100%; 15 | height: 100%; 16 | margin: 0; 17 | font-family: -apple-system, BlinkMacSystemFont, "Segoe UI", "Roboto", "Oxygen", "Ubuntu", 18 | "Cantarell", "Fira Sans", "Droid Sans", "Helvetica Neue", sans-serif; 19 | -webkit-font-smoothing: antialiased; 20 | -moz-osx-font-smoothing: grayscale; 21 | } 22 | 23 | code { 24 | font-family: source-code-pro, Menlo, Monaco, Consolas, "Courier New", monospace; 25 | } 26 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/configs/init-ifupdown/interfaces: -------------------------------------------------------------------------------- 1 | # /etc/network/interfaces -- configuration file for ifup(8), ifdown(8) 2 | 3 | # The loopback interface 4 | auto lo 5 | iface lo inet loopback 6 | 7 | # Wireless interfaces 8 | iface wlan0 inet dhcp 9 | wireless_mode managed 10 | wireless_essid any 11 | wpa-driver wext 12 | wpa-conf /etc/wpa_supplicant.conf 13 | 14 | iface atml0 inet dhcp 15 | 16 | # Wired or wireless interfaces 17 | auto eth0 18 | iface eth0 inet dhcp 19 | iface eth1 inet dhcp 20 | 21 | # Ethernet/RNDIS gadget (g_ether) 22 | # ... or on host side, usbnet and random hwaddr 23 | iface usb0 inet static 24 | address 192.168.7.2 25 | netmask 255.255.255.0 26 | network 192.168.7.0 27 | gateway 192.168.7.1 28 | 29 | # Bluetooth networking 30 | iface bnep0 inet dhcp 31 | 32 | -------------------------------------------------------------------------------- /HDLComponents/FFT/examples/rfft32_i14_o19/tb/outputExpectedComplexValues.txt: -------------------------------------------------------------------------------- 1 | 4.504513 0.000000 2 | -1.980300 -2.315683 3 | -3.177074 1.584046 4 | 2.485622 1.677898 5 | -1.856968 -0.844444 6 | 1.618028 4.149785 7 | -1.260628 1.703673 8 | -2.560625 -2.670814 9 | -1.184257 -2.939788 10 | 0.796225 0.447099 11 | -2.753271 0.235525 12 | 3.734611 -2.431349 13 | -3.317913 3.816982 14 | -1.302112 0.282528 15 | -1.299532 2.872342 16 | -1.559325 0.713805 17 | -3.119027 0.000000 18 | -1.559325 -0.713805 19 | -1.299532 -2.872342 20 | -1.302112 -0.282528 21 | -3.317913 -3.816982 22 | 3.734611 2.431349 23 | -2.753271 -0.235525 24 | 0.796225 -0.447099 25 | -1.184257 2.939788 26 | -2.560625 2.670814 27 | -1.260628 -1.703673 28 | 1.618028 -4.149785 29 | -1.856968 0.844444 30 | 2.485622 -1.677898 31 | -3.177074 -1.584046 32 | -1.980300 2.315683 33 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/ZynqPreset/README.md: -------------------------------------------------------------------------------- 1 | # Zynq UltraScale+ MPSoC IP Preset 2 | 3 | This subfolder is not used during the PetaLinux build process. 4 | 5 | The `BRK1900.tcl` file can be used within Vivado for the Zynq UltraScale+ MPSoC IP to “Apply Configuration” 6 | under “Presets” in the GUI. This will configure the IP to the settings used when exporting the hardware 7 | description from Vivado that is then in turn used by the PetaLinux tools. This preset can be used as a 8 | reference for creating your own breakout board configurations, or a starter template to modifying our 9 | existing configuration for the BRK1900 breakout board. 10 | 11 | You may also use this preset file to create a compatible bitfile. See the following for more information: 12 | https://docs.opalkelly.com/ecm1900/getting-started-guide/ 13 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/src/EventSubscription.ts: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | import { IEventSubscription } from "@opalkelly/frontpanel-platform-api"; 9 | 10 | import { Subscription } from "sub-events"; 11 | 12 | /** 13 | * Represents a subscription to an event. 14 | */ 15 | class EventSubscription implements IEventSubscription { 16 | private _Target: Subscription; 17 | 18 | constructor(subscription: Subscription) { 19 | this._Target = subscription; 20 | } 21 | 22 | public cancel(): boolean { 23 | return this._Target.cancel(); 24 | } 25 | } 26 | 27 | export default EventSubscription; 28 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/src/EventSubscription.ts: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | import { IEventSubscription } from "@opalkelly/frontpanel-platform-api"; 9 | 10 | import { Subscription } from "sub-events"; 11 | 12 | /** 13 | * Represents a subscription to an event. 14 | */ 15 | class EventSubscription implements IEventSubscription { 16 | private _Target: Subscription; 17 | 18 | constructor(subscription: Subscription) { 19 | this._Target = subscription; 20 | } 21 | 22 | public cancel(): boolean { 23 | return this._Target.cancel(); 24 | } 25 | } 26 | 27 | export default EventSubscription; 28 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/App.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .App { 9 | text-align: center; 10 | background-color: #282c34; 11 | min-height: 100vh; 12 | display: flex; 13 | flex-direction: column; 14 | align-items: center; 15 | justify-content: center; 16 | font-size: calc(10px + 2vmin); 17 | color: white; 18 | } 19 | 20 | .AppLogo { 21 | text-align: center; 22 | background-color: #282c34; 23 | min-height: 100vh; 24 | display: flex; 25 | flex-direction: row; 26 | align-items: center; 27 | justify-content: center; 28 | font-size: calc(10px + 2vmin); 29 | color: white; 30 | } 31 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/src/App.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .App { 9 | text-align: center; 10 | background-color: #282c34; 11 | min-height: 100vh; 12 | display: flex; 13 | flex-direction: row; 14 | align-items: center; 15 | justify-content: center; 16 | font-size: calc(10px + 2vmin); 17 | color: white; 18 | } 19 | 20 | .AppLogo { 21 | text-align: center; 22 | background-color: #282c34; 23 | min-height: 100vh; 24 | display: flex; 25 | flex-direction: row; 26 | align-items: center; 27 | justify-content: center; 28 | font-size: calc(10px + 2vmin); 29 | color: white; 30 | } 31 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/App.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .App { 9 | text-align: center; 10 | background-color: #282c34; 11 | min-height: 100vh; 12 | display: flex; 13 | flex-direction: column; 14 | align-items: center; 15 | justify-content: center; 16 | font-size: calc(10px + 2vmin); 17 | color: white; 18 | } 19 | 20 | .AppLogo { 21 | text-align: center; 22 | background-color: #282c34; 23 | min-height: 100vh; 24 | display: flex; 25 | flex-direction: row; 26 | align-items: center; 27 | justify-content: center; 28 | font-size: calc(10px + 2vmin); 29 | color: white; 30 | } 31 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/.vscode/launch.json: -------------------------------------------------------------------------------- 1 | { 2 | // Use IntelliSense to learn about possible attributes. 3 | // Hover to view descriptions of existing attributes. 4 | // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 5 | "version": "0.2.0", 6 | "configurations": [ 7 | { 8 | "type": "chrome", 9 | "request": "attach", 10 | "port": 9222, 11 | "name": "Launch & Attach FrontPanel App", 12 | "webRoot": "${workspaceFolder}", 13 | "sourceMaps": true, 14 | "sourceMapPathOverrides": 15 | { 16 | "webpack://frontpanel-react-app/./*": "${webRoot}/*" 17 | }, 18 | "trace": true, 19 | "preLaunchTask": "Launch FrontPanel App (development)" 20 | } 21 | ] 22 | } -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/tsconfig.json: -------------------------------------------------------------------------------- 1 | { 2 | /* Visit https://aka.ms/tsconfig to read more about this file */ 3 | "compilerOptions": { 4 | "target": "es6", 5 | "lib": [ 6 | "dom", 7 | "dom.iterable", 8 | "es2023" 9 | ], 10 | "allowJs": true, 11 | "skipLibCheck": true, 12 | "esModuleInterop": true, 13 | "allowSyntheticDefaultImports": true, 14 | "strict": true, 15 | "forceConsistentCasingInFileNames": true, 16 | "noFallthroughCasesInSwitch": true, 17 | "module": "esnext", 18 | "moduleResolution": "node", 19 | "resolveJsonModule": true, 20 | "isolatedModules": true, 21 | "noImplicitAny": true, 22 | "noImplicitThis": true, 23 | "noUnusedLocals": true, 24 | "jsx": "react-jsx", 25 | "sourceMap": true, 26 | }, 27 | "include": [ 28 | "src" 29 | ] 30 | } 31 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/tsconfig.json: -------------------------------------------------------------------------------- 1 | { 2 | /* Visit https://aka.ms/tsconfig to read more about this file */ 3 | "compilerOptions": { 4 | "target": "es6", 5 | "lib": [ 6 | "dom", 7 | "dom.iterable", 8 | "es2023" 9 | ], 10 | "allowJs": true, 11 | "skipLibCheck": true, 12 | "esModuleInterop": true, 13 | "allowSyntheticDefaultImports": true, 14 | "strict": true, 15 | "forceConsistentCasingInFileNames": true, 16 | "noFallthroughCasesInSwitch": true, 17 | "module": "esnext", 18 | "moduleResolution": "node", 19 | "resolveJsonModule": true, 20 | "isolatedModules": true, 21 | "noImplicitAny": true, 22 | "noImplicitThis": true, 23 | "noUnusedLocals": true, 24 | "jsx": "react-jsx", 25 | "sourceMap": true, 26 | }, 27 | "include": [ 28 | "src" 29 | ] 30 | } 31 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/DigitalSignalSamplerView.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okDigitalSignalSampler { 9 | flex-grow: 1; 10 | flex-shrink: 1; 11 | display: flex; 12 | flex-direction: column; 13 | justify-content: flex-end; 14 | gap: 8px; 15 | } 16 | 17 | .okDigitalSignalSamplerControlPanel { 18 | display: flex; 19 | flex-direction: row; 20 | justify-content: flex-end; 21 | gap: 8px; 22 | } 23 | 24 | .okDigitalSignalSamplerChartPanel { 25 | min-width: 300px; 26 | height: 300px; 27 | } 28 | 29 | .okDigitalSignalChartContainer { 30 | position: relative; 31 | width: 100%; 32 | height: 100%; 33 | } 34 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/tsconfig.json: -------------------------------------------------------------------------------- 1 | { 2 | /* Visit https://aka.ms/tsconfig to read more about this file */ 3 | "compilerOptions": { 4 | "target": "es6", 5 | "lib": [ 6 | "dom", 7 | "dom.iterable", 8 | "es2023" 9 | ], 10 | "allowJs": true, 11 | "skipLibCheck": true, 12 | "esModuleInterop": true, 13 | "allowSyntheticDefaultImports": true, 14 | "strict": true, 15 | "forceConsistentCasingInFileNames": true, 16 | "noFallthroughCasesInSwitch": true, 17 | "module": "esnext", 18 | "moduleResolution": "node", 19 | "resolveJsonModule": true, 20 | "isolatedModules": true, 21 | "noImplicitAny": true, 22 | "noImplicitThis": true, 23 | "noUnusedLocals": true, 24 | "jsx": "react-jsx", 25 | "sourceMap": true, 26 | }, 27 | "include": [ 28 | "src" 29 | ] 30 | } 31 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/tsconfig.json: -------------------------------------------------------------------------------- 1 | { 2 | /* Visit https://aka.ms/tsconfig to read more about this file */ 3 | "compilerOptions": { 4 | "target": "es6", 5 | "lib": [ 6 | "dom", 7 | "dom.iterable", 8 | "es2023" 9 | ], 10 | "allowJs": true, 11 | "skipLibCheck": true, 12 | "esModuleInterop": true, 13 | "allowSyntheticDefaultImports": true, 14 | "strict": true, 15 | "forceConsistentCasingInFileNames": true, 16 | "noFallthroughCasesInSwitch": true, 17 | "module": "esnext", 18 | "moduleResolution": "node", 19 | "resolveJsonModule": true, 20 | "isolatedModules": true, 21 | "noImplicitAny": true, 22 | "noImplicitThis": true, 23 | "noUnusedLocals": true, 24 | "jsx": "react-jsx", 25 | "sourceMap": true, 26 | }, 27 | "include": [ 28 | "src" 29 | ] 30 | } 31 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/.vscode/launch.json: -------------------------------------------------------------------------------- 1 | { 2 | // Use IntelliSense to learn about possible attributes. 3 | // Hover to view descriptions of existing attributes. 4 | // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 5 | "version": "0.2.0", 6 | "configurations": [ 7 | { 8 | "type": "chrome", 9 | "request": "attach", 10 | "port": 9222, 11 | "name": "Launch & Attach FrontPanel App", 12 | "webRoot": "${workspaceFolder}", 13 | "sourceMaps": true, 14 | "sourceMapPathOverrides": 15 | { 16 | "webpack://frontpanel-fft-reactapp/./*": "${webRoot}/*" 17 | }, 18 | "trace": true, 19 | "preLaunchTask": "Launch FrontPanel App (development)" 20 | } 21 | ] 22 | } 23 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/tsconfig.json: -------------------------------------------------------------------------------- 1 | { 2 | /* Visit https://aka.ms/tsconfig to read more about this file */ 3 | "compilerOptions": { 4 | "target": "es6", 5 | "lib": [ 6 | "dom", 7 | "dom.iterable", 8 | "es2023" 9 | ], 10 | "allowJs": true, 11 | "skipLibCheck": true, 12 | "esModuleInterop": true, 13 | "allowSyntheticDefaultImports": true, 14 | "strict": true, 15 | "forceConsistentCasingInFileNames": true, 16 | "noFallthroughCasesInSwitch": true, 17 | "module": "esnext", 18 | "moduleResolution": "node", 19 | "resolveJsonModule": true, 20 | "isolatedModules": true, 21 | "noImplicitAny": true, 22 | "noImplicitThis": true, 23 | "noUnusedLocals": true, 24 | "jsx": "react-jsx", 25 | "sourceMap": true, 26 | }, 27 | "include": [ 28 | "src" 29 | ] 30 | } 31 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/.vscode/launch.json: -------------------------------------------------------------------------------- 1 | { 2 | // Use IntelliSense to learn about possible attributes. 3 | // Hover to view descriptions of existing attributes. 4 | // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 5 | "version": "0.2.0", 6 | "configurations": [ 7 | { 8 | "type": "chrome", 9 | "request": "attach", 10 | "port": 9222, 11 | "name": "Launch & Attach FrontPanel App", 12 | "webRoot": "${workspaceFolder}", 13 | "sourceMaps": true, 14 | "sourceMapPathOverrides": 15 | { 16 | "webpack://frontpanel-camera-reactapp/./*": "${webRoot}/*" 17 | }, 18 | "trace": true, 19 | "preLaunchTask": "Launch FrontPanel App (development)" 20 | } 21 | ] 22 | } 23 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/.vscode/launch.json: -------------------------------------------------------------------------------- 1 | { 2 | // Use IntelliSense to learn about possible attributes. 3 | // Hover to view descriptions of existing attributes. 4 | // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 5 | "version": "0.2.0", 6 | "configurations": [ 7 | { 8 | "type": "chrome", 9 | "request": "attach", 10 | "port": 9222, 11 | "name": "Launch & Attach FrontPanel App", 12 | "webRoot": "${workspaceFolder}", 13 | "sourceMaps": true, 14 | "sourceMapPathOverrides": 15 | { 16 | "webpack://frontpanel-camera-reactapp/./*": "${webRoot}/*" 17 | }, 18 | "trace": true, 19 | "preLaunchTask": "Launch FrontPanel App (development)" 20 | } 21 | ] 22 | } 23 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/tsconfig.json: -------------------------------------------------------------------------------- 1 | { 2 | /* Visit https://aka.ms/tsconfig to read more about this file */ 3 | "compilerOptions": { 4 | "target": "es6", 5 | "lib": [ 6 | "dom", 7 | "dom.iterable", 8 | "es2023" 9 | ], 10 | "allowJs": true, 11 | "skipLibCheck": true, 12 | "esModuleInterop": true, 13 | "allowSyntheticDefaultImports": true, 14 | "strict": true, 15 | "forceConsistentCasingInFileNames": true, 16 | "noFallthroughCasesInSwitch": true, 17 | "module": "esnext", 18 | "moduleResolution": "node", 19 | "resolveJsonModule": true, 20 | "isolatedModules": true, 21 | "noImplicitAny": true, 22 | "noImplicitThis": true, 23 | "noUnusedLocals": true, 24 | "jsx": "react-jsx", 25 | "sourceMap": true, 26 | }, 27 | "include": [ 28 | "src" 29 | ] 30 | } 31 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/.vscode/launch.json: -------------------------------------------------------------------------------- 1 | { 2 | // Use IntelliSense to learn about possible attributes. 3 | // Hover to view descriptions of existing attributes. 4 | // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 5 | "version": "0.2.0", 6 | "configurations": [ 7 | { 8 | "type": "chrome", 9 | "request": "attach", 10 | "port": 9222, 11 | "name": "Launch & Attach FrontPanel App", 12 | "webRoot": "${workspaceFolder}", 13 | "sourceMaps": true, 14 | "sourceMapPathOverrides": 15 | { 16 | "webpack://frontpanel-multidaq-reactapp/./*": "${webRoot}/*" 17 | }, 18 | "trace": true, 19 | "preLaunchTask": "Launch FrontPanel App (development)" 20 | } 21 | ] 22 | } 23 | -------------------------------------------------------------------------------- /ExampleProjects/EthernetExampleDesign/Software/FP-Platform/.vscode/launch.json: -------------------------------------------------------------------------------- 1 | { 2 | // Use IntelliSense to learn about possible attributes. 3 | // Hover to view descriptions of existing attributes. 4 | // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 5 | "version": "0.2.0", 6 | "configurations": [ 7 | { 8 | "type": "chrome", 9 | "request": "attach", 10 | "port": 9222, 11 | "name": "Launch & Attach FrontPanel App", 12 | "webRoot": "${workspaceFolder}", 13 | "sourceMaps": true, 14 | "sourceMapPathOverrides": 15 | { 16 | "webpack://frontpanel-ethernet-reactapp/./*": "${webRoot}/*" 17 | }, 18 | "trace": true, 19 | "preLaunchTask": "Launch FrontPanel App (development)" 20 | } 21 | ] 22 | } 23 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/alert-danger.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/scss/styles.scss: -------------------------------------------------------------------------------- 1 | // Basic 2 | @import "_variables"; 3 | @import "_normalize"; 4 | @import "_mixins"; 5 | // @import "_fonts"; // uncomment and update if used 6 | 7 | // Elements 8 | @import "_scaffolding"; 9 | @import "_type"; 10 | @import "_grid"; 11 | @import "_forms"; 12 | // @import "_input-groups"; 13 | @import "_buttons"; 14 | // @import "_tables"; 15 | @import "_lists"; 16 | // @import "_dropdowns"; 17 | // @import "_icons"; 18 | 19 | // Components 20 | // @import "_figures"; 21 | @import "_boxes"; 22 | @import "_messages"; 23 | // @import "_overlays"; 24 | @import "_alerts"; 25 | // @import "_drawers"; 26 | @import "_media"; 27 | // @import "_tabs"; 28 | // @import "_page-header"; 29 | // @import "_breadcrumbs"; 30 | // @import "_carousels"; 31 | @import "_dialogs"; 32 | 33 | // Extras 34 | // @import "_animate"; 35 | @import "_extras"; 36 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/pug/partials/add-file.pug: -------------------------------------------------------------------------------- 1 | block add-file 2 | 3 | .container.add-file-dialog#dialogAddFile 4 | .col-md-6.col-lg-4.block-center.block-center-md.add-file-content 5 | .central-box.box.box-light.box-shadowed 6 | img.logo-mark(src=imagesPath + "ok-camera-logomark.png" width="80" height="80" alt="OK Camera") 7 | .mt-base.mb-md 8 | h1.h4.txtc#titleAddFile 9 | h1.h3.txtc.mt-base#hintAddFile 10 | form(action="")#formAddFile 11 | .form-group#fileGroup 12 | input.form-control#file(type="file") 13 | .txtc#fileSelected(style="display: none;") 14 | img(src=imagesPath + "icon-bitfile.svg" alt="") 15 | .mt-base.mb-base#fileName 16 | .form-group.mt-md 17 | button.button.button-gradient.button-block.button-disabled(type="button" disabled)#buttonAddFile 18 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/CPLD/top.v: -------------------------------------------------------------------------------- 1 | //------------------------------------------------------------------------- 2 | // EVB1005 CPLD HDL 3 | // 4 | //------------------------------------------------------------------------- 5 | // Copyright (c) 2010 Opal Kelly Incorporated 6 | // $Rev$ $Date$ 7 | //------------------------------------------------------------------------- 8 | 9 | `timescale 1ns / 1ps 10 | module top( 11 | input img_pixclk, 12 | input img_fv, 13 | input img_lv, 14 | input img_strobe, 15 | input [11:0] img_pix, 16 | 17 | output fpga_pixclk, 18 | output fpga_fv, 19 | output fpga_lv, 20 | output fpga_strobe, 21 | output [11:0] fpga_pix 22 | ); 23 | 24 | 25 | assign fpga_pixclk = img_pixclk; 26 | assign fpga_fv = img_fv; 27 | assign fpga_lv = img_lv; 28 | assign fpga_strobe = img_strobe; 29 | assign fpga_pix = img_pix; 30 | 31 | endmodule 32 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/Panel.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okPanel { 9 | display: flex; 10 | flex-direction: column; 11 | 12 | padding: 12px; 13 | 14 | color: black; 15 | background-color: white; 16 | 17 | border-color: black; 18 | border-radius: 8px; 19 | } 20 | 21 | .okPanelHeader { 22 | display: flex; 23 | flex-direction: row; 24 | justify-content: space-between; 25 | } 26 | 27 | .okPanelContent { 28 | display: flex; 29 | flex-direction: column; 30 | flex-shrink: 1; 31 | margin-top: 8px; 32 | } 33 | 34 | .okPanelTitleText { 35 | font-size: 24px; 36 | font-weight: semi-bold; 37 | } 38 | 39 | .okPanelDescriptionText { 40 | font-size: 14px; 41 | } 42 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/Panel.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okPanel { 9 | flex-shrink: 1; 10 | display: flex; 11 | flex-direction: column; 12 | 13 | padding: 12px; 14 | 15 | color: black; 16 | background-color: white; 17 | 18 | border-color: black; 19 | border-radius: 8px; 20 | } 21 | 22 | .okPanelHeader { 23 | display: flex; 24 | flex-direction: row; 25 | justify-content: space-between; 26 | } 27 | 28 | .okPanelContent { 29 | display: flex; 30 | flex-shrink: 1; 31 | flex-grow: 1; 32 | margin-top: 8px; 33 | } 34 | 35 | .okPanelTitleText { 36 | font-size: 24px; 37 | font-weight: semi-bold; 38 | } 39 | 40 | .okPanelDescriptionText { 41 | font-size: 14px; 42 | } 43 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/pug/partials/_variables.pug: -------------------------------------------------------------------------------- 1 | //- META BLOCK 2 | //- Used for the section of the website. 3 | //- All variables can be overwritten in any template with `append meta` block 4 | block meta 5 | //- General 6 | - var title = "FrontPanel Camera Sample"; 7 | - var description = "FrontPanel Camera Sample"; 8 | - var author = "Opal Kelly"; 9 | 10 | //- Paths 11 | - var assetsPath = "../"; 12 | - var imagesPath = assetsPath + "images/"; 13 | - var scssPath = assetsPath + "scss/"; 14 | - var tsPath = assetsPath + "ts/"; 15 | - var faviconsPath = imagesPath + "favicons/"; 16 | 17 | //- Favicons 18 | - var safariPinnedTabColor = "#0075FF"; 19 | - var msApplicationTileColor = "#da532c"; 20 | - var themeColor = "#ffffff"; 21 | 22 | //- Define page title and url extension structure (has to be out of the meta block!) 23 | - var pageTitle = title; 24 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/ts/camera-error.ts: -------------------------------------------------------------------------------- 1 | /** 2 | * The camera error return codes. 3 | */ 4 | export enum CameraErrorCode { 5 | Failed = -1, 6 | Timeout = -2, 7 | ImageReadoutShort = -3, 8 | ImageReadoutError = -4 9 | } 10 | 11 | /** 12 | * The camera error class. 13 | */ 14 | export class CameraError extends Error { 15 | public readonly code: CameraErrorCode; 16 | public readonly reason: string; 17 | 18 | constructor(code: CameraErrorCode, reason: string) { 19 | super(`${reason}: ${CameraErrorCode[code]}`); 20 | 21 | this.code = code; 22 | this.reason = reason; 23 | 24 | // Set the prototype explicitly. 25 | // See: https://github.com/microsoft/TypeScript-wiki/blob/adb1638fb20073df92b3d4bbd3821c9b78316faa/Breaking-Changes.md#extending-built-ins-like-error-array-and-map-may-no-longer-work 26 | Object.setPrototypeOf(this, CameraError.prototype); 27 | } 28 | } 29 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/set-clock-brk1900/set-clock-brk1900.bb: -------------------------------------------------------------------------------- 1 | # 2 | # This file is the set-clock-brk1900 recipe. 3 | # 4 | 5 | SUMMARY = "Simple set-clock-brk1900 application" 6 | SECTION = "PETALINUX/apps" 7 | LICENSE = "MIT" 8 | LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302" 9 | 10 | SRC_URI = "file://set-clock-brk1900.cpp \ 11 | file://BRK1900-Si5338-Regs.h \ 12 | file://Makefile \ 13 | " 14 | 15 | S = "${WORKDIR}" 16 | 17 | do_compile() { 18 | oe_runmake 19 | } 20 | 21 | do_install() { 22 | install -d ${D}${bindir} 23 | install -m 0755 set-clock-brk1900 ${D}${bindir} 24 | install -d ${D}/home/root/tools/set-clock-brk1900 25 | install -m 0755 set-clock-brk1900.cpp ${D}/home/root/tools/set-clock-brk1900 26 | install -m 0755 BRK1900-Si5338-Regs.h ${D}/home/root/tools/set-clock-brk1900 27 | install -m 0755 Makefile ${D}/home/root/tools/set-clock-brk1900 28 | } 29 | FILES_${PN} += "/home/root/*" 30 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-apps/set-clock-ecm1900/set-clock-ecm1900.bb: -------------------------------------------------------------------------------- 1 | # 2 | # This file is the set-clock-ecm1900 recipe. 3 | # 4 | 5 | SUMMARY = "Simple set-clock-ecm1900 application" 6 | SECTION = "PETALINUX/apps" 7 | LICENSE = "MIT" 8 | LIC_FILES_CHKSUM = "file://${COMMON_LICENSE_DIR}/MIT;md5=0835ade698e0bcf8506ecda2f7b4f302" 9 | 10 | SRC_URI = "file://set-clock-ecm1900.cpp \ 11 | file://ECM1900-Si5341-Regs.h \ 12 | file://Makefile \ 13 | " 14 | 15 | S = "${WORKDIR}" 16 | 17 | do_compile() { 18 | oe_runmake 19 | } 20 | 21 | do_install() { 22 | install -d ${D}${bindir} 23 | install -m 0755 set-clock-ecm1900 ${D}${bindir} 24 | install -d ${D}/home/root/tools/set-clock-ecm1900 25 | install -m 0755 set-clock-ecm1900.cpp ${D}/home/root/tools/set-clock-ecm1900 26 | install -m 0755 ECM1900-Si5341-Regs.h ${D}/home/root/tools/set-clock-ecm1900 27 | install -m 0755 Makefile ${D}/home/root/tools/set-clock-ecm1900 28 | } 29 | FILES_${PN} += "/home/root/*" 30 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/FFTSignalGeneratorView.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okSignalGenerator { 9 | display: flex; 10 | flex-direction: column; 11 | justify-content: flex-end; 12 | gap: 8px; 13 | } 14 | 15 | .okSignalGeneratorControlPanel { 16 | display: flex; 17 | flex-direction: row; 18 | align-items: center; 19 | justify-content: space-between; 20 | gap: 8px; 21 | } 22 | 23 | .okSignalGeneratorContentPanel { 24 | height: 300px; 25 | padding: 4px; 26 | 27 | display: flex; 28 | flex-direction: column; 29 | gap: 8px; 30 | 31 | overflow-y: auto; 32 | } 33 | 34 | .okSignalGeneratorListItem { 35 | min-width: max-content; 36 | 37 | padding: 8px; 38 | 39 | box-shadow: 0 0 0 1px var(--gray-a5); 40 | border-radius: 12px; 41 | border: 1px solid transparent; 42 | } 43 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi: -------------------------------------------------------------------------------- 1 | /include/ "system-conf.dtsi" 2 | / { 3 | chosen { 4 | xlnx,eeprom = &eeprom; 5 | }; 6 | }; 7 | 8 | &i2c1 { 9 | clock-frequency = <400000>; 10 | status = "okay"; 11 | eeprom: eeprom@57 { 12 | compatible = "atmel,24c08"; 13 | reg = <0x57>; 14 | }; 15 | }; 16 | &sdhci1 { 17 | clock-frequency = <200000000>; 18 | disable-wp; 19 | status = "okay"; 20 | xlnx,mio_bank = <0x1>; 21 | no-1-8-v; 22 | broken-mmc-highspeed; 23 | }; 24 | &gpio { 25 | emio-gpio-width = <32>; 26 | gpio-mask-high = <0x0>; 27 | gpio-mask-low = <0x5600>; 28 | status = "okay"; 29 | }; 30 | &gem0 { 31 | status = "okay"; 32 | phy-mode = "rgmii-id"; 33 | xlnx,ptp-enet-clock = <0x0>; 34 | phy-handle = <ðernet_phy>; 35 | 36 | ethernet_phy: ethernet-phy@0 { 37 | reg = <0>; 38 | device_type = "ethernet-phy"; 39 | compatible = "ti,dp83867", "ethernet-phy-ieee802.3-c22"; 40 | ti,rx-internal-delay = <0x8>; 41 | ti,tx-internal-delay = <0xa>; 42 | ti,fifo-depth = <0x1>; 43 | }; 44 | }; 45 | 46 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/okwx.h: -------------------------------------------------------------------------------- 1 | //------------------------------------------------------------------------ 2 | // okCBitmapListDecoder.h 3 | // 4 | //------------------------------------------------------------------------ 5 | // Copyright (c) 2020 Opal Kelly Incorporated 6 | //------------------------------------------------------------------------ 7 | 8 | #ifndef __okwx_h__ 9 | #define __okwx_h__ 10 | 11 | #include 12 | 13 | #include 14 | 15 | // These conversion functions are required for compatibility with wx 3.0, which doesn't 16 | // provide conversion argument in ToStdString() and FromUTF8() overload taking std::string. 17 | // When we can require wx 3.2 or later, they could be dropped and replaced with wx methods. 18 | inline std::string wx2std(const wxString& s) 19 | { 20 | const wxScopedCharBuffer& buf = s.utf8_str(); 21 | return std::string(buf.data(), buf.length()); 22 | } 23 | 24 | 25 | inline wxString std2wx(const std::string& s) 26 | { 27 | return wxString::FromUTF8(s.c_str(), s.length()); 28 | } 29 | 30 | 31 | #endif // __okwx_h__ 32 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/FrontPanelSDK_submodule.props: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | $(SolutionDir)FrontPanel\FrontPanelDLL 5 | 6 | 7 | $(SolutionDir)FrontPanel\build\Win32\$(Configuration) 8 | 9 | 10 | $(SolutionDir)FrontPanel\build\x64\$(Configuration) 11 | 12 | 13 | 14 | $(okFP_SDK_INCLUDE);%(AdditionalIncludeDirectories) 15 | 16 | 17 | $(okFP_SDK_LIBS);%(AdditionalLibraryDirectories) 18 | 19 | 20 | 21 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/favicons/safari-pinned-tab.svg: -------------------------------------------------------------------------------- 1 | 2 | 4 | 7 | 8 | Created by potrace 1.11, written by Peter Selinger 2001-2013 9 | 10 | 12 | 18 | 19 | 20 | -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/APP-INFO.md: -------------------------------------------------------------------------------- 1 | This app is a basic template to use when starting a new FrontPanel Platform project. In addition to providing the scaffolding for a fully-functional application, the template includes: 2 | 3 | * **App Manifest** - An example of the FrontPanel application manifest to communicate app characteristics to the launcher. 4 | * **FPGA Configuration** - Example source code for configuring the FPGA for an application with multiple device compatibility. 5 | * **Work Queue** - Example source code demonstrating how to use the work queue for managing single-threaded communication with the device in the asynchronous execution environment of the browser. 6 | * **Timer Polling** - Example source code demonstrating how to implement timer polling for real-time device telemetry updates. 7 | 8 | ## Usage 9 | 10 | The template app gateware has a simple counter implementation. The GUI provides real-time telemetry updates of that counter for demonstration purposes. 11 | 12 | ## Version History 13 | 14 | * 1.0.0 (released 2025-08-26) 15 | * Initial release of FrontPanel Platform app. -------------------------------------------------------------------------------- /Software/Templates/frontpanel-react-app/src/FPGAConfigurationFiles.ts: -------------------------------------------------------------------------------- 1 | 2 | import '../assets/XEM7001-A15/counters.bit'; 3 | import '../assets/XEM7010-A200/counters.bit'; 4 | import '../assets/XEM7010-A50/counters.bit'; 5 | import '../assets/XEM7305/counters.bit'; 6 | import '../assets/XEM7310-A200/counters.bit'; 7 | import '../assets/XEM7310-A75/counters.bit'; 8 | import '../assets/XEM7310MT-A200/counters.bit'; 9 | import '../assets/XEM7310MT-A75/counters.bit'; 10 | import '../assets/XEM7320-A200T/counters.bit'; 11 | import '../assets/XEM7320-A75T/counters.bit'; 12 | import '../assets/XEM7350-K160T/counters.bit'; 13 | import '../assets/XEM7350-K410T/counters.bit'; 14 | import '../assets/XEM7350-K70T/counters.bit'; 15 | import '../assets/XEM7360-K160T/counters.bit'; 16 | import '../assets/XEM7360-K410T/counters.bit'; 17 | import '../assets/XEM8305-AU15P/counters.bit'; 18 | import '../assets/XEM8310-AU25P/counters.bit'; 19 | import '../assets/XEM8320-AU25P/counters.bit'; 20 | import '../assets/XEM8350-KU060/counters.bit'; 21 | import '../assets/XEM8350-KU115/counters.bit'; 22 | import '../assets/XEM8370-KU11P/counters.bit'; 23 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/scss/_alerts.scss: -------------------------------------------------------------------------------- 1 | // MUSTRA Alerts 2 | 3 | .alert { 4 | display: none; 5 | flex-direction: column; 6 | align-items: center; 7 | padding: $grid-gutter; 8 | background-color: $color-light; 9 | border: 5px solid transparent; 10 | border-radius: $border-radius-lg; 11 | position: relative; 12 | box-shadow: 0 10px 42px rgba(0,0,0,.15); 13 | max-width: 33vw; 14 | position: fixed; 15 | top: 50%; 16 | left: 50%; 17 | transform: translate(-50%,-50%); 18 | z-index: 999; 19 | 20 | @media (max-width: $screen-md-max) { 21 | max-width: 100vw; 22 | width: 90vw; 23 | } 24 | 25 | &.active { 26 | display: flex; 27 | } 28 | 29 | } 30 | 31 | .alert-content { 32 | margin-top: $spacing-md; 33 | } 34 | 35 | .alert-actions { 36 | margin-top: $spacing-md; 37 | } 38 | 39 | // 40 | // Flavors 41 | // 42 | 43 | .alert-warning { 44 | border-color: $color-warning; 45 | } 46 | 47 | .alert-success { 48 | border-color: $color-success; 49 | } 50 | 51 | .alert-danger { 52 | border-color: $color-danger; 53 | } 54 | 55 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform/.eslintrc.json: -------------------------------------------------------------------------------- 1 | { 2 | "env": { 3 | "browser": true, 4 | "es2021": true 5 | }, 6 | "extends": [ 7 | "eslint:recommended", 8 | "plugin:@typescript-eslint/recommended", 9 | "plugin:react/recommended" 10 | ], 11 | "parser": "@typescript-eslint/parser", 12 | "parserOptions": { 13 | "ecmaVersion": "latest", 14 | "sourceType": "module" 15 | }, 16 | "plugins": [ 17 | "@typescript-eslint", 18 | "react" 19 | ], 20 | "rules": { 21 | "@typescript-eslint/no-unused-vars": [ 22 | "error", 23 | { 24 | "args": "all", 25 | "argsIgnorePattern": "^_", 26 | "caughtErrors": "all", 27 | "caughtErrorsIgnorePattern": "^_", 28 | "destructuredArrayIgnorePattern": "^_", 29 | "varsIgnorePattern": "^_", 30 | "ignoreRestSiblings": true 31 | } 32 | ] 33 | }, 34 | "settings": { 35 | "react": { 36 | "version": "detect" 37 | } 38 | } 39 | } 40 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FP-Platform-HLS/.eslintrc.json: -------------------------------------------------------------------------------- 1 | { 2 | "env": { 3 | "browser": true, 4 | "es2021": true 5 | }, 6 | "extends": [ 7 | "eslint:recommended", 8 | "plugin:@typescript-eslint/recommended", 9 | "plugin:react/recommended" 10 | ], 11 | "parser": "@typescript-eslint/parser", 12 | "parserOptions": { 13 | "ecmaVersion": "latest", 14 | "sourceType": "module" 15 | }, 16 | "plugins": [ 17 | "@typescript-eslint", 18 | "react" 19 | ], 20 | "rules": { 21 | "@typescript-eslint/no-unused-vars": [ 22 | "error", 23 | { 24 | "args": "all", 25 | "argsIgnorePattern": "^_", 26 | "caughtErrors": "all", 27 | "caughtErrorsIgnorePattern": "^_", 28 | "destructuredArrayIgnorePattern": "^_", 29 | "varsIgnorePattern": "^_", 30 | "ignoreRestSiblings": true 31 | } 32 | ] 33 | }, 34 | "settings": { 35 | "react": { 36 | "version": "detect" 37 | } 38 | } 39 | } 40 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/configs/busybox/inetd.conf: -------------------------------------------------------------------------------- 1 | #/etc/inetd.conf: see inetd(8) for further informations. 2 | # 3 | # Internet server configuration database 4 | # 5 | # If you want to disable an entry so it isn't touched during 6 | # package updates just comment it out with a single '#' character. 7 | # 8 | # 9 | # 10 | #:INTERNAL: Internal services 11 | #echo stream tcp nowait root internal 12 | #echo dgram udp wait root internal 13 | #chargen stream tcp nowait root internal 14 | #chargen dgram udp wait root internal 15 | #discard stream tcp nowait root internal 16 | #discard dgram udp wait root internal 17 | #daytime stream tcp nowait root internal 18 | #daytime dgram udp wait root internal 19 | #time stream tcp nowait root internal 20 | #time dgram udp wait root internal 21 | telnet stream tcp nowait root telnetd telnetd -i 22 | ftp stream tcp nowait root ftpd ftpd -w 23 | -------------------------------------------------------------------------------- /readme.md: -------------------------------------------------------------------------------- 1 | # Opal Kelly Design Resources 2 | 3 | Components, examples and tools provided to facilitate usage of Opal Kelly products. 4 | 5 | ## [ExampleProjects](/ExampleProjects) 6 | Full featured example projects 7 | 8 | ## [HDLComponents](/HDLComponents) 9 | Component usage examples 10 | 11 | ## [BoardTools](/BoardTools) 12 | Tools for various Opal Kelly products 13 | 14 | --- 15 | 16 | **Notice Regarding Path Length Limitation in Windows with Vivado Builds** 17 | 18 | This repository contains files with long paths, which may cause issues when building with Vivado on Windows. Vivado may generate file paths that, when combined with our repository paths, exceed the 260-character limit on Windows systems, leading to errors during the build process. 19 | 20 | For solutions and workarounds, refer to [AMD's AR52787](https://www.xilinx.com/support/answers/52787.html), which provides detailed guidance based on your preferences. 21 | 22 | If you encounter path length errors, move the specific project you're working on to a location closer to the root of your C: drive, such as `C:/project/`. This will shorten paths during Vivado builds and will help avoid errors. 23 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/scss/_messages.scss: -------------------------------------------------------------------------------- 1 | // ========== 2 | // MUSTRA MESSAGES 3 | // 4 | // Basic message styles in various flavors. 5 | 6 | .message { 7 | position: absolute; 8 | top: 0; 9 | left: 0; 10 | right: 0; 11 | padding: $spacing-base; 12 | 13 | &.animated { 14 | cursor: pointer; 15 | } 16 | } 17 | 18 | .camera-message { 19 | position: relative; 20 | height: 0px; 21 | z-index: 399; 22 | 23 | &.animated { 24 | cursor: pointer; 25 | } 26 | } 27 | 28 | // 29 | // Elements 30 | // 31 | 32 | .message-content {} 33 | 34 | .camera-message-content { 35 | position: absolute; 36 | top: 0; 37 | left: 0; 38 | right: 0; 39 | width: 100%; 40 | padding: $spacing-base; 41 | } 42 | 43 | // 44 | // Flavors 45 | // 46 | 47 | .message-default { 48 | background-color: #fff; 49 | } 50 | 51 | .message-success { 52 | background-color: $color-success; 53 | color: #fff; 54 | } 55 | 56 | .message-danger { 57 | background-color: $color-danger; 58 | color: #fff; 59 | } 60 | 61 | .message-warning { 62 | background-color: $color-warning; 63 | } 64 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/led.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21 | -------------------------------------------------------------------------------- /HDLComponents/Synchronizers/README.md: -------------------------------------------------------------------------------- 1 | Synchronizer Collection 2 | ======================= 3 | This repository contains a collection of useful synchronizers that can 4 | assist in passing various signals between asynchronous clock domains in 5 | an FPGA design. 6 | 7 | 8 | AutoBusSync 9 | ----------- 10 | The AutoBusSync is a simple bus synchronizer used to synchronize a single 11 | bus between two domains. This uses a request/acknowledge architecture and 12 | therefore requires the bus to remain stable for a number of clock cycles 13 | on each clock domain. 14 | 15 | SyncReset 16 | --------- 17 | The SyncReset module can be used to synchronize the deassertion of any 18 | asynchronous reset signal to a given clock domain. 19 | 20 | SyncTrig 21 | -------- 22 | The SyncTrig module can be used to synchronize a "trigger" between two 23 | different clock domains. A trigger is defined as a signal that remains 24 | high for a single clock cycle. 25 | 26 | 27 | Simulation 28 | ---------- 29 | Each synchronizer includes a basic test fixture to allow simulation and to 30 | demonstrate use of the module. These simulations are not intended to be 31 | exhaustive tests of the modules functionality. -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/status-connected.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21 | -------------------------------------------------------------------------------- /ExampleProjects/frontpanel-hls/XEM7320/octave/gen_data.m: -------------------------------------------------------------------------------- 1 | % Simple example to generate data for the FIR filter HLS demo from Opal Kelly 2 | % 3 | % Copyright (c) 2018 Opal Kelly Incorporated 4 | 5 | coef = [ 0.042153588198237606, 6 | 0.09254487085124112, 7 | 0.08627292857696542, 8 | -0.0066099899662500515, 9 | -0.09647274861311855, 10 | -0.03655279492291376, 11 | 0.1889147108950072, 12 | 0.4024647831036765, 13 | 0.4024647831036765, 14 | 0.1889147108950072, 15 | -0.03655279492291376, 16 | -0.09647274861311855, 17 | -0.0066099899662500515, 18 | 0.08627292857696542, 19 | 0.09254487085124112, 20 | 0.042153588198237606 21 | ]; 22 | 23 | SAMP_RATE_Hz = 44100; 24 | TIME_s = 10; 25 | 26 | SWEEP_START_Hz = 200; 27 | SWEEP_END_Hz = 22000; 28 | 29 | t = 0:(SAMP_RATE_Hz*TIME_s)-1; 30 | 31 | f = linspace(SWEEP_START_Hz, SWEEP_END_Hz, length(t)); 32 | 33 | input_waveform = (sin(pi * (f .* t) / (SAMP_RATE_Hz))); 34 | 35 | output_waveform = filter(coef, 1, input_waveform); 36 | 37 | %specgram(input_waveform, 256, SAMP_RATE_Hz); 38 | 39 | input_data = input_waveform'; 40 | 41 | output_data = output_waveform'; 42 | 43 | save "input.dat" input_data; 44 | save "output.dat" output_data; 45 | 46 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/status-disconnected.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21 | -------------------------------------------------------------------------------- /HDLComponents/SPIFlashController/README.md: -------------------------------------------------------------------------------- 1 | SPIFlashController Software Distribution 2 | ======================================== 3 | This is a SPI Flash Controller designed to brdige a basic FIFO interface 4 | and a SPI Flash device. The sources are relatively FPGA agnostic. 5 | 6 | This controller has been verified in FPGA hardware with multiple 7 | [Opal Kelly](https://www.opalkelly.com) devices. 8 | 9 | 10 | Simulation 11 | ---------- 12 | A test fixture is provided for the controller in the Simulation folder. 13 | This text fixture is designed to interact with a SPI flash simulation 14 | model (see below). This test is intended to demonstrate usage of the SPI 15 | flash controller only and is not indended to be used in verification. 16 | 17 | 18 | SPI Flash Device Simulation Models 19 | ---------------------------------- 20 | Simulation Models for Micron SPI Flash devices are used in the simulation 21 | of the controller. These models may be downloaded directly from Micron and 22 | are not included in this distribution. 23 | 24 | License 25 | ------- 26 | This project is released under the [MIT License](https://opensource.org/licenses/MIT). 27 | Please see the LICENSE file for more information. 28 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/images/icon-log-toggle.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | -------------------------------------------------------------------------------- /ExampleProjects/Sensor_Sample/XEM7320/software/python/lps22hb.py: -------------------------------------------------------------------------------- 1 | #!/usr/bin/env python 2 | """Test communication with the LPS22HB sensor component""" 3 | 4 | from __future__ import print_function 5 | import ok 6 | from spi_api import SPI 7 | 8 | 9 | def twos_comp(val, bits): 10 | # Compute two's complement of val and return it 11 | if (val & (1 << (bits - 1))): 12 | val = val - (1 << bits) 13 | return val 14 | 15 | 16 | def LPS22HBTest(dev): 17 | # Quick and dirty reading of the temp and pressure from the LPS22HB sensor 18 | lps22hb = SPI(dev, 0x03, 0x24, 0x42, 1) 19 | 20 | print("LPS22HB WHO_AM_I: ", hex(lps22hb.ReadByte(0x0F))) 21 | 22 | # One-shot capture 23 | lps22hb.WriteByte(0x11, 0x01) 24 | 25 | pressure_val = (lps22hb.ReadByte(0x2A) << 16) \ 26 | | (lps22hb.ReadByte(0x29) << 8) | (lps22hb.ReadByte(0x28)) 27 | pressure_val = twos_comp(pressure_val, 24) 28 | 29 | pressure_hPa = float(pressure_val) / 4096 30 | 31 | print("LPS22HB Pressure: ", pressure_hPa, " hPa") 32 | 33 | temp_val = (lps22hb.ReadByte(0x2C) << 8) | (lps22hb.ReadByte(0x2B)) 34 | temp_val = twos_comp(temp_val, 16) 35 | 36 | temp_C = float(temp_val) / 100 37 | 38 | print("LPS22HB Temp: ", temp_C, " C") 39 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/SignalGeneratorView.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .okSignalGenerator { 9 | display: flex; 10 | padding: 10px; 11 | flex-direction: column; 12 | align-items: flex-start; 13 | gap: 10px; 14 | flex: 1 0 0; 15 | } 16 | 17 | .okSignalGeneratorControlPanel { 18 | display: flex; 19 | padding: 10px; 20 | align-items: flex-start; 21 | gap: 10px; 22 | align-self: stretch; 23 | } 24 | 25 | .okSignalGeneratorContentPanel { 26 | display: flex; 27 | padding: 10px; 28 | align-items: center; 29 | align-content: center; 30 | align-items: flex-start; 31 | gap: 10px; 32 | flex-wrap: wrap; 33 | } 34 | 35 | .okSignalGeneratorListItem { 36 | padding: 8px; 37 | box-shadow: 0 0 0 1px var(--gray-a5); 38 | border-radius: 12px; 39 | border: 1px solid transparent; 40 | } 41 | 42 | .dacControlElement { 43 | display: flex; 44 | flex-direction: row; 45 | } 46 | 47 | .dacControlElement > * { 48 | /* Selects all direct children of .dacControlElement */ 49 | padding: 5px; 50 | } 51 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/FrontPanel.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .FrontPanel { 9 | flex-grow: 1; 10 | align-self: stretch; 11 | display: flex; 12 | flex-direction: column; 13 | align-content: center; 14 | gap: 8px; 15 | padding: 6px; 16 | margin: 6px; 17 | border-radius: 8px; 18 | } 19 | 20 | .RowLayoutContainer { 21 | align-self: stretch; 22 | display: inline-flex; 23 | flex-direction: row; 24 | align-content: stretch; 25 | gap: 8px; 26 | } 27 | 28 | .SignalGeneratorViewPanel { 29 | flex-shrink: 1; 30 | flex-grow: 1; 31 | display: flex; 32 | 33 | .okPanelContent { 34 | display: flex; 35 | flex-shrink: 1; 36 | flex-grow: 1; 37 | 38 | min-width: 300px; 39 | } 40 | } 41 | 42 | .SignalCaptureViewPanel { 43 | flex-shrink: 1; 44 | flex-grow: 1; 45 | display: flex; 46 | 47 | .okPanelContent { 48 | display: flex; 49 | flex-shrink: 1; 50 | flex-grow: 1; 51 | 52 | min-width: 300px; 53 | height: 300px; 54 | } 55 | } 56 | -------------------------------------------------------------------------------- /ExampleProjects/Sensor_Sample/XEM8320/software/python/lps22hb.py: -------------------------------------------------------------------------------- 1 | #!/usr/bin/env python 2 | """Test communication with the LPS22HB sensor component""" 3 | 4 | from __future__ import print_function 5 | import ok 6 | from spi_api import SPI 7 | import time 8 | 9 | 10 | def twos_comp(val, bits): 11 | # Compute two's complement of val and return it 12 | if (val & (1 << (bits - 1))): 13 | val = val - (1 << bits) 14 | return val 15 | 16 | 17 | def LPS22HBTest(dev): 18 | # Quick and dirty reading of the temp and pressure from the LPS22HB sensor 19 | lps22hb = SPI(dev, 0x03, 0x24, 0x42, 1) 20 | 21 | print("LPS22HB WHO_AM_I: ", hex(lps22hb.ReadByte(0x0F))) 22 | 23 | # One-shot capture 24 | lps22hb.WriteByte(0x11, 0x01) 25 | time.sleep(0.1) 26 | pressure_val = (lps22hb.ReadByte(0x2A) << 16) \ 27 | | (lps22hb.ReadByte(0x29) << 8) | (lps22hb.ReadByte(0x28)) 28 | pressure_val = twos_comp(pressure_val, 24) 29 | 30 | pressure_hPa = float(pressure_val) / 4096 31 | 32 | print("LPS22HB Pressure: ", pressure_hPa, " hPa") 33 | 34 | temp_val = (lps22hb.ReadByte(0x2C) << 8) | (lps22hb.ReadByte(0x2B)) 35 | temp_val = twos_comp(temp_val, 16) 36 | 37 | temp_C = float(temp_val) / 100 38 | 39 | print("LPS22HB Temp: ", temp_C, " C") 40 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Cxx/okCameraApp/Info.plist: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | CFBundleInfoDictionaryVersion 6 | 6.0 7 | CFBundleDevelopmentRegion 8 | English 9 | CFBundleIdentifier 10 | com.opalkelly.cameraapp 11 | CFBundleExecutable 12 | okCameraApp 13 | CFBundleGetInfoString 14 | okCameraApp 2.0.0 15 | CFBundleLongVersionString 16 | 2.0.0, (c) 2020 Opal Kelly Incorporated 17 | CFBundleName 18 | okCameraApp 19 | CFBundlePackageType 20 | APPL 21 | CFBundleSignature 22 | okCM 23 | CFBundleIconFile 24 | okApp.icns 25 | CFBundleShortVersionString 26 | 2.0 27 | CFBundleVersion 28 | 2.0 29 | NSHumanReadableCopyright 30 | Copyright (c) 2004-2020 Opal Kelly Incorporated 31 | NSHighResolutionCapable 32 | 33 | 34 | 35 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FreeMat/okCameraSingleCapture.m: -------------------------------------------------------------------------------- 1 | function imgdata = okCameraSingleCapture(dev) 2 | x = 2592; 3 | y = 1944; 4 | imglen = x * y * 1; 5 | imgdata = zeros(imglen, 1, 'uint8'); 6 | 7 | % PingPong = 0 8 | okFPSetWireInValue(dev, hex2dec('00'), hex2dec('0010'), hex2dec('ffff')); 9 | okFPSetWireInValue(dev, hex2dec('02'), bitand(imglen, 65535), hex2dec('ffff')); 10 | okFPSetWireInValue(dev, hex2dec('03'), floor(imglen / 65536), hex2dec('ffff')); 11 | okFPSetWireInValue(dev, hex2dec('04'), 0, hex2dec('ffff')); 12 | okFPSetWireInValue(dev, hex2dec('05'), 0, hex2dec('ffff')); 13 | okFPUpdateWireIns(dev); 14 | 15 | okFPUpdateTriggerOuts(dev); 16 | 17 | % Capture trigger 18 | okFPActivateTriggerIn(dev, hex2dec('40'), 0); 19 | 20 | for i=0:100 21 | okSleepMS(10); 22 | okFPUpdateTriggerOuts(dev); 23 | if (1 == okFPIsTriggered(dev, hex2dec('60'), 1)) 24 | disp('Image available') 25 | break; 26 | end 27 | end 28 | 29 | if (100 ~= i) 30 | % Readout start 31 | okFPActivateTriggerIn(dev, hex2dec('40'), 1); 32 | 33 | okFPReadFromPipeOut(dev, hex2dec('a0'), imglen, imgdata); 34 | 35 | % Readout done 36 | okFPActivateTriggerIn(dev, hex2dec('40'), 2); 37 | else 38 | disp('Image capture timeout') 39 | end 40 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/Panel.tsx: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | import React, { Component } from "react"; 9 | 10 | import classnames from "classnames"; 11 | 12 | import "./Panel.css"; 13 | 14 | /** 15 | * Properties for the Panel component. 16 | */ 17 | interface PanelProps extends React.PropsWithChildren> { 18 | title: string; 19 | description: string; 20 | className?: string; 21 | } 22 | 23 | /** 24 | * Panel component for displaying a title, description, and content. 25 | */ 26 | class Panel extends Component { 27 | render() { 28 | return ( 29 |
30 |
31 | {this.props.title} 32 | {this.props.description} 33 |
34 |
{this.props.children}
35 |
36 | ); 37 | } 38 | } 39 | 40 | export default Panel; 41 | -------------------------------------------------------------------------------- /ExampleProjects/MultiDAQ/XEM8320/software/FP-Platform/src/Panel.tsx: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | import React, { Component } from "react"; 9 | 10 | import classnames from "classnames"; 11 | 12 | import "./Panel.css"; 13 | 14 | /** 15 | * Properties for the Panel component. 16 | */ 17 | interface PanelProps extends React.PropsWithChildren> { 18 | title: string; 19 | description: string; 20 | className?: string; 21 | } 22 | 23 | /** 24 | * Panel component for displaying a title, description, and content. 25 | */ 26 | class Panel extends Component { 27 | render() { 28 | return ( 29 |
30 |
31 | {this.props.title} 32 | {this.props.description} 33 |
34 |
{this.props.children}
35 |
36 | ); 37 | } 38 | } 39 | 40 | export default Panel; 41 | -------------------------------------------------------------------------------- /ExampleProjects/frontpanel-hls/XEM7320/HLS/fir.cpp: -------------------------------------------------------------------------------- 1 | // Vivado HLS FIR Filter 2 | // 3 | // This design is based heavily on the Xilinx HLS FIR example. 4 | // 5 | //------------------------------------------------------------------------ 6 | // 7 | // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 8 | // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 9 | // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 10 | // AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 11 | // LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, 12 | // OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE 13 | // SOFTWARE. 14 | // 15 | //------------------------------------------------------------------------ 16 | 17 | #include "fir.h" 18 | 19 | void fir(inp_data_t *A, out_data_t *B) { 20 | #pragma HLS INTERFACE axis port = A 21 | #pragma HLS INTERFACE axis port = B 22 | static inp_data_t shift_reg[N]; 23 | 24 | acc_t acc = 0; 25 | acc_t mult; 26 | 27 | for (int i = N - 1; i >= 0; i--) { 28 | if (i == 0) { 29 | shift_reg[0] = *A; 30 | } else { 31 | shift_reg[i] = shift_reg[i - 1]; 32 | } 33 | mult = shift_reg[i] * c[i]; 34 | acc += mult; 35 | } 36 | 37 | *B = (out_data_t) acc; 38 | } 39 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/FreeMat/okCameraI2CWrite.m: -------------------------------------------------------------------------------- 1 | function okCameraI2CWrite(dev, addr, data) 2 | okFPActivateTriggerIn(dev, hex2dec('42'), 1); 3 | 4 | % Num of data words 5 | okFPSetWireInValue(dev, hex2dec('01'), hex2dec('0030'), hex2dec('00ff')); 6 | okFPUpdateWireIns(dev); 7 | okFPActivateTriggerIn(dev, hex2dec('42'), 2); 8 | 9 | % Device address 10 | okFPSetWireInValue(dev, hex2dec('01'), hex2dec('00ba'), hex2dec('00ff')); 11 | okFPUpdateWireIns(dev); 12 | okFPActivateTriggerIn(dev, hex2dec('42'), 2); 13 | 14 | % Register address 15 | okFPSetWireInValue(dev, hex2dec('01'), addr, hex2dec('00ff')); 16 | okFPUpdateWireIns(dev); 17 | okFPActivateTriggerIn(dev, hex2dec('42'), 2); 18 | 19 | % Data 0 MSB 20 | okFPSetWireInValue(dev, hex2dec('01'), floor(data/256), hex2dec('00ff')); 21 | okFPUpdateWireIns(dev); 22 | okFPActivateTriggerIn(dev, hex2dec('42'), 2); 23 | 24 | % Data 1 MSB 25 | okFPSetWireInValue(dev, hex2dec('01'), data, hex2dec('00ff')); 26 | okFPUpdateWireIns(dev); 27 | okFPActivateTriggerIn(dev, hex2dec('42'), 2); 28 | 29 | % Start I2C transaction 30 | okFPActivateTriggerIn(dev, hex2dec('42'), 0); 31 | 32 | okFPUpdateTriggerOuts(dev); 33 | while (0 == okFPIsTriggered(dev, hex2dec('61'), 1)) 34 | okSleepMS(1); 35 | okFPUpdateTriggerOuts(dev); 36 | end 37 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM7350/MIG/example_top.xdc: -------------------------------------------------------------------------------- 1 | ################################################################################################## 2 | ## 3 | ## Xilinx, Inc. 2010 www.xilinx.com 4 | ## Mon Jan 27 09:27:54 2014 5 | ## Generated by MIG Version 2.0 6 | ## 7 | ################################################################################################## 8 | ## File name : example_top.xdc 9 | ## Details : Constraints file 10 | ## FPGA Family: KINTEX7 11 | ## FPGA Part: XC7K70T-FBG676 12 | ## Speedgrade: -1 13 | ## Design Entry: VERILOG 14 | ## Frequency: 400 MHz 15 | ## Time Period: 2500 ps 16 | ################################################################################################## 17 | 18 | ################################################################################################## 19 | ## Controller 0 20 | ## Memory Device: DDR3_SDRAM->Components->MT41K256M16XX-125 21 | ## Data Width: 16 22 | ## Time Period: 2500 23 | ## Data Mask: 1 24 | ################################################################################################## 25 | ############## NET - IOSTANDARD ################## 26 | 27 | 28 | -------------------------------------------------------------------------------- /BoardTools/BRK1900/PetaLinux/project-spec/meta-user/recipes-bsp/u-boot/files/0001-ubifs-distroboot-support.patch: -------------------------------------------------------------------------------- 1 | From 357b3eebaa54be1ec8d14b306625eb73732ee5dc Mon Sep 17 00:00:00 2001 2 | From: Ashok Reddy Soma 3 | Date: Wed, 19 Aug 2020 05:29:40 -0600 4 | Subject: [UBOOT PATCH] ubifs: distroboot support 5 | 6 | Signed-off-by: Ashok Reddy Soma 7 | --- 8 | include/configs/xilinx_zynqmp.h | 5 ++++- 9 | 1 file changed, 4 insertions(+), 1 deletion(-) 10 | 11 | diff --git a/include/configs/xilinx_zynqmp.h b/include/configs/xilinx_zynqmp.h 12 | index d3f465a..dc231b8 100644 13 | --- a/include/configs/xilinx_zynqmp.h 14 | +++ b/include/configs/xilinx_zynqmp.h 15 | @@ -154,7 +154,10 @@ 16 | 17 | #define BOOTENV_DEV_QSPI(devtypeu, devtypel, instance) \ 18 | "bootcmd_" #devtypel #instance "=sf probe " #instance " 0 0 && " \ 19 | - "sf read $scriptaddr $script_offset_f $script_size_f && " \ 20 | + "setenv mtdids 'nor0=nor0' && " \ 21 | + "setenv mtdparts 'mtdparts=nor0:16m(raw),-(boot)' && " \ 22 | + "mtdparts && " \ 23 | + "ubi part boot; ubifsmount ubi0:boot; ubifsload $scriptaddr boot.scr; && " \ 24 | "echo QSPI: Trying to boot script at ${scriptaddr} && " \ 25 | "source ${scriptaddr}; echo QSPI: SCRIPT FAILED: continuing...;\0" 26 | 27 | -- 28 | 2.7.4 29 | -------------------------------------------------------------------------------- /ExampleProjects/DAC-ADC/Software/FP-Platform/src/FrontPanel.css: -------------------------------------------------------------------------------- 1 | /** 2 | * Copyright (c) 2024-2025 Opal Kelly Incorporated 3 | * 4 | * This source code is licensed under the MIT license found in the 5 | * LICENSE file in the root directory of this source tree. 6 | */ 7 | 8 | .FrontPanel { 9 | flex-grow: 1; 10 | align-self: stretch; 11 | display: flex; 12 | flex-direction: column; 13 | align-content: center; 14 | gap: 8px; 15 | padding: 6px; 16 | margin: 6px; 17 | border-radius: 8px; 18 | } 19 | 20 | .RowLayoutContainer { 21 | align-self: stretch; 22 | display: inline-flex; 23 | flex-direction: row; 24 | align-content: stretch; 25 | gap: 8px; 26 | } 27 | 28 | .ChartControlPanel { 29 | flex-shrink: 1; 30 | flex-grow: 1; 31 | display: flex; 32 | } 33 | 34 | .ControlPanel { 35 | align-self: stretch; 36 | display: flex; 37 | flex-direction: column; 38 | align-content: stretch; 39 | gap: 8px; 40 | background-color: rgb(255, 255, 255); 41 | padding: 6px; 42 | margin: 6px; 43 | border-radius: 8px; 44 | } 45 | 46 | .SpectrumAnalyzerChartPanel { 47 | flex-shrink: 1; 48 | flex-grow: 1; 49 | display: flex; 50 | 51 | .okPanelContent { 52 | display: flex; 53 | flex-shrink: 1; 54 | flex-grow: 1; 55 | 56 | min-width: 300px; 57 | height: 300px; 58 | } 59 | } 60 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM6010/MIG/mig.xco: -------------------------------------------------------------------------------- 1 | ############################################################## 2 | # 3 | # Xilinx Core Generator version 13.1 4 | # Date: Fri May 06 21:58:41 2011 5 | # 6 | ############################################################## 7 | # 8 | # This file contains the customisation parameters for a 9 | # Xilinx CORE Generator IP GUI. It is strongly recommended 10 | # that you do not manually alter this file as it may cause 11 | # unexpected and unsupported behavior. 12 | # 13 | ############################################################## 14 | # 15 | # BEGIN Project Options 16 | SET addpads = false 17 | SET asysymbol = true 18 | SET busformat = BusFormatAngleBracketNotRipped 19 | SET createndf = false 20 | SET designentry = Advanced 21 | SET device = xc6slx45 22 | SET devicefamily = spartan6 23 | SET flowvendor = Other 24 | SET formalverification = false 25 | SET foundationsym = false 26 | SET implementationfiletype = Ngc 27 | SET package = fgg484 28 | SET removerpms = false 29 | SET simulationfiles = Behavioral 30 | SET speedgrade = -2 31 | SET verilogsim = true 32 | SET vhdlsim = true 33 | # END Project Options 34 | # BEGIN Select 35 | SELECT MIG_Virtex6_and_Spartan6 family Xilinx,_Inc. 3.7 36 | # END Select 37 | # BEGIN Parameters 38 | CSET component_name=mig 39 | CSET xml_input_file=./mig/user_design/mig.prj 40 | # END Parameters 41 | GENERATE 42 | # CRC: 66b579ba 43 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/XEM6310/MIG/mig.xco: -------------------------------------------------------------------------------- 1 | ############################################################## 2 | # 3 | # Xilinx Core Generator version 13.1 4 | # Date: Fri May 06 21:58:41 2011 5 | # 6 | ############################################################## 7 | # 8 | # This file contains the customisation parameters for a 9 | # Xilinx CORE Generator IP GUI. It is strongly recommended 10 | # that you do not manually alter this file as it may cause 11 | # unexpected and unsupported behavior. 12 | # 13 | ############################################################## 14 | # 15 | # BEGIN Project Options 16 | SET addpads = false 17 | SET asysymbol = true 18 | SET busformat = BusFormatAngleBracketNotRipped 19 | SET createndf = false 20 | SET designentry = Advanced 21 | SET device = xc6slx45 22 | SET devicefamily = spartan6 23 | SET flowvendor = Other 24 | SET formalverification = false 25 | SET foundationsym = false 26 | SET implementationfiletype = Ngc 27 | SET package = fgg484 28 | SET removerpms = false 29 | SET simulationfiles = Behavioral 30 | SET speedgrade = -2 31 | SET verilogsim = true 32 | SET vhdlsim = true 33 | # END Project Options 34 | # BEGIN Select 35 | SELECT MIG_Virtex6_and_Spartan6 family Xilinx,_Inc. 3.7 36 | # END Select 37 | # BEGIN Parameters 38 | CSET component_name=mig 39 | CSET xml_input_file=./mig/user_design/mig.prj 40 | # END Parameters 41 | GENERATE 42 | # CRC: 66b579ba 43 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/HDL/ZEM4310/QUARTUS/evb1007.qpf: -------------------------------------------------------------------------------- 1 | # -------------------------------------------------------------------------- # 2 | # 3 | # Copyright (C) 1991-2013 Altera Corporation 4 | # Your use of Altera Corporation's design tools, logic functions 5 | # and other software and tools, and its AMPP partner logic 6 | # functions, and any output files from any of the foregoing 7 | # (including device programming or simulation files), and any 8 | # associated documentation or information are expressly subject 9 | # to the terms and conditions of the Altera Program License 10 | # Subscription Agreement, Altera MegaCore Function License 11 | # Agreement, or other applicable license agreement, including, 12 | # without limitation, that your use is for the sole purpose of 13 | # programming logic devices manufactured by Altera and sold by 14 | # Altera or its authorized distributors. Please refer to the 15 | # applicable agreement for further details. 16 | # 17 | # -------------------------------------------------------------------------- # 18 | # 19 | # Quartus II 64-Bit 20 | # Version 13.1.1 Build 166 11/26/2013 SJ Web Edition 21 | # Date created = 13:32:16 February 27, 2014 22 | # 23 | # -------------------------------------------------------------------------- # 24 | 25 | QUARTUS_VERSION = "13.1" 26 | DATE = "13:32:16 February 27, 2014" 27 | 28 | # Revisions 29 | 30 | PROJECT_REVISION = "evb1007" 31 | -------------------------------------------------------------------------------- /ExampleProjects/Camera/Software/Web/src/scss/_lists.scss: -------------------------------------------------------------------------------- 1 | // MUSTRA LISTS 2 | // 3 | // Basic list styles 4 | 5 | // 6 | // Lists 7 | // 8 | 9 | ul, 10 | ol { 11 | margin-top: 0; 12 | } 13 | 14 | .list-unstyled { 15 | padding-left: 0; 16 | 17 | ul { 18 | padding-left: 0; 19 | } 20 | 21 | li { 22 | list-style: none; 23 | } 24 | } 25 | 26 | .list-inline, 27 | .list-inline-block { 28 | @extend .list-unstyled; 29 | 30 | li { 31 | display: inline; 32 | margin-right: $spacing-base; 33 | margin-top: $spacing-xs; 34 | margin-bottom: $spacing-xs; 35 | } 36 | 37 | // Separate list items with a dot 38 | 39 | &.list-middot { 40 | 41 | li:before { 42 | content: "•"; 43 | margin-right: 1em; 44 | color: $color-quiet; 45 | } 46 | 47 | li:first-child:before { 48 | display: none; 49 | } 50 | 51 | } 52 | 53 | } 54 | 55 | .list-inline-block li { 56 | display: inline-block; 57 | } 58 | 59 | // Fix for right-aligned lists 60 | .list-inline li, 61 | .list-inline-block li { 62 | .rtl &, 63 | .txtr &, 64 | .txtr &, 65 | .txtr-sm &, 66 | .txtr-sm &, 67 | .txtr-md &, 68 | .txtr-md & { 69 | margin-right: 0; 70 | margin-left: $spacing-sm; 71 | } 72 | } 73 | 74 | .list-spaced { 75 | > li { 76 | margin-top: $spacing-base; 77 | margin-bottom: $spacing-base; 78 | } 79 | } 80 | --------------------------------------------------------------------------------