├── README.md ├── lab1 ├── Report.pdf ├── alu │ ├── cod-lab1.cache │ │ └── wt │ │ │ ├── gui_handlers.wdf │ │ │ ├── java_command_handlers.wdf │ │ │ ├── project.wpc │ │ │ ├── synthesis.wdf │ │ │ ├── synthesis_details.wdf │ │ │ ├── webtalk_pa.xml │ │ │ └── xsim.wdf │ ├── cod-lab1.hw │ │ └── cod-lab1.lpr │ ├── cod-lab1.ip_user_files │ │ └── README.txt │ ├── cod-lab1.runs │ │ └── synth_1 │ │ │ ├── ISEWrap.js │ │ │ ├── ISEWrap.sh │ │ │ ├── gen_run.xml │ │ │ ├── htr.txt │ │ │ ├── rundef.js │ │ │ ├── runme.bat │ │ │ ├── runme.log │ │ │ ├── runme.sh │ │ │ ├── top.tcl │ │ │ ├── top.vds │ │ │ ├── top_utilization_synth.rpt │ │ │ ├── vivado.jou │ │ │ └── vivado.pb │ ├── cod-lab1.srcs │ │ ├── constrs_1 │ │ │ └── new │ │ │ │ └── fpgaol.xdc │ │ ├── sim_1 │ │ │ └── new │ │ │ │ └── sim.v │ │ └── sources_1 │ │ │ └── new │ │ │ ├── alu.v │ │ │ ├── input.v │ │ │ └── top.v │ └── cod-lab1.xpr └── fls │ ├── cod-lab1-fls.cache │ └── wt │ │ ├── gui_handlers.wdf │ │ ├── java_command_handlers.wdf │ │ ├── project.wpc │ │ ├── synthesis.wdf │ │ ├── synthesis_details.wdf │ │ ├── webtalk_pa.xml │ │ └── xsim.wdf │ ├── cod-lab1-fls.hw │ └── cod-lab1-fls.lpr │ ├── cod-lab1-fls.ip_user_files │ └── README.txt │ ├── cod-lab1-fls.runs │ └── synth_1 │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── fls.dcp │ │ ├── fls.tcl │ │ ├── fls.vds │ │ ├── fls_utilization_synth.pb │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── vivado.jou │ │ └── vivado.pb │ ├── cod-lab1-fls.sim │ └── sim_1 │ │ └── behav │ │ └── xsim │ │ ├── compile.log │ │ ├── elaborate.bat │ │ ├── elaborate.log │ │ ├── glbl.v │ │ ├── sim.tcl │ │ ├── sim_behav.wdb │ │ ├── sim_vlog.prj │ │ ├── simulate.bat │ │ ├── simulate.log │ │ ├── webtalk.jou │ │ ├── webtalk.log │ │ ├── webtalk_5808.backup.jou │ │ ├── webtalk_5808.backup.log │ │ ├── xelab.pb │ │ ├── xsim.dir │ │ └── sim_behav │ │ │ ├── TempBreakPointFile.txt │ │ │ ├── obj │ │ │ ├── xsim_0.win64.obj │ │ │ ├── xsim_1.c │ │ │ └── xsim_1.win64.obj │ │ │ ├── webtalk │ │ │ ├── usage_statistics_ext_xsim.html │ │ │ ├── usage_statistics_ext_xsim.wdm │ │ │ ├── usage_statistics_ext_xsim.xml │ │ │ └── xsim_webtalk.tcl │ │ │ ├── xsim.dbg │ │ │ ├── xsim.reloc │ │ │ └── xsim.xdbg │ │ ├── xsim.ini │ │ ├── xvlog.log │ │ └── xvlog.pb │ ├── cod-lab1-fls.srcs │ ├── constrs_1 │ │ └── new │ │ │ └── fpgaol.xdc │ ├── sim_1 │ │ └── new │ │ │ └── sim.v │ └── sources_1 │ │ └── new │ │ ├── alu.v │ │ └── fls.v │ └── cod-lab1-fls.xpr ├── lab2 ├── Report.pdf └── src │ ├── lab2.cache │ ├── ip │ │ └── 2020.2 │ │ │ ├── 458bdc6e4027fdef │ │ │ ├── 458bdc6e4027fdef.xci │ │ │ ├── dist_mem_gen_0.dcp │ │ │ ├── dist_mem_gen_0_sim_netlist.v │ │ │ ├── dist_mem_gen_0_sim_netlist.vhdl │ │ │ ├── dist_mem_gen_0_stub.v │ │ │ └── dist_mem_gen_0_stub.vhdl │ │ │ ├── 5ad06fab9ec83d69 │ │ │ ├── 5ad06fab9ec83d69.xci │ │ │ ├── dist_mem_gen_0.dcp │ │ │ ├── dist_mem_gen_0_sim_netlist.v │ │ │ ├── dist_mem_gen_0_sim_netlist.vhdl │ │ │ ├── dist_mem_gen_0_stub.v │ │ │ └── dist_mem_gen_0_stub.vhdl │ │ │ └── dc4a4392ab10cd7f │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ └── dc4a4392ab10cd7f.xci │ └── wt │ │ ├── gui_handlers.wdf │ │ ├── java_command_handlers.wdf │ │ ├── project.wpc │ │ ├── synthesis.wdf │ │ ├── synthesis_details.wdf │ │ ├── webtalk_pa.xml │ │ └── xsim.wdf │ ├── lab2.gen │ └── sources_1 │ │ └── ip │ │ ├── blk_mem_gen_0 │ │ ├── blk_mem_gen_0.dcp │ │ ├── blk_mem_gen_0.mif │ │ ├── blk_mem_gen_0.veo │ │ ├── blk_mem_gen_0.vho │ │ ├── blk_mem_gen_0.xml │ │ ├── blk_mem_gen_0_ooc.xdc │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ ├── blk_mem_gen_0_stub.v │ │ ├── blk_mem_gen_0_stub.vhdl │ │ ├── doc │ │ │ └── blk_mem_gen_v8_4_changelog.txt │ │ ├── hdl │ │ │ └── blk_mem_gen_v8_4_vhsyn_rfs.vhd │ │ ├── misc │ │ │ └── blk_mem_gen_v8_4.vhd │ │ ├── sim │ │ │ └── blk_mem_gen_0.v │ │ ├── simulation │ │ │ └── blk_mem_gen_v8_4.v │ │ ├── summary.log │ │ └── synth │ │ │ └── blk_mem_gen_0.vhd │ │ └── dist_mem_gen_0 │ │ ├── dist_mem_gen_0.dcp │ │ ├── dist_mem_gen_0.mif │ │ ├── dist_mem_gen_0.veo │ │ ├── dist_mem_gen_0.vho │ │ ├── dist_mem_gen_0.xml │ │ ├── dist_mem_gen_0_ooc.xdc │ │ ├── dist_mem_gen_0_sim_netlist.v │ │ ├── dist_mem_gen_0_sim_netlist.vhdl │ │ ├── dist_mem_gen_0_stub.v │ │ ├── dist_mem_gen_0_stub.vhdl │ │ ├── doc │ │ └── dist_mem_gen_v8_0_changelog.txt │ │ ├── hdl │ │ └── dist_mem_gen_v8_0_vhsyn_rfs.vhd │ │ ├── sim │ │ └── dist_mem_gen_0.v │ │ ├── simulation │ │ └── dist_mem_gen_v8_0.v │ │ └── synth │ │ └── dist_mem_gen_0.vhd │ ├── lab2.hw │ └── lab2.lpr │ ├── lab2.ip_user_files │ ├── README.txt │ ├── ip │ │ ├── blk_mem_gen_0 │ │ │ ├── blk_mem_gen_0.veo │ │ │ ├── blk_mem_gen_0.vho │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ └── blk_mem_gen_0_stub.vhdl │ │ └── dist_mem_gen_0 │ │ │ ├── dist_mem_gen_0.veo │ │ │ └── dist_mem_gen_0.vho │ ├── ipstatic │ │ └── simulation │ │ │ ├── blk_mem_gen_v8_4.v │ │ │ └── dist_mem_gen_v8_0.v │ ├── lab2.ip_user_files │ ├── mem_init_files │ │ ├── blk_mem_gen_0.mif │ │ ├── block_init.coe │ │ ├── dist_mem_gen_0.mif │ │ ├── distributed_ip.coe │ │ └── summary.log │ └── sim_scripts │ │ ├── blk_mem_gen_0 │ │ └── questa │ │ │ ├── block_init.coe │ │ │ ├── compile.do │ │ │ ├── file_info.txt │ │ │ ├── simulate.do │ │ │ ├── summary.log │ │ │ └── wave.do │ │ ├── dist_mem_gen_0 │ │ └── questa │ │ │ ├── dist_mem_gen_0.sh │ │ │ └── wave.do │ │ └── sim_scripts │ ├── lab2.runs │ ├── blk_mem_gen_0_synth_1 │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── blk_mem_gen_0.dcp │ │ ├── blk_mem_gen_0.tcl │ │ ├── dont_touch.xdc │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── vivado.jou │ │ └── vivado.pb │ ├── dist_mem_gen_0_synth_1 │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── dist_mem_gen_0.dcp │ │ ├── dist_mem_gen_0.tcl │ │ ├── dist_mem_gen_0.vds │ │ ├── dist_mem_gen_0_utilization_synth.pb │ │ ├── dont_touch.xdc │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── vivado.jou │ │ └── vivado.pb │ ├── impl_1 │ │ ├── FIFO.bit │ │ ├── FIFO.tcl │ │ ├── FIFO.vdi │ │ ├── FIFO_bus_skew_routed.pb │ │ ├── FIFO_bus_skew_routed.rpt │ │ ├── FIFO_bus_skew_routed.rpx │ │ ├── FIFO_clock_utilization_routed.rpt │ │ ├── FIFO_control_sets_placed.rpt │ │ ├── FIFO_drc_opted.pb │ │ ├── FIFO_drc_opted.rpt │ │ ├── FIFO_drc_opted.rpx │ │ ├── FIFO_drc_routed.pb │ │ ├── FIFO_drc_routed.rpt │ │ ├── FIFO_drc_routed.rpx │ │ ├── FIFO_io_placed.rpt │ │ ├── FIFO_methodology_drc_routed.pb │ │ ├── FIFO_methodology_drc_routed.rpt │ │ ├── FIFO_methodology_drc_routed.rpx │ │ ├── FIFO_opt.dcp │ │ ├── FIFO_physopt.dcp │ │ ├── FIFO_placed.dcp │ │ ├── FIFO_power_routed.rpt │ │ ├── FIFO_power_routed.rpx │ │ ├── FIFO_power_summary_routed.pb │ │ ├── FIFO_route_status.pb │ │ ├── FIFO_route_status.rpt │ │ ├── FIFO_routed.dcp │ │ ├── FIFO_timing_summary_routed.pb │ │ ├── FIFO_timing_summary_routed.rpt │ │ ├── FIFO_timing_summary_routed.rpx │ │ ├── FIFO_utilization_placed.pb │ │ ├── FIFO_utilization_placed.rpt │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── init_design.pb │ │ ├── opt_design.pb │ │ ├── phys_opt_design.pb │ │ ├── place_design.pb │ │ ├── project.wdf │ │ ├── route_design.pb │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── usage_statistics_webtalk.html │ │ ├── usage_statistics_webtalk.xml │ │ ├── vivado.jou │ │ ├── vivado.pb │ │ └── write_bitstream.pb │ ├── lab2.runs │ └── synth_1 │ │ ├── FIFO.dcp │ │ ├── FIFO.tcl │ │ ├── FIFO.vds │ │ ├── FIFO_utilization_synth.pb │ │ ├── FIFO_utilization_synth.rpt │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── vivado.jou │ │ └── vivado.pb │ ├── lab2.sim │ └── lab2.sim │ ├── lab2.srcs │ ├── constrs_1 │ │ └── new │ │ │ └── fpgaol_fifo.xdc │ ├── lab2.srcs │ ├── sim_1 │ │ └── new │ │ │ ├── block_mem_sim.v │ │ │ ├── dist_mem_sim.v │ │ │ ├── fifo_sim.v │ │ │ └── regfile_sim.v │ └── sources_1 │ │ ├── ip │ │ ├── blk_mem_gen_0 │ │ │ └── blk_mem_gen_0.xci │ │ ├── block_init.coe │ │ └── dist_mem_gen_0 │ │ │ └── dist_mem_gen_0.xci │ │ └── new │ │ ├── FIFO.v │ │ ├── LCU.v │ │ ├── SDU.v │ │ ├── SEDG.v │ │ ├── distributed_ip.coe │ │ ├── regfile_init.txt │ │ ├── regfile_new.v │ │ └── register_file.v │ └── lab2.xpr ├── lab3 ├── Report.pdf └── src │ ├── fib.s │ └── test.s ├── lab4 ├── README.md ├── alu.v ├── control.v ├── cpu.v ├── regfile.v └── sim.v └── lab5 ├── EX_reg.v ├── ID_reg.v ├── IF_reg.v ├── ME_reg.v ├── README.md ├── WB_reg.v ├── alu.v ├── control_unit.v ├── cpu.v ├── data_ext.v ├── data_mem.v ├── harzard_unit.v ├── next_pc_gen.v └── reg_file.v /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/README.md -------------------------------------------------------------------------------- /lab1/Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/Report.pdf -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.cache/wt/gui_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.cache/wt/gui_handlers.wdf -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.cache/wt/java_command_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.cache/wt/java_command_handlers.wdf -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.cache/wt/project.wpc -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.cache/wt/synthesis.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.cache/wt/synthesis.wdf -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.cache/wt/synthesis_details.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.cache/wt/synthesis_details.wdf -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.cache/wt/xsim.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.cache/wt/xsim.wdf -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.hw/cod-lab1.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.hw/cod-lab1.lpr -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.ip_user_files/README.txt -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/runme.log -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/top.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/top.tcl -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/top.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/top.vds -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/top_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/top_utilization_synth.rpt -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.srcs/constrs_1/new/fpgaol.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.srcs/constrs_1/new/fpgaol.xdc -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.srcs/sim_1/new/sim.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.srcs/sim_1/new/sim.v -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.srcs/sources_1/new/alu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.srcs/sources_1/new/alu.v -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.srcs/sources_1/new/input.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.srcs/sources_1/new/input.v -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.srcs/sources_1/new/top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.srcs/sources_1/new/top.v -------------------------------------------------------------------------------- /lab1/alu/cod-lab1.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/alu/cod-lab1.xpr -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.cache/wt/gui_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.cache/wt/gui_handlers.wdf -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.cache/wt/java_command_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.cache/wt/java_command_handlers.wdf -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.cache/wt/project.wpc -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.cache/wt/synthesis.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.cache/wt/synthesis.wdf -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.cache/wt/synthesis_details.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.cache/wt/synthesis_details.wdf -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.cache/wt/xsim.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.cache/wt/xsim.wdf -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.hw/cod-lab1-fls.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.hw/cod-lab1-fls.lpr -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.ip_user_files/README.txt -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/fls.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/fls.dcp -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/fls.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/fls.tcl -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/fls.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/fls.vds -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/fls_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/fls_utilization_synth.pb -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/runme.log -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/compile.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/compile.log -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/elaborate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/elaborate.bat -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/elaborate.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/elaborate.log -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/glbl.v -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/sim.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/sim.tcl -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/sim_behav.wdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/sim_behav.wdb -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/sim_vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/sim_vlog.prj -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/simulate.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/simulate.bat -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/simulate.log: -------------------------------------------------------------------------------- 1 | Vivado Simulator 2020.2 2 | Time resolution is 1 ps 3 | -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/webtalk.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/webtalk.jou -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/webtalk.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/webtalk.log -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/webtalk_5808.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/webtalk_5808.backup.jou -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/webtalk_5808.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/webtalk_5808.backup.log -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xelab.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xelab.pb -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/TempBreakPointFile.txt: -------------------------------------------------------------------------------- 1 | Breakpoint File Version 1.0 2 | -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_0.win64.obj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_0.win64.obj -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.c -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.win64.obj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.win64.obj -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.html -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.wdm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.wdm -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/usage_statistics_ext_xsim.xml -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/xsim_webtalk.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/webtalk/xsim_webtalk.tcl -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.dbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.dbg -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.reloc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.reloc -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.xdbg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.xdbg -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xsim.ini -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xvlog.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xvlog.log -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xvlog.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.sim/sim_1/behav/xsim/xvlog.pb -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.srcs/constrs_1/new/fpgaol.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.srcs/constrs_1/new/fpgaol.xdc -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.srcs/sim_1/new/sim.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.srcs/sim_1/new/sim.v -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.srcs/sources_1/new/alu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.srcs/sources_1/new/alu.v -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.srcs/sources_1/new/fls.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.srcs/sources_1/new/fls.v -------------------------------------------------------------------------------- /lab1/fls/cod-lab1-fls.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab1/fls/cod-lab1-fls.xpr -------------------------------------------------------------------------------- /lab2/Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/Report.pdf -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/458bdc6e4027fdef.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/458bdc6e4027fdef.xci -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/dist_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/dist_mem_gen_0.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/dist_mem_gen_0_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/dist_mem_gen_0_sim_netlist.v -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/dist_mem_gen_0_sim_netlist.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/dist_mem_gen_0_sim_netlist.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/dist_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/dist_mem_gen_0_stub.v -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/dist_mem_gen_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/458bdc6e4027fdef/dist_mem_gen_0_stub.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/5ad06fab9ec83d69.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/5ad06fab9ec83d69.xci -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/dist_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/dist_mem_gen_0.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/dist_mem_gen_0_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/dist_mem_gen_0_sim_netlist.v -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/dist_mem_gen_0_sim_netlist.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/dist_mem_gen_0_sim_netlist.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/dist_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/dist_mem_gen_0_stub.v -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/dist_mem_gen_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/5ad06fab9ec83d69/dist_mem_gen_0_stub.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/blk_mem_gen_0_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/blk_mem_gen_0_sim_netlist.v -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/blk_mem_gen_0_sim_netlist.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/blk_mem_gen_0_sim_netlist.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/blk_mem_gen_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/blk_mem_gen_0_stub.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/dc4a4392ab10cd7f.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/ip/2020.2/dc4a4392ab10cd7f/dc4a4392ab10cd7f.xci -------------------------------------------------------------------------------- /lab2/src/lab2.cache/wt/gui_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/wt/gui_handlers.wdf -------------------------------------------------------------------------------- /lab2/src/lab2.cache/wt/java_command_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/wt/java_command_handlers.wdf -------------------------------------------------------------------------------- /lab2/src/lab2.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/wt/project.wpc -------------------------------------------------------------------------------- /lab2/src/lab2.cache/wt/synthesis.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/wt/synthesis.wdf -------------------------------------------------------------------------------- /lab2/src/lab2.cache/wt/synthesis_details.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/wt/synthesis_details.wdf -------------------------------------------------------------------------------- /lab2/src/lab2.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /lab2/src/lab2.cache/wt/xsim.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.cache/wt/xsim.wdf -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.mif -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.veo -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.vho: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.vho -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xml -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_ooc.xdc -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_sim_netlist.v -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_sim_netlist.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_sim_netlist.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/doc/blk_mem_gen_v8_4_changelog.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/doc/blk_mem_gen_v8_4_changelog.txt -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/misc/blk_mem_gen_v8_4.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/misc/blk_mem_gen_v8_4.vhd -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/sim/blk_mem_gen_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/sim/blk_mem_gen_0.v -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/simulation/blk_mem_gen_v8_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/simulation/blk_mem_gen_v8_4.v -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/summary.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/summary.log -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/synth/blk_mem_gen_0.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/blk_mem_gen_0/synth/blk_mem_gen_0.vhd -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.mif -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.veo -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.vho: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.vho -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xml -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_ooc.xdc -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_sim_netlist.v -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_sim_netlist.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_sim_netlist.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_stub.v -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_stub.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/doc/dist_mem_gen_v8_0_changelog.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/doc/dist_mem_gen_v8_0_changelog.txt -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/sim/dist_mem_gen_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/sim/dist_mem_gen_0.v -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/simulation/dist_mem_gen_v8_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/simulation/dist_mem_gen_v8_0.v -------------------------------------------------------------------------------- /lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/synth/dist_mem_gen_0.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.gen/sources_1/ip/dist_mem_gen_0/synth/dist_mem_gen_0.vhd -------------------------------------------------------------------------------- /lab2/src/lab2.hw/lab2.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.hw/lab2.lpr -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/README.txt -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0.veo -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0.vho: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0.vho -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/ip/dist_mem_gen_0/dist_mem_gen_0.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/ip/dist_mem_gen_0/dist_mem_gen_0.veo -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/ip/dist_mem_gen_0/dist_mem_gen_0.vho: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/ip/dist_mem_gen_0/dist_mem_gen_0.vho -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/ipstatic/simulation/blk_mem_gen_v8_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/ipstatic/simulation/blk_mem_gen_v8_4.v -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/ipstatic/simulation/dist_mem_gen_v8_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/ipstatic/simulation/dist_mem_gen_v8_0.v -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/lab2.ip_user_files: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/mem_init_files/blk_mem_gen_0.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/mem_init_files/blk_mem_gen_0.mif -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/mem_init_files/block_init.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/mem_init_files/block_init.coe -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/mem_init_files/dist_mem_gen_0.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/mem_init_files/dist_mem_gen_0.mif -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/mem_init_files/distributed_ip.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/mem_init_files/distributed_ip.coe -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/mem_init_files/summary.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/mem_init_files/summary.log -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/block_init.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/block_init.coe -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/compile.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/compile.do -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/file_info.txt -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/simulate.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/simulate.do -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/summary.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/summary.log -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/wave.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/sim_scripts/blk_mem_gen_0/questa/wave.do -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/sim_scripts/dist_mem_gen_0/questa/dist_mem_gen_0.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/sim_scripts/dist_mem_gen_0/questa/dist_mem_gen_0.sh -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/sim_scripts/dist_mem_gen_0/questa/wave.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.ip_user_files/sim_scripts/dist_mem_gen_0/questa/wave.do -------------------------------------------------------------------------------- /lab2/src/lab2.ip_user_files/sim_scripts/sim_scripts: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.tcl -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/gen_run.xml -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/htr.txt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/rundef.js -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/runme.bat -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/runme.log -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/runme.sh -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/vivado.jou -------------------------------------------------------------------------------- /lab2/src/lab2.runs/blk_mem_gen_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/blk_mem_gen_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/dist_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/dist_mem_gen_0.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/dist_mem_gen_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/dist_mem_gen_0.tcl -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/dist_mem_gen_0.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/dist_mem_gen_0.vds -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/dist_mem_gen_0_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/dist_mem_gen_0_utilization_synth.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/gen_run.xml -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/htr.txt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/rundef.js -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/runme.bat -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/runme.log -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/runme.sh -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/vivado.jou -------------------------------------------------------------------------------- /lab2/src/lab2.runs/dist_mem_gen_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/dist_mem_gen_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO.bit -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO.tcl -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO.vdi -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_bus_skew_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_bus_skew_routed.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_bus_skew_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_bus_skew_routed.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_bus_skew_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_bus_skew_routed.rpx -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_clock_utilization_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_clock_utilization_routed.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_control_sets_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_control_sets_placed.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_drc_opted.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_drc_opted.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_drc_opted.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_drc_opted.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_drc_opted.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_drc_opted.rpx -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_drc_routed.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_drc_routed.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_drc_routed.rpx -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_io_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_io_placed.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_methodology_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_methodology_drc_routed.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_methodology_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_methodology_drc_routed.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_methodology_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_methodology_drc_routed.rpx -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_opt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_opt.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_physopt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_physopt.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_placed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_placed.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_power_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_power_routed.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_power_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_power_routed.rpx -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_power_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_power_summary_routed.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_route_status.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_route_status.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_route_status.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_route_status.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_routed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_routed.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_timing_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_timing_summary_routed.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_timing_summary_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_timing_summary_routed.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_timing_summary_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_timing_summary_routed.rpx -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_utilization_placed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_utilization_placed.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/FIFO_utilization_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/FIFO_utilization_placed.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/ISEWrap.js -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/ISEWrap.sh -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/gen_run.xml -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/htr.txt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/init_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/init_design.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/opt_design.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/phys_opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/phys_opt_design.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/place_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/place_design.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/project.wdf -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/route_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/route_design.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/rundef.js -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/runme.bat -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/runme.log -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/runme.sh -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/usage_statistics_webtalk.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/usage_statistics_webtalk.html -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/usage_statistics_webtalk.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/usage_statistics_webtalk.xml -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/vivado.jou -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/vivado.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/impl_1/write_bitstream.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/impl_1/write_bitstream.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/lab2.runs: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/FIFO.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/FIFO.dcp -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/FIFO.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/FIFO.tcl -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/FIFO.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/FIFO.vds -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/FIFO_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/FIFO_utilization_synth.pb -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/FIFO_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/FIFO_utilization_synth.rpt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/runme.log -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /lab2/src/lab2.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /lab2/src/lab2.sim/lab2.sim: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/constrs_1/new/fpgaol_fifo.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/constrs_1/new/fpgaol_fifo.xdc -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/lab2.srcs: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sim_1/new/block_mem_sim.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sim_1/new/block_mem_sim.v -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sim_1/new/dist_mem_sim.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sim_1/new/dist_mem_sim.v -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sim_1/new/fifo_sim.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sim_1/new/fifo_sim.v -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sim_1/new/regfile_sim.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sim_1/new/regfile_sim.v -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xci -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/ip/block_init.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/ip/block_init.coe -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0.xci -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/new/FIFO.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/new/FIFO.v -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/new/LCU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/new/LCU.v -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/new/SDU.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/new/SDU.v -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/new/SEDG.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/new/SEDG.v -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/new/distributed_ip.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/new/distributed_ip.coe -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/new/regfile_init.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/new/regfile_init.txt -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/new/regfile_new.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/new/regfile_new.v -------------------------------------------------------------------------------- /lab2/src/lab2.srcs/sources_1/new/register_file.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.srcs/sources_1/new/register_file.v -------------------------------------------------------------------------------- /lab2/src/lab2.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab2/src/lab2.xpr -------------------------------------------------------------------------------- /lab3/Report.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab3/Report.pdf -------------------------------------------------------------------------------- /lab3/src/fib.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab3/src/fib.s -------------------------------------------------------------------------------- /lab3/src/test.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab3/src/test.s -------------------------------------------------------------------------------- /lab4/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab4/README.md -------------------------------------------------------------------------------- /lab4/alu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab4/alu.v -------------------------------------------------------------------------------- /lab4/control.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab4/control.v -------------------------------------------------------------------------------- /lab4/cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab4/cpu.v -------------------------------------------------------------------------------- /lab4/regfile.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab4/regfile.v -------------------------------------------------------------------------------- /lab4/sim.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab4/sim.v -------------------------------------------------------------------------------- /lab5/EX_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/EX_reg.v -------------------------------------------------------------------------------- /lab5/ID_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/ID_reg.v -------------------------------------------------------------------------------- /lab5/IF_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/IF_reg.v -------------------------------------------------------------------------------- /lab5/ME_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/ME_reg.v -------------------------------------------------------------------------------- /lab5/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/README.md -------------------------------------------------------------------------------- /lab5/WB_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/WB_reg.v -------------------------------------------------------------------------------- /lab5/alu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/alu.v -------------------------------------------------------------------------------- /lab5/control_unit.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/control_unit.v -------------------------------------------------------------------------------- /lab5/cpu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/cpu.v -------------------------------------------------------------------------------- /lab5/data_ext.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/data_ext.v -------------------------------------------------------------------------------- /lab5/data_mem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/data_mem.v -------------------------------------------------------------------------------- /lab5/harzard_unit.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/harzard_unit.v -------------------------------------------------------------------------------- /lab5/next_pc_gen.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/next_pc_gen.v -------------------------------------------------------------------------------- /lab5/reg_file.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/pingzhili/COD_2021Spring/HEAD/lab5/reg_file.v --------------------------------------------------------------------------------