├── impl ├── pnr │ ├── pnr.cfg │ └── device.cfg ├── gwsynthesis │ └── gowinsyn.cfg ├── synthesize │ └── synthesize.cfg └── project_process_config.json ├── img ├── osc.png ├── alc5639.jpg ├── social.jpg ├── overview.jpg ├── soldered.jpg ├── breadboard.jpg ├── wire_guide.jpg └── wget-log ├── .gitignore ├── src ├── i2s2spdif.sdc ├── pll │ ├── pll.ipc │ ├── pll.mod │ └── pll.v ├── fifo │ ├── fifo.ipc │ └── fifo.v ├── i2s2spdif.cst ├── top.v ├── serdes.v └── spdif │ ├── spdif.v │ └── spdif_core.v ├── i2s2spdif.gprj ├── LICENSE └── README.md /impl/pnr/pnr.cfg: -------------------------------------------------------------------------------- 1 | -timing 2 | -------------------------------------------------------------------------------- /impl/gwsynthesis/gowinsyn.cfg: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /img/osc.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/puhitaku/nintendo-switch-i2s-to-spdif/HEAD/img/osc.png -------------------------------------------------------------------------------- /img/alc5639.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/puhitaku/nintendo-switch-i2s-to-spdif/HEAD/img/alc5639.jpg -------------------------------------------------------------------------------- /img/social.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/puhitaku/nintendo-switch-i2s-to-spdif/HEAD/img/social.jpg -------------------------------------------------------------------------------- /img/overview.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/puhitaku/nintendo-switch-i2s-to-spdif/HEAD/img/overview.jpg -------------------------------------------------------------------------------- /img/soldered.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/puhitaku/nintendo-switch-i2s-to-spdif/HEAD/img/soldered.jpg -------------------------------------------------------------------------------- /img/breadboard.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/puhitaku/nintendo-switch-i2s-to-spdif/HEAD/img/breadboard.jpg -------------------------------------------------------------------------------- /img/wire_guide.jpg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/puhitaku/nintendo-switch-i2s-to-spdif/HEAD/img/wire_guide.jpg -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- 1 | *.user 2 | impl/pnr/cmd.do 3 | impl/pnr/i2s2spdif* 4 | impl/synthesize/i2s2spdif.prj 5 | impl/synthesize/rev* 6 | impl/synthesize/stdout.log 7 | impl/synthesize/synlog.tcl 8 | 9 | -------------------------------------------------------------------------------- /src/i2s2spdif.sdc: -------------------------------------------------------------------------------- 1 | //Copyright (C)2014-2020 GOWIN Semiconductor Corporation. 2 | //All rights reserved. 3 | //File Title: Timing Constraints file 4 | //GOWIN Version: 1.9.3.02 Beta 5 | //Created Time: 2020-08-13 03:17:14 6 | create_clock -name bclk -period 162.76 -waveform {0 81.38} [get_ports {bclk}] 7 | create_generated_clock -name spdif_clk -source [get_ports {bclk}] -master_clock bclk -multiply_by 8 [get_nets {spdif_clk}] 8 | -------------------------------------------------------------------------------- /src/pll/pll.ipc: -------------------------------------------------------------------------------- 1 | [General] 2 | ipc_version=4 3 | file=pll 4 | module=pll 5 | target_device=gw1n1-004 6 | type=clock_rpll 7 | version=1.0 8 | 9 | [Config] 10 | CKLOUTD3=false 11 | CLKFB_SOURCE=0 12 | CLKIN_FREQ=6.144 13 | CLKOUTD=false 14 | CLKOUTP=false 15 | CLKOUT_BYPASS=false 16 | CLKOUT_DIVIDE_DYN=true 17 | CLKOUT_FREQ=49.152 18 | CLKOUT_TOLERANCE=0 19 | DYNAMIC=true 20 | LANG=0 21 | LOCK_EN=true 22 | MODE_GENERAL=true 23 | PLL_PWD=false 24 | RESET_PLL=false 25 | -------------------------------------------------------------------------------- /src/fifo/fifo.ipc: -------------------------------------------------------------------------------- 1 | [General] 2 | ipc_version=4 3 | file=fifo 4 | module=fifo 5 | target_device=gw1n1-004 6 | type=fifo 7 | version=3.0 8 | 9 | [Config] 10 | ALEMPTY=0 11 | ALFULL=0 12 | ALFULL_SET=2 13 | COUNT_R=false 14 | COUNT_W=false 15 | DEPTH_R=1 16 | DEPTH_W=1 17 | ECC=false 18 | EN_ALEMPTY=false 19 | EN_ALFULL=true 20 | FWFT=false 21 | IMPL=2 22 | IO_INSERTION=false 23 | LANG=0 24 | OUTPUT_REG=false 25 | RDEN_CTRL=false 26 | RESET=true 27 | RESET_SYNC=true 28 | Read_Write_Check_on_RAM=true 29 | SIZE_W=32 30 | STANDARD_FIFO=true 31 | Synthesis_tool=GowinSynthesis 32 | -------------------------------------------------------------------------------- /impl/pnr/device.cfg: -------------------------------------------------------------------------------- 1 | set JTAG regular_io = false 2 | set SSPI regular_io = true 3 | set MSPI regular_io = true 4 | set READY regular_io = false 5 | set DONE regular_io = false 6 | set RECONFIG_N regular_io = false 7 | set MODE regular_io = false 8 | set CRC_check = true 9 | set compress = false 10 | set encryption = false 11 | set security_bit_enable = true 12 | set bsram_init_fuse_print = true 13 | set background_programming = false 14 | set secure_mode = false 15 | set download_speed = 240/96 16 | set wake_up = 0 17 | set spi_flash_address = 0x00FFF000 18 | set format = txt 19 | -------------------------------------------------------------------------------- /impl/synthesize/synthesize.cfg: -------------------------------------------------------------------------------- 1 | set_option -frequency auto 2 | set_option -maxfan 10000 3 | set_option -disable_io_insertion 0 4 | set_option -update_models_cp 0 5 | set_option -rw_check_on_ram 1 6 | set_option -run_prop_extract 1 7 | set_option -symbolic_fsm_compiler 1 8 | set_option -resource_sharing 1 9 | set_option -pipe 1 10 | set_option -retiming 0 11 | set_option -write_apr_constraint 1 12 | set_option -vlog_std sysv 13 | set_option -compiler_compatible 0 14 | set_option -multi_file_compilation_unit 1 15 | set_option -looplimit 2000 16 | set_option -fix_gated_and_generated_clocks 1 17 | -------------------------------------------------------------------------------- /src/i2s2spdif.cst: -------------------------------------------------------------------------------- 1 | //Copyright (C)2014-2020 Gowin Semiconductor Corporation. 2 | //All rights reserved. 3 | //File Title: Physical Constraints file 4 | //GOWIN Version: V1.9.3.02Beta 5 | //Part Number: GW1N-LV1QN48C6/I5 6 | //Created Time: Thu 08 13 05:14:02 2020 7 | 8 | IO_LOC "bclk" 29; 9 | IO_PORT "bclk" IO_TYPE=LVCMOS33; 10 | IO_LOC "lrclk" 28; 11 | IO_PORT "lrclk" IO_TYPE=LVCMOS33; 12 | IO_LOC "sdata" 27; 13 | IO_PORT "sdata" IO_TYPE=LVCMOS33; 14 | IO_LOC "out" 38; 15 | IO_PORT "out" IO_TYPE=LVCMOS33 DRIVE=4; 16 | IO_LOC "out_led" 18; 17 | IO_PORT "out_led" IO_TYPE=LVCMOS33 DRIVE=4; 18 | -------------------------------------------------------------------------------- /src/pll/pll.mod: -------------------------------------------------------------------------------- 1 | -series GW1N 2 | -device GW1N-1 3 | -package QFN48 4 | -part_number GW1N-LV1QN48C6/I5 5 | 6 | 7 | -mod_name pll 8 | -file_name pll 9 | -path /home/takumi/dev/tangnano/i2s2spdif/src/pll/ 10 | -type PLL 11 | -rPll true 12 | -file_type vlg 13 | -dev_type GW1N-1 14 | -dyn_idiv_sel false 15 | -idiv_sel 1 16 | -dyn_fbdiv_sel false 17 | -fbdiv_sel 8 18 | -dyn_odiv_sel false 19 | -odiv_sel 16 20 | -dyn_da_en true 21 | -rst_sig false 22 | -rst_sig_p false 23 | -fclkin 6.144 24 | -clkfb_sel 0 25 | -en_lock true 26 | -clkout_bypass false 27 | -clkout_ft_dir 1 28 | -en_clkoutp false 29 | -clkoutp_bypass false 30 | -en_clkoutd false 31 | -clkoutd_bypass false 32 | -en_clkoutd3 false -------------------------------------------------------------------------------- /img/wget-log: -------------------------------------------------------------------------------- 1 | --2020-08-13 20:03:06-- https://pbs.twimg.com/media/EfKeUR3U0AAxPsn?format=png 2 | Resolving pbs.twimg.com (pbs.twimg.com)... 192.229.237.101 3 | Connecting to pbs.twimg.com (pbs.twimg.com)|192.229.237.101|:443... connected. 4 | HTTP request sent, awaiting response... 200 OK 5 | Length: 26895 (26K) [image/png] 6 | Saving to: ‘EfKeUR3U0AAxPsn?format=png’ 7 | 8 | EfKeUR3U0AAxPsn?format=png 0%[ ] 0 --.-KB/s EfKeUR3U0AAxPsn?format=png 100%[====================================================>] 26.26K --.-KB/s in 0.002s 9 | 10 | 2020-08-13 20:03:07 (10.4 MB/s) - ‘EfKeUR3U0AAxPsn?format=png’ saved [26895/26895] 11 | 12 | -------------------------------------------------------------------------------- /i2s2spdif.gprj: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 5 6 | gw1n1-004 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | -------------------------------------------------------------------------------- /src/top.v: -------------------------------------------------------------------------------- 1 | module top ( 2 | input bclk, 3 | input lrclk, 4 | input sdata, 5 | output out, 6 | output out_led 7 | ); 8 | 9 | assign out_led = out; 10 | 11 | // serdes <-> fifo 12 | wire [31:0]frame_in; 13 | wire wr; 14 | 15 | // fifo <-> spdif 16 | wire [31:0]frame_out; 17 | wire frame_req; 18 | wire ready; 19 | 20 | // pll 21 | wire spdif_clk; 22 | wire lock; 23 | 24 | pll pll ( 25 | .clkin(bclk), 26 | 27 | .clkout(spdif_clk), 28 | .lock(lock) 29 | ); 30 | 31 | serdes serdes ( 32 | .bclk(bclk), 33 | .lrclk(lrclk), 34 | .sdata(sdata), 35 | .rstn(lock), 36 | 37 | .frame(frame_in), 38 | .wr(wr) 39 | ); 40 | 41 | fifo fifo ( 42 | .Data(frame_in), 43 | .Reset(~lock), 44 | .WrClk(bclk), 45 | .RdClk(frame_req), 46 | .WrEn(wr), 47 | .RdEn(1'b1), 48 | 49 | .Q(frame_out), 50 | .Almost_Full(ready) 51 | ); 52 | 53 | spdif spdif ( 54 | .clk_i(spdif_clk), 55 | .rst_i(~lock || ~ready), 56 | .audio_clk_i(bclk), 57 | .sample_i(frame_out), 58 | 59 | .sample_req_o(frame_req), 60 | .spdif_o(out) 61 | ); 62 | 63 | endmodule 64 | -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- 1 | # i2s-to-spdif 2 | 3 | MIT License 4 | 5 | Copyright (c) 2020 Takumi Sueda 6 | 7 | Permission is hereby granted, free of charge, to any person obtaining a copy 8 | of this software and associated documentation files (the "Software"), to deal 9 | in the Software without restriction, including without limitation the rights 10 | to use, copy, modify, merge, publish, distribute, sublicense, and/or sell 11 | copies of the Software, and to permit persons to whom the Software is 12 | furnished to do so, subject to the following conditions: 13 | 14 | The above copyright notice and this permission notice shall be included in all 15 | copies or substantial portions of the Software. 16 | 17 | THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 18 | IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 19 | FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 20 | AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 21 | LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, 22 | OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE 23 | SOFTWARE. 24 | 25 | 26 | # ultraembedded/cores/spdif 27 | 28 | Licensed in GPL. See `src/spdif/*.v` for copyright notices. 29 | 30 | -------------------------------------------------------------------------------- /src/serdes.v: -------------------------------------------------------------------------------- 1 | module serdes ( 2 | input bclk, 3 | input lrclk, 4 | input sdata, 5 | input rstn, 6 | output reg [31:0]frame, 7 | output reg wr 8 | ); 9 | 10 | reg [15:0] subfr_l; 11 | reg [15:0] subfr_r; 12 | 13 | reg [7:0]count; 14 | 15 | reg last_ch; 16 | reg chan_flag; 17 | 18 | always @(posedge bclk) begin 19 | if (~rstn) begin 20 | subfr_l <= 16'h0; 21 | subfr_r <= 16'h0; 22 | count <= 8'h0; 23 | frame <= 32'h0; 24 | last_ch <= lrclk; 25 | end 26 | else begin 27 | if (wr) begin 28 | wr <= 0; 29 | end 30 | 31 | if (chan_flag) begin 32 | // we've got a subframe 33 | if (lrclk == 0) begin 34 | // R -> L; time to enqueue a frame 35 | frame <= {subfr_r[15:0], subfr_l[15:0]}; 36 | wr <= 1; 37 | end 38 | end 39 | 40 | if (last_ch == lrclk) begin 41 | chan_flag <= 0; 42 | count <= count + 8'h1; 43 | 44 | if (count < 8'd16) begin 45 | if (lrclk == 0) begin 46 | subfr_l <= {subfr_l[14:0], sdata}; 47 | end 48 | else begin 49 | subfr_r <= {subfr_r[14:0], sdata}; 50 | end 51 | end 52 | end 53 | else begin 54 | chan_flag <= 1; 55 | count <= 8'd0; 56 | 57 | if (count < 8'd16) begin 58 | if (lrclk == 0) begin 59 | subfr_r <= {subfr_r[14:0], sdata}; 60 | end 61 | else begin 62 | subfr_l <= {subfr_l[14:0], sdata}; 63 | end 64 | end 65 | end 66 | 67 | last_ch <= lrclk; 68 | end 69 | end 70 | endmodule 71 | -------------------------------------------------------------------------------- /impl/project_process_config.json: -------------------------------------------------------------------------------- 1 | { 2 | "Allow_Duplicate_Modules" : false, 3 | "Annotated_Properties_for_Analyst" : true, 4 | "BACKGROUND_PROGRAMMING" : false, 5 | "Beta_Features_for_VHDL" : false, 6 | "Beta_Features_for_Verilog" : false, 7 | "COMPRESS" : false, 8 | "CRC_CHECK" : true, 9 | "Clock_Conversion" : true, 10 | "DONE" : false, 11 | "DOWNLOAD_SPEED" : "240/96", 12 | "Default_Enum_Encoding" : "default", 13 | "Disable_I/O_Insertion" : false, 14 | "ENCRYPTION_KEY" : false, 15 | "ENCRYPTION_KEY_TEXT" : "00000000000000000000000000000000", 16 | "FORMAT_BINARY" : false, 17 | "FORMAT_TEXT" : true, 18 | "FSM Compiler" : true, 19 | "Fanout_Guide" : 10000, 20 | "Frequency" : "Auto", 21 | "Generate_Constraint_File_of_Ports" : false, 22 | "Generate_IBIS_File" : false, 23 | "Generate_Plain_Text_Timing_Report" : false, 24 | "Generate_Post_PNR_Simulation_Model_File" : false, 25 | "Generate_Post_Place_File" : false, 26 | "Generate_SDF_File" : false, 27 | "Implicit_Initial_Value_Support" : false, 28 | "IncludePath" : [ 29 | 30 | ], 31 | "Initialize_Primitives" : false, 32 | "JTAG" : false, 33 | "JTAG_ENABLE" : true, 34 | "Loop_Limit" : 2000, 35 | "MODE_IO" : false, 36 | "MSPI" : true, 37 | "Multiple_File_Compilation_Unit" : true, 38 | "Not_place_register_to_IOB" : false, 39 | "Number_of_Critical_Paths" : "", 40 | "Number_of_Start/End_Points" : "", 41 | "PRINT_BSRAM_VALUE" : true, 42 | "Pipelining" : true, 43 | "Place_Option" : "0", 44 | "Process_Configuration_Verion" : "1.0", 45 | "Promote_Physical_Constraint_Warning_to_Error" : false, 46 | "Push_Tristates" : true, 47 | "READY" : false, 48 | "RECONFIG_N" : false, 49 | "Read_Write_Check_on_RAM" : true, 50 | "Report_Auto-Placed_Io_Information" : false, 51 | "Resolve_Mixed_Drivers" : false, 52 | "Resource_Sharing" : true, 53 | "Retiming" : false, 54 | "Route_Option" : "0", 55 | "Run_Timing_Driven" : true, 56 | "SECURE_MODE" : false, 57 | "SECURITY_BIT" : true, 58 | "SPI_FLASH_ADDR" : "00FFF000", 59 | "SSPI" : true, 60 | "Show_All_Warnings" : false, 61 | "Synthesis On/Off Implemented as Translate On/Off" : false, 62 | "Synthesize_tool" : "Synplify", 63 | "TopModule" : "", 64 | "USERCODE_CUSTOM" : false, 65 | "USERCODE_CUSTOM_TEXT" : "00000000", 66 | "USERCODE_DEFAULT" : true, 67 | "Update_Compile_Point_Timing_Data" : false, 68 | "Use_Clock_Period_for_Unconstrainted IO" : false, 69 | "Use_SCF" : false, 70 | "VHDL_2008" : false, 71 | "VHDL_2019" : false, 72 | "Verilog_Language" : "System Verilog", 73 | "WAKE_UP" : "0", 74 | "Write_Vendor_Constraint_File" : true, 75 | "verilogchoose" : "System Verilog 2017" 76 | } -------------------------------------------------------------------------------- /src/pll/pll.v: -------------------------------------------------------------------------------- 1 | //Copyright (C)2014-2020 Gowin Semiconductor Corporation. 2 | //All rights reserved. 3 | //File Title: IP file 4 | //GOWIN Version: V1.9.3.02Beta 5 | //Part Number: GW1N-LV1QN48C6/I5 6 | //Created Time: Thu Aug 13 03:22:14 2020 7 | 8 | module pll (clkout, lock, clkin); 9 | 10 | output clkout; 11 | output lock; 12 | input clkin; 13 | 14 | wire clkoutp_o; 15 | wire clkoutd_o; 16 | wire clkoutd3_o; 17 | wire gw_gnd; 18 | 19 | assign gw_gnd = 1'b0; 20 | 21 | rPLL rpll_inst ( 22 | .CLKOUT(clkout), 23 | .LOCK(lock), 24 | .CLKOUTP(clkoutp_o), 25 | .CLKOUTD(clkoutd_o), 26 | .CLKOUTD3(clkoutd3_o), 27 | .RESET(gw_gnd), 28 | .RESET_P(gw_gnd), 29 | .CLKIN(clkin), 30 | .CLKFB(gw_gnd), 31 | .FBDSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), 32 | .IDSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), 33 | .ODSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), 34 | .PSDA({gw_gnd,gw_gnd,gw_gnd,gw_gnd}), 35 | .DUTYDA({gw_gnd,gw_gnd,gw_gnd,gw_gnd}), 36 | .FDLY({gw_gnd,gw_gnd,gw_gnd,gw_gnd}) 37 | ); 38 | 39 | defparam rpll_inst.FCLKIN = "6.144"; 40 | defparam rpll_inst.DYN_IDIV_SEL = "false"; 41 | defparam rpll_inst.IDIV_SEL = 0; 42 | defparam rpll_inst.DYN_FBDIV_SEL = "false"; 43 | defparam rpll_inst.FBDIV_SEL = 7; 44 | defparam rpll_inst.DYN_ODIV_SEL = "false"; 45 | defparam rpll_inst.ODIV_SEL = 16; 46 | defparam rpll_inst.PSDA_SEL = "0000"; 47 | defparam rpll_inst.DYN_DA_EN = "true"; 48 | defparam rpll_inst.DUTYDA_SEL = "1000"; 49 | defparam rpll_inst.CLKOUT_FT_DIR = 1'b1; 50 | defparam rpll_inst.CLKOUTP_FT_DIR = 1'b1; 51 | defparam rpll_inst.CLKOUT_DLY_STEP = 0; 52 | defparam rpll_inst.CLKOUTP_DLY_STEP = 0; 53 | defparam rpll_inst.CLKFB_SEL = "internal"; 54 | defparam rpll_inst.CLKOUT_BYPASS = "false"; 55 | defparam rpll_inst.CLKOUTP_BYPASS = "false"; 56 | defparam rpll_inst.CLKOUTD_BYPASS = "false"; 57 | defparam rpll_inst.DYN_SDIV_SEL = 2; 58 | defparam rpll_inst.CLKOUTD_SRC = "CLKOUT"; 59 | defparam rpll_inst.CLKOUTD3_SRC = "CLKOUT"; 60 | defparam rpll_inst.DEVICE = "GW1N-1"; 61 | 62 | endmodule //pll 63 | 64 | module rPLL (CLKOUT, CLKOUTP, CLKOUTD, CLKOUTD3, LOCK, CLKIN, CLKFB, FBDSEL, IDSEL, ODSEL, DUTYDA, PSDA, FDLY, RESET, RESET_P); 65 | input CLKIN; 66 | input CLKFB; 67 | input RESET; 68 | input RESET_P; 69 | input [5:0] FBDSEL; 70 | input [5:0] IDSEL; 71 | input [5:0] ODSEL; 72 | input [3:0] PSDA,FDLY; 73 | input [3:0] DUTYDA; 74 | output CLKOUT; 75 | output LOCK; 76 | output CLKOUTP; 77 | output CLKOUTD; 78 | output CLKOUTD3; 79 | 80 | parameter FCLKIN = "100.0"; 81 | parameter DYN_IDIV_SEL= "false"; 82 | parameter IDIV_SEL = 0; 83 | parameter DYN_FBDIV_SEL= "false"; 84 | parameter FBDIV_SEL = 0; 85 | parameter DYN_ODIV_SEL= "false"; 86 | parameter ODIV_SEL = 8; 87 | parameter PSDA_SEL= "0000"; 88 | parameter DYN_DA_EN = "false"; 89 | parameter DUTYDA_SEL= "1000"; 90 | parameter CLKOUT_FT_DIR = 1'b1; 91 | parameter CLKOUTP_FT_DIR = 1'b1; 92 | parameter CLKOUT_DLY_STEP = 0; 93 | parameter CLKOUTP_DLY_STEP = 0; 94 | parameter CLKFB_SEL = "internal"; 95 | parameter CLKOUT_BYPASS = "false"; 96 | parameter CLKOUTP_BYPASS = "false"; 97 | parameter CLKOUTD_BYPASS = "false"; 98 | parameter DYN_SDIV_SEL = 2; 99 | parameter CLKOUTD_SRC = "CLKOUT"; 100 | parameter CLKOUTD3_SRC = "CLKOUT"; 101 | parameter DEVICE = "GW1N-2"; 102 | 103 | endmodule 104 | -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | # Nintendo Switch I2S to S/PDIF 2 | 3 | Overview 4 | 5 | (Orange LED on the Chord Mojo indicates that it's receiving 48kHz digital sound.) 6 | 7 | I2S to S/PDIF conversion on **SiPeed Tang Nano** (GOWIN GW1N-LV1), mainly aims to convert Nintendo Switch's internal sound signal. 8 | 9 | 10 | ## Motivation 11 | 12 | According to Nintendo, Switch supports USB DACs. However, it doesn't seem to support UAC 2 and 3 which are somewhat high-end. I've tried to connect all DACs I have but only cheap DACs worked nicely while high-ends didn't. I wonder if Nintendo knows why USB DACs are needed and how Switch's headphone output sounds like. 13 | 14 | Full-digital sound output is easily achieved in TV mode. S/PDIF splitter from HDMI signal does it well. But how about non-TV (portable) mode? Only things Switch has are the terrible headphone output and incomplete UAC support. 15 | 16 | Now it's the time to steal the digital sound signal directly from Switch. 17 | 18 | 19 | ## Overview 20 | 21 | The spec of I2S signal: 22 | 23 | - Sampling rate: 48000 [Hz] 24 | - Bit depth: 16 [bit] 25 | - Channels: 2 [ch] 26 | - Bit clock: 48000 * 16 * 2 * 4 = 6.144 [MHz] 27 | 28 | Oscilloscope visualized the I2S signal 29 | 30 | - There is a Realtek ALC5639 (smart amp with I2C controls) in Switch. 31 | - The SoC (≒ NVIDIA Tegra X1) transmits the sound signal to it in I2S format. 32 | 33 | (Off-topic: [NVIDIA Jetson TK1](https://github.com/torvalds/linux/blob/d4db4e553249eda9016fab2e363c26e52c47926f/arch/arm/boot/dts/tegra124-jetson-tk1.dts) has ALC5640 (RT5640) in it. It is close to ALC5639 and has identical footprint. Perhaps Nintendo imitated the design of a evaluation board of NVIDIA.) 34 | 35 | The spec of the FPGA board: 36 | 37 | - Board: SiPeed Tang Nano 38 | - FPGA: GOWIN GW1N-LV1 (LittleBee series) 39 | 40 | The protocol of TOSLINK (optical) and coaxial cable (metal) is same. **The RGB LED on Tang Nano is capable of transmitting S/PDIF signal.** Connect a cable to a DAC and press the another side on the LED. Sound should come out. How interesting is it! :nerd_face: 41 | 42 | 43 | ### Step-by-step 44 | 45 | 1. Disassemble your Switch. [-> iFixit teardown](https://www.ifixit.com/Teardown/Nintendo+Switch+Teardown/78263) 46 | 47 | 1. Find the chip. 48 | 49 | - Prepare longer wires for convenience and extra length to guide the wires nearby the battery connector. 50 | 51 | ALC5639 52 | 53 | 1. Solder wires for BCLK (bit clock), LRCLK (left-right channel clock), SDATA (serialized data), and the ground. 54 | 55 | - Solder them VERY CAREFULLY or Switch will lose its voice parmanently. 56 | - Microscope is strongly suggested. 57 | 58 | ALC5639 with soldered wires 59 | 60 | 1. Guide the wires and connect with Tang Nano somehow 61 | 62 | Guide the wires 63 | 64 | - There is a tiny free space around the battery connector. Recommend you to guide wires here. 65 | - Mind your wires not to interfere with other structures. 66 | - The default pin assign: 67 | 68 | |Pin | #| 69 | |:---------------|-:| 70 | |BCLK in |29| 71 | |LRCLK in |28| 72 | |SDATA in |27| 73 | |S/PDIF out |38| 74 | |S/PDIF out (LED)|18| 75 | 76 | 1. Build the circuitry 77 | 78 | Breadboard 79 | 80 | - The schematic is TBA 81 | - By default, the output signal comes out from the pin 38 (for coaxial) and the red LED (for optical / TOSLINK). 82 | - You can try the optical transmission with NO EXTERNAL PARTS. 83 | - [Generic TTL-to-SPDIF level converter](https://sound-au.com/project85.htm) uses logic ICs for driver but we can build without the IC. 84 | - Remove DC offset with a capacitor (0.1uF = 100nF is recommended) 85 | - Lower the voltage with a voltage divider 86 | - I've adjusted it with volumes to achieve 0.5Vpp. 87 | - I'm not sure about output impedance; it works anyways! 88 | - No problem with shorter cable out there but perhaps longer cable causes problem. 89 | 90 | 1. Open this repository with GOWIN EDA 91 | 92 | 1. Run "Synthesize" in the "Process" tab. 93 | 94 | 1. Run "Place & Route". 95 | 96 | 1. Program the board with "Program Device". The bitstream should be in "nintendo-switch-i2s-to-spdif/impl/pnr/i2s2spdif.fs". 97 | 98 | 1. BOOM! 99 | 100 | -------------------------------------------------------------------------------- /src/spdif/spdif.v: -------------------------------------------------------------------------------- 1 | //----------------------------------------------------------------- 2 | // SPDIF Transmitter 3 | // V0.1 4 | // Ultra-Embedded.com 5 | // Copyright 2012 6 | // 7 | // Email: admin@ultra-embedded.com 8 | // 9 | // License: GPL 10 | // If you would like a version with a more permissive license for 11 | // use in closed source commercial applications please contact me 12 | // for details. 13 | //----------------------------------------------------------------- 14 | // 15 | // This file is open source HDL; you can redistribute it and/or 16 | // modify it under the terms of the GNU General Public License as 17 | // published by the Free Software Foundation; either version 2 of 18 | // the License, or (at your option) any later version. 19 | // 20 | // This file is distributed in the hope that it will be useful, 21 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 22 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 23 | // GNU General Public License for more details. 24 | // 25 | // You should have received a copy of the GNU General Public 26 | // License along with this file; if not, write to the Free Software 27 | // Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 28 | // USA 29 | //----------------------------------------------------------------- 30 | module spdif 31 | 32 | //----------------------------------------------------------------- 33 | // Params 34 | //----------------------------------------------------------------- 35 | #( 36 | parameter CLK_RATE_KHZ = 49152, 37 | parameter AUDIO_RATE = 48000, 38 | parameter AUDIO_CLK_SRC = "EXTERNAL", // INTERNAL or EXTERNAL 39 | 40 | // Generated params 41 | parameter WHOLE_CYCLES = (CLK_RATE_KHZ*1000) / (AUDIO_RATE*128), 42 | parameter ERROR_BASE = 10000, 43 | parameter [63:0] ERRORS_PER_BIT = ((CLK_RATE_KHZ * 1000 * ERROR_BASE) / (AUDIO_RATE*128)) - (WHOLE_CYCLES * ERROR_BASE) 44 | ) 45 | 46 | //----------------------------------------------------------------- 47 | // Ports 48 | //----------------------------------------------------------------- 49 | ( 50 | input clk_i, 51 | input rst_i, 52 | 53 | // Audio clock source (only used when AUDIO_CLK_SRC=EXTERNAL) 54 | input audio_clk_i, 55 | 56 | // Output 57 | output spdif_o, 58 | 59 | // Audio interface (16-bit x 2 = RL) 60 | input [31:0] sample_i, 61 | output sample_req_o 62 | ); 63 | 64 | //----------------------------------------------------------------- 65 | // External clock source 66 | //----------------------------------------------------------------- 67 | wire bit_clock_w; 68 | generate 69 | if (AUDIO_CLK_SRC == "EXTERNAL") 70 | begin 71 | // Toggling flop in audio_clk_i domain 72 | reg toggle_aud_clk_q; 73 | 74 | always @ (posedge rst_i or posedge audio_clk_i) 75 | if (rst_i) 76 | toggle_aud_clk_q <= 1'b0; 77 | else 78 | toggle_aud_clk_q <= ~toggle_aud_clk_q; 79 | 80 | // Resync toggle_aud_clk_q to clk_i domain 81 | reg resync_toggle_ms_q; 82 | reg resync_toggle_q; 83 | 84 | always @ (posedge rst_i or posedge clk_i) 85 | if (rst_i) 86 | begin 87 | resync_toggle_ms_q <= 1'b0; 88 | resync_toggle_q <= 1'b0; 89 | end 90 | else 91 | begin 92 | resync_toggle_ms_q <= toggle_aud_clk_q; 93 | resync_toggle_q <= resync_toggle_ms_q; 94 | end 95 | 96 | reg last_toggle_q; 97 | always @ (posedge rst_i or posedge clk_i) 98 | if (rst_i) 99 | last_toggle_q <= 1'b0; 100 | else 101 | last_toggle_q <= resync_toggle_q; 102 | 103 | // Single cycle pulse on every rising edge of audio_clk_i 104 | assign bit_clock_w = last_toggle_q ^ resync_toggle_q; 105 | end 106 | //----------------------------------------------------------------- 107 | // Internal clock source 108 | //----------------------------------------------------------------- 109 | else 110 | begin 111 | reg [31:0] count_q; 112 | reg [31:0] error_q; 113 | reg bit_clk_q; 114 | 115 | // Clock pulse generator 116 | always @ (posedge rst_i or posedge clk_i) 117 | begin 118 | if (rst_i) 119 | begin 120 | count_q <= 32'd0; 121 | error_q <= 32'd0; 122 | bit_clk_q <= 1'b1; 123 | end 124 | else 125 | begin 126 | case (count_q) 127 | 0 : 128 | begin 129 | bit_clk_q <= 1'b1; 130 | count_q <= count_q + 32'd1; 131 | end 132 | 133 | WHOLE_CYCLES-1: 134 | begin 135 | if (error_q < (ERROR_BASE - ERRORS_PER_BIT)) 136 | begin 137 | error_q <= error_q + ERRORS_PER_BIT; 138 | count_q <= 32'd0; 139 | end 140 | else 141 | begin 142 | error_q <= error_q + ERRORS_PER_BIT - ERROR_BASE; 143 | count_q <= count_q + 32'd1; 144 | end 145 | 146 | bit_clk_q <= 1'b0; 147 | end 148 | 149 | WHOLE_CYCLES: 150 | begin 151 | count_q <= 32'd0; 152 | bit_clk_q <= 1'b0; 153 | end 154 | 155 | default: 156 | begin 157 | count_q <= count_q + 32'd1; 158 | bit_clk_q <= 1'b0; 159 | end 160 | endcase 161 | end 162 | end 163 | 164 | assign bit_clock_w = bit_clk_q; 165 | end 166 | endgenerate 167 | 168 | //----------------------------------------------------------------- 169 | // Core SPDIF 170 | //----------------------------------------------------------------- 171 | spdif_core 172 | u_core 173 | ( 174 | .clk_i(clk_i), 175 | .rst_i(rst_i), 176 | 177 | .bit_out_en_i(bit_clock_w), 178 | 179 | .spdif_o(spdif_o), 180 | 181 | .sample_i(sample_i), 182 | .sample_req_o(sample_req_o) 183 | ); 184 | 185 | endmodule 186 | -------------------------------------------------------------------------------- /src/spdif/spdif_core.v: -------------------------------------------------------------------------------- 1 | //----------------------------------------------------------------- 2 | // SPDIF Transmitter 3 | // V0.1 4 | // Ultra-Embedded.com 5 | // Copyright 2012 6 | // 7 | // Email: admin@ultra-embedded.com 8 | // 9 | // License: GPL 10 | // If you would like a version with a more permissive license for 11 | // use in closed source commercial applications please contact me 12 | // for details. 13 | //----------------------------------------------------------------- 14 | // 15 | // This file is open source HDL; you can redistribute it and/or 16 | // modify it under the terms of the GNU General Public License as 17 | // published by the Free Software Foundation; either version 2 of 18 | // the License, or (at your option) any later version. 19 | // 20 | // This file is distributed in the hope that it will be useful, 21 | // but WITHOUT ANY WARRANTY; without even the implied warranty of 22 | // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 23 | // GNU General Public License for more details. 24 | // 25 | // You should have received a copy of the GNU General Public 26 | // License along with this file; if not, write to the Free Software 27 | // Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 28 | // USA 29 | //----------------------------------------------------------------- 30 | module spdif_core 31 | ( 32 | input clk_i, 33 | input rst_i, 34 | 35 | // SPDIF bit output enable 36 | // Single cycle pulse synchronous to clk_i which drives 37 | // the output bit rate. 38 | // For 44.1KHz, 44100×32×2×2 = 5,644,800Hz 39 | // For 48KHz, 48000×32×2×2 = 6,144,000Hz 40 | input bit_out_en_i, 41 | 42 | // Output 43 | output spdif_o, 44 | 45 | // Audio interface (16-bit x 2 = RL) 46 | input [31:0] sample_i, 47 | output reg sample_req_o 48 | ); 49 | 50 | //----------------------------------------------------------------- 51 | // Registers 52 | //----------------------------------------------------------------- 53 | reg [15:0] audio_sample_q; 54 | reg [8:0] subframe_count_q; 55 | 56 | reg load_subframe_q; 57 | reg [7:0] preamble_q; 58 | wire [31:0] subframe_w; 59 | 60 | reg [5:0] bit_count_q; 61 | reg bit_toggle_q; 62 | 63 | // Xilinx: Place output flop in IOB 64 | //synthesis attribute IOB of spdif_out_q is "TRUE" 65 | reg spdif_out_q; 66 | 67 | reg [5:0] parity_count_q; 68 | 69 | //----------------------------------------------------------------- 70 | // Subframe Counter 71 | //----------------------------------------------------------------- 72 | always @ (posedge rst_i or posedge clk_i ) 73 | begin 74 | if (rst_i == 1'b1) 75 | subframe_count_q <= 9'd0; 76 | else if (load_subframe_q) 77 | begin 78 | // 192 frames (384 subframes) in an audio block 79 | if (subframe_count_q == 9'd383) 80 | subframe_count_q <= 9'd0; 81 | else 82 | subframe_count_q <= subframe_count_q + 9'd1; 83 | end 84 | end 85 | 86 | //----------------------------------------------------------------- 87 | // Sample capture 88 | //----------------------------------------------------------------- 89 | reg [15:0] sample_buf_q; 90 | 91 | always @ (posedge rst_i or posedge clk_i ) 92 | begin 93 | if (rst_i == 1'b1) 94 | begin 95 | audio_sample_q <= 16'h0000; 96 | sample_buf_q <= 16'h0000; 97 | sample_req_o <= 1'b0; 98 | end 99 | else if (load_subframe_q) 100 | begin 101 | // Start of frame (first subframe)? 102 | if (subframe_count_q[0] == 1'b0) 103 | begin 104 | // Use left sample 105 | audio_sample_q <= sample_i[15:0]; 106 | 107 | // Store right sample 108 | sample_buf_q <= sample_i[31:16]; 109 | 110 | // Request next sample 111 | sample_req_o <= 1'b1; 112 | end 113 | else 114 | begin 115 | // Use right sample 116 | audio_sample_q <= sample_buf_q; 117 | 118 | sample_req_o <= 1'b0; 119 | end 120 | end 121 | else 122 | sample_req_o <= 1'b0; 123 | end 124 | 125 | // Timeslots 3 - 0 = Preamble 126 | assign subframe_w[3:0] = 4'b0000; 127 | 128 | // Timeslots 7 - 4 = 24-bit audio LSB 129 | assign subframe_w[7:4] = 4'b0000; 130 | 131 | // Timeslots 11 - 8 = 20-bit audio LSB 132 | assign subframe_w[11:8] = 4'b0000; 133 | 134 | // Timeslots 27 - 12 = 16-bit audio 135 | assign subframe_w[27:12] = audio_sample_q; 136 | 137 | // Timeslots 28 = Validity 138 | assign subframe_w[28] = 1'b0; // Valid 139 | 140 | // Timeslots 29 = User bit 141 | assign subframe_w[29] = 1'b0; 142 | 143 | // Timeslots 30 = Channel status bit 144 | assign subframe_w[30] = 1'b0; 145 | 146 | // Timeslots 31 = Even Parity bit (31:4) 147 | assign subframe_w[31] = 1'b0; 148 | 149 | //----------------------------------------------------------------- 150 | // Preamble 151 | //----------------------------------------------------------------- 152 | localparam PREAMBLE_Z = 8'b00010111; 153 | localparam PREAMBLE_Y = 8'b00100111; 154 | localparam PREAMBLE_X = 8'b01000111; 155 | 156 | reg [7:0] preamble_r; 157 | 158 | always @ * 159 | begin 160 | // Start of audio block? 161 | // Z(B) - Left channel 162 | if (subframe_count_q == 9'd0) 163 | preamble_r = PREAMBLE_Z; // Z(B) 164 | // Right Channel? 165 | else if (subframe_count_q[0] == 1'b1) 166 | preamble_r = PREAMBLE_Y; // Y(W) 167 | // Left Channel (but not start of block)? 168 | else 169 | preamble_r = PREAMBLE_X; // X(M) 170 | end 171 | 172 | always @ (posedge rst_i or posedge clk_i ) 173 | if (rst_i == 1'b1) 174 | preamble_q <= 8'h00; 175 | else if (load_subframe_q) 176 | preamble_q <= preamble_r; 177 | 178 | //----------------------------------------------------------------- 179 | // Parity Counter 180 | //----------------------------------------------------------------- 181 | always @ (posedge rst_i or posedge clk_i ) 182 | begin 183 | if (rst_i == 1'b1) 184 | begin 185 | parity_count_q <= 6'd0; 186 | end 187 | // Time to output a bit? 188 | else if (bit_out_en_i) 189 | begin 190 | // Preamble bits? 191 | if (bit_count_q < 6'd8) 192 | begin 193 | parity_count_q <= 6'd0; 194 | end 195 | // Normal timeslots 196 | else if (bit_count_q < 6'd62) 197 | begin 198 | // On first pass through this timeslot, count number of high bits 199 | if (bit_count_q[0] == 0 && subframe_w[bit_count_q / 2] == 1'b1) 200 | parity_count_q <= parity_count_q + 6'd1; 201 | end 202 | end 203 | end 204 | 205 | //----------------------------------------------------------------- 206 | // Bit Counter 207 | //----------------------------------------------------------------- 208 | always @ (posedge rst_i or posedge clk_i) 209 | begin 210 | if (rst_i == 1'b1) 211 | begin 212 | bit_count_q <= 6'b0; 213 | load_subframe_q <= 1'b1; 214 | end 215 | // Time to output a bit? 216 | else if (bit_out_en_i) 217 | begin 218 | // 32 timeslots (x2 for double frequency) 219 | if (bit_count_q == 6'd63) 220 | begin 221 | bit_count_q <= 6'd0; 222 | load_subframe_q <= 1'b1; 223 | end 224 | else 225 | begin 226 | bit_count_q <= bit_count_q + 6'd1; 227 | load_subframe_q <= 1'b0; 228 | end 229 | end 230 | else 231 | load_subframe_q <= 1'b0; 232 | end 233 | 234 | //----------------------------------------------------------------- 235 | // Bit half toggle 236 | //----------------------------------------------------------------- 237 | always @ (posedge rst_i or posedge clk_i) 238 | if (rst_i == 1'b1) 239 | bit_toggle_q <= 1'b0; 240 | // Time to output a bit? 241 | else if (bit_out_en_i) 242 | bit_toggle_q <= ~bit_toggle_q; 243 | 244 | //----------------------------------------------------------------- 245 | // Output bit (BMC encoded) 246 | //----------------------------------------------------------------- 247 | reg bit_r; 248 | 249 | always @ * 250 | begin 251 | bit_r = spdif_out_q; 252 | 253 | // Time to output a bit? 254 | if (bit_out_en_i) 255 | begin 256 | // Preamble bits? 257 | if (bit_count_q < 6'd8) 258 | begin 259 | bit_r = preamble_q[bit_count_q[2:0]]; 260 | end 261 | // Normal timeslots 262 | else if (bit_count_q < 6'd62) 263 | begin 264 | if (subframe_w[bit_count_q / 2] == 1'b0) 265 | begin 266 | if (bit_toggle_q == 1'b0) 267 | bit_r = ~spdif_out_q; 268 | else 269 | bit_r = spdif_out_q; 270 | end 271 | else 272 | bit_r = ~spdif_out_q; 273 | end 274 | // Parity timeslot 275 | else 276 | begin 277 | // Even number of high bits, make odd 278 | if (parity_count_q[0] == 1'b0) 279 | begin 280 | if (bit_toggle_q == 1'b0) 281 | bit_r = ~spdif_out_q; 282 | else 283 | bit_r = spdif_out_q; 284 | end 285 | else 286 | bit_r = ~spdif_out_q; 287 | end 288 | end 289 | end 290 | 291 | always @ (posedge rst_i or posedge clk_i ) 292 | if (rst_i == 1'b1) 293 | spdif_out_q <= 1'b0; 294 | else 295 | spdif_out_q <= bit_r; 296 | 297 | assign spdif_o = spdif_out_q; 298 | 299 | endmodule 300 | -------------------------------------------------------------------------------- /src/fifo/fifo.v: -------------------------------------------------------------------------------- 1 | // 2 | //Written by GowinSynthesis 3 | //Product Version "GowinSynthesis V1.9.3.02Beta" 4 | //Thu Aug 13 03:32:08 2020 5 | 6 | //Source file index table: 7 | //file0 "\/home/takumi/dev/tangnano/i2s2spdif/src/fifo/temp/FIFO/fifo_define.v" 8 | //file1 "\/home/takumi/dev/tangnano/i2s2spdif/src/fifo/temp/FIFO/fifo_parameter.v" 9 | //file2 "\/home/takumi/.gowin/IDE/ipcore/FIFO/data/edc.v" 10 | //file3 "\/home/takumi/.gowin/IDE/ipcore/FIFO/data/fifo.v" 11 | //file4 "\/home/takumi/.gowin/IDE/ipcore/FIFO/data/fifo_top.v" 12 | `timescale 100 ps/100 ps 13 | `pragma protect begin_protected 14 | `pragma protect version=1 15 | `pragma protect author="default" 16 | `pragma protect author_info="default" 17 | `pragma protect encrypt_agent="Synplify encryptP1735.pl" 18 | `pragma protect encrypt_agent_info="Synplify encryptP1735.pl Version 1.1" 19 | 20 | `pragma protect encoding=(enctype="base64", line_length=76, bytes=256) 21 | `pragma protect key_keyowner="Synplicity",key_keyname="SYNP05_001",key_method="rsa" 22 | `pragma protect key_block 23 | YVOYkpG4UA/dYdlBUn8N0lqyFVbrkrfLEJcBc5eV95GJWNvZIv06Ycs0bCmImhipM+QYwkAtC5od 24 | 8zRdjJy2vCZzBOg2AUCIeejeDe5aqRMrYE8LlPl7hZM76WdpvVJ1JYRKg+fiEyRe+6S3T8wQmuOP 25 | 8RlwJACjP4bWuF8QgRTBKV6AGYK6ORFCcHaOWnwoD1/SiYPs7yKH5FsBv3kob7+dXKf1LeiZ9egF 26 | occHwP+tSfzAkdsKSx0ktjxyU1Tlz27mkD0Go7GbKLkja4mAnRbXYU8ooFFvto63aJgXt1eobmqK 27 | O4U90FPh/oR/A+VwuWSmXrYCeGZiyMXKWA194Q== 28 | 29 | `pragma protect encoding=(enctype="base64", line_length=76, bytes=256) 30 | `pragma protect key_keyowner="GoWin",key_keyname="GoWin001",key_method="rsa" 31 | `pragma protect key_block 32 | L9S/38jc9oLEenc3he8cBhgvssr/MTbaITrGelx7Cm9Q2VXAxoIaQHCvVBP+KWb7BQXFWhAu8DS2 33 | ZXkWWqZKo3XhF3bBI8tMoJJx8N4Es0flYywV9cxhGGyKTqWkkDM2atUhwkdRKYBl7rbo7tT8VZtY 34 | JTnUOimhNLRLCwx4sygOfxXnz/gFo7aB4gCGM+6DhO+ea98U/jZi8nLI8teVIPxMC/+4oC3D8Obh 35 | dZjXvLZk0tuyWVtcsZBD3dFAwtGp5RYTY6nSU+Fm/5i2Lrn11gJwyIaTmj8yWmpUjoxDVwA45hhj 36 | YUHpEnCC9TMAbnG3i3gbOXE6TgOfZa8iFd6OkQ== 37 | 38 | `pragma protect encoding=(enctype="base64", line_length=76, bytes=49664) 39 | `pragma protect data_keyowner="default-ip-vendor" 40 | `pragma protect data_keyname="default-ip-key" 41 | `pragma protect data_method="aes128-cbc" 42 | `pragma protect data_block 43 | pXkYBr3jqBsQWJge0rpMH8cLELoUzYZpExAERlk2tDvU1ojPyrFGmDYHJE9nGK2aoEEp4NoOWCkk 44 | gnLQpsIxqKe3AFWlDI5HB9bbZRZYsoGXNPzFBn7/j4bJOigfcK0C+HnFh6DW5xayCqHcRzbIqmzb 45 | QpJAGgQidlOw7d23n46SffSqQjP80jQS8ccVHUfKFUaZu0+M7k0yptqy1NaALWn2KWnUs4qZ9m7o 46 | NsggMyrNlv1dWaxfe/QqoQEd2ddIwght/CxOT9KdND4cdJKuLVYPz0YsVnd+uXC/u8xFlob+HZ3c 47 | LHyRM8dix4G733mg+fQTO5dODuPr82nuYsmN8GHQ1rDizLa2mbZ69gv5SY5HrZESiz8nj8mQSXTU 48 | ZHrD4wS19ZKCol4KyOhTOs8QNXAsrAbIcjj274oMId5k+Q3rFznu8URpaIZ4gfOMSbNqJx4DKUXe 49 | ypMgPOyXKGARhWXmLmKbn33QxJBQkSva/PcNXC0zEThKK3TeXGvi6WXFjTr2ac9WLkD9b9R5D/lV 50 | /Wblr7CXEwPwPeJ4Q7xFSIYWenq0u6PnjV6Q6bmg0LyhGHK7UxvcGfJYkCviG6M4LI6dYEsT84ju 51 | sYPGObBK00Kr/ZmfASBQREncDnI77QiGB14lOJuNftPCpJYjL+LZZTH0N4TX62PFIQMQLIEQtdZE 52 | gQhICPl6IXXHhURwWKYK6pYAaEI/UisNOyZQT7YAoGwiTJ0tOjrT7C3vOCwJ4ie3Yj9L2zX1kGkg 53 | 7FKTpL348mcefFSaER+GsCr/OTpf0J9jtPq62GAxyFC3zLvT3ZQyWRF7EVRJaRHAq5/2l5ggcfdQ 54 | /8Ox6l7ex0LChsvghjsIpqmPbFGMH8yyOa4+Hj/xMVo+I/ykwlysBnjC/mBYE4JBTYn/m7eyIQAm 55 | tjqemRDyRCvrmuD8jD+gma1//ipGtNFx4ZhBxxRs5GDAdpzCq2tslhc0AE6+xQ6uHLg7fmr5/nt1 56 | YxYPmDoArTCmo0XSexGmntaYlczDnKecqd4CkaIvRfjrrxBkbd0hhGtVFS1vJavx/UpgoDFNXRqz 57 | aq2RTrjC5VCXRFSFCBAInKCRd50VPzm/2ZhcfH55UgdToJ9bLG7sq3UKWY2vLHhXwXJbQhfSQjyF 58 | NE6PaCOi0BmP+k+67IaCOMpQ49vLxwv/zG0ww9iAo9KgYC0ocVXzGrFOhSQmrlRJbFQO9r/Ryk/A 59 | ypIg8y6toyQbPDOA8BDy3Bxfe5ZUgsqhNolz846szO750EDvGRtX/Ek05UmhGlsEGiF+wMJQGOg5 60 | lANfS5D/KwQh1qY04JdsPGBJ+XU6jIm68PLL6/2dbpbo1sL2MlzHW3LolFYfVrbfLUpKzO06bVBD 61 | CM/+5vCBBIBttkgpii6UNR0oea0YGiRYUgmeM/OmxjsEs2V/EnasiZWHfA/YMcTU2vszp5Rs1CDw 62 | GepTctfdiSscl546sDI9zdr3sfyxXJdjE2N+1xQLQ3DZ5M5Dm355HxOhXQqajkG6b/07OVuXIk9x 63 | R8qN567lc2fEieiia9D8DHsiwTUAfC3UwHuTQfKmg9/hyjwKmS+P8UGiOUG+FDd5o+ONJ/KKcZYW 64 | MxG26lq1akK1wIRo+FOi38cscDSfXWhzqImwyTdL90dgJZy5OMbUDJDqkiMXwrQ1tHcu/7Jvqggn 65 | rBpcFnnwhVhBw97Vz4L3R9oIsYNydiqUt7WYZJFpKI+04xhjtHWV1OBOzlssx0OLfrJM/RfGvd0y 66 | 09UirH9Xab/yrL1bFU4wHwMU3uSzJqJ/HvYATVL/2TNT1jOMjIna0JEM8Prt2YJvIn0EI0I7Alyt 67 | RZPro/GfobB6cp0Ctp7TEz0vnAhd7EVqIrt6kPKu3/pMM3nC35btNfeq7eaOOW58LL0maqwkcOp2 68 | IlxE3wx18Qjm+bD1b3tljuJomyw0Mecu0ejorI5wCAeSclIsSNGnxAqvOynbdHqlYjEfev/mZLRp 69 | N4hl3Lqa7FiB8wnrspmqQFfo6o1k77bK2gknBwTTg8P2eTdLt6VURM98nd+BJH1dLKYVCM+NU1yg 70 | +8SCDJ2O3dlM9dDnxWEWOt1/9YCbebDAh1JX2A4RAHcaTXJVTieq11AKhlnzoub6HwGvEx58PNT+ 71 | ot0TInPZgU7xmEdiWWWxSX4OWRtesEYVTeMiheh3JATZDOcYCF+/8oPmPB1BpzG+ZSbGlzRh+8Va 72 | m9rEipZo5Zy8js2ZJ+yCfTXx/VVf5d0QHPAZrnPjdu+K5f67jjutDGTKJsL361sEeSpsG5H0hRoX 73 | 9i16UeUSn0JNLThXWB/zvDGDk4ll88L1J21BQt1lmfd85k2t/cAvhppFGpR1NxQGPcpl1EFJNayA 74 | RfN/zkbnhkkYqLEgxMqSbzL1NUZG35sK9y0RTw2QGC+lkuwU8xg0KZoE9POgypg7E2OVX+QgkqlO 75 | O9JcriJOjqHtWdggX7yiJPWT9Lr3LD7kt1dVbdhG12VOgsv0CP9udc8vymwDFBOLbi+VojJD7rji 76 | EPiWNxvmao/g8mYTcpk/A41b8oAjxWrzVYyMlMFrwNLlowgRr6jnsMkMFKldmo5m3Om+u9fFFrIr 77 | v6Z/3moINYmQZy9ii+5CKV00y6B9MhjGLJ944jQgU78YSKdZTjToMdAIn4UG9UxfS7hcintIHDzJ 78 | Q6n0Mkx4rCGzEfRRzkstWW9bXbHRKdCE6v2BTRI1eCa4cPtf/bvu5gN87HusYYJAaMrLdoj5L4Oq 79 | 6GK+fq0pd7q52SW5fxX0dhNHJXa/08gW7FlgUAdgh7uOzjKAeZlBb1UvJS7qxKmEk0Z3BoGSZPRh 80 | hQgEwoF146yDtMciRkvvOSD58x0TPrv7IYACy8JIsTDYHnc1VOYFReIIIyd/f5cCzJmdGdRnzH+D 81 | g++MaT34XAIMU7LXGdqMjq4NkfEG9ZNQgpuDkREs/rGKaGDFxKUJ+HGPVKqx4PsYQj4W1hm9D8NV 82 | YKkX/Z9WnBW6Iub9AFXMHWc2Qyng7w4/VT01RWWEVEtZ4c/m+V/gxQ1hrvJzxtiLRdGUmzlW7xso 83 | 0RhJYMdkc1oeB4bwNVGgKH+llX40vllN3CYsG+qocRSuldXaPqs9oA3nmzuheAmUhykosMdyMGnr 84 | R8bsnqEP041vnlCK1yEAHvMzh10XNG6wHxF2tabjAX4YDDq74T0Sjqh3tzGbxnombwpA041O6Rcj 85 | tw9hu3HZAOu3MU7RHIC713K9k4fuPfdW/XZtkqmWIUbwKXP0QwIRf8WiGprovNgRb636IYY+PndT 86 | bHA8YxqMAl+x/WGbAOIKKrVX4wP6naMcDLuNUTHeaneYcfbD3aSZlcg+4prSF1mJG8vfmcV2Rgsg 87 | C1E/+e5Rl7FDovXjYFwoXXG4ix0gNCPde7v2J+Kh361rUKjgm7TNCwpz4lCkX2Vmuu1PzXQcOzeH 88 | KGRNw39asTGAbQ26WfbWPvbokXVGwjMUnzp+Wc4IJjY9TJFV4PvFs1v3r5F8IRRFUktZQ30xn5Ep 89 | rO99aXN6z+XIwrwg0hyiSZGeWYR8sbTVqu24GXzp+gs4ZGLP56ZwDR0fQfBB2lz3oREkPToPpq3K 90 | J++n9H6bdVLrrZuSiBFpK0sDcr8wtji6vcn2DjknQd8PlI2+1QoP/UUXeNar47EUT08z+InC0kW5 91 | LYHn2e/0Debkvtq6uQPKpGDEYwlOKiNg/1MjqDsp4eRh8fLwGDoz8pyruVxE3kNj82aIJVNhxwQK 92 | aVvgvwfjtwHEZCTYcXO68IX/Y9MzZH3085VwDfUaY2NGZM0PUdsMstEL7ZvV6lLRUJZEN7rHx2gw 93 | 9nnAsm+cUPPIIsh4fxGv9h+mrKefkG/EbCUk0v9heVryP4HwxM8MRYaL6e55BWZBvREKcrqSVmDX 94 | f4WA+C5H0SABwzkaffV+rhWJd3/XDHMrWCAAn1KoSP7uP/00etY7jCQ4jlU4045RY2TyKglYK7+g 95 | kwOb1YJSku7K/giNg+QgbDJ7G2Z01h4u1BSOm0veL8zhqmNBH6Isw2tlfaXJmvyO7/pSZdmTsRsl 96 | NBtGWudgWJLIT+1KgslBS3XVfopHloTzjXvKGjmRWqNDoV3z8VgJz3eU0W4ei5IuePHNBaB80Lii 97 | zgXq1906hsudSgw959tQj3bFc7qdrT8/aYhESiPuzWp5JyNSWbuW6DJ00FZ4K/efK2YCFgA89mtk 98 | bD9LRP2x5gE9P8z9V8yvsaVph33SJRwOMOZgS5hzRldiK+NxXw6foukWGPk/9EdmVX4GOOslmdK4 99 | w6VWRK3HDLPn+Ey3OV9vBecYPyg3GMNvBtEkYWeAbnOfpWUegEpCQ1p8qdVQIeUtYS9TnY2yjOcO 100 | QzLgxteGbkU0Eu1Z4DWm9NOI3u8cAMlRlDpFqrMoAJ8ZMD22TABjqd10RfK20yZI9SF0CdsYxSw5 101 | NIMiKy8rE5C5xXlMXry1oXigCc5EBoQ2CGF1KalwMOORytQlbJB4cfREyCSOxLNNXFHa6xEVu6zn 102 | 4wKVquDwztZ8ICGpPkxokUKBcj5V16Xh6oUZEfX7OmWJCpymahyBU5f1p3ZzHy5BM6P0hF+c/nDl 103 | Iq9xECrHnu9gzym9aFqPjse6pkLD5P8TORbe572APMbWKtXObIGOx65dkEiHPrf/kPG3zlrwreRO 104 | 4r4CS+FVes56U4gilfFQckkEV6MnHx//786LXduhx2C73NnnhJHqHDJTkqITjsw7mKmPDp1Yejw0 105 | IJugovaufV1c7ZQiOEF/Q+KFWeY1vS+4MUw//oxD0DKaM0owWMHARbHr7Y5uFJU72XMrFzjsgbQI 106 | AG2Xl41nehrma7nhWoZJRosfAzGKm/fUIRIVsM7J1MSlreZ3c/AIezwR+ZSo40FyFREZSqVBZxoU 107 | QEZEXluOv5HDbmVWjVdyvClTqEDkWKzCrQBhmNj4HbJuqiFvhqz4w5iCCxPunSREWiRA5DOzGkUO 108 | FoMZHwgh2GDNHcwW/D8iXwemhucVEs0Z38W/97ejbcGscLK77flFGuNlEepNzTiqFq0B+V14aNMm 109 | j/0udEy341P4CwYuyfnOMbf6S+gai3KFsYVwFJtCh6S1le4t9rF8ylJnAVU1eov3dQCAbKmRlhd8 110 | P6qkxpsmGr9Sibfa0LcshB0j25O7g1I+WqTWrU/FMv7cWKJZxv/CHMfrBxtE+KJuUb/DXVSCK7VI 111 | qBBPwDs1ke6ZaTh/BlK6tHdDKmmQ7v0f/hmUgMdUJ5qyRqOZOgPIM0FOPdTDQyfmDRubTK84S1Fv 112 | YqaIfJC6baPZLhPZ1f4ATu1P+XjcvDM3uJ6fffnthMWD2uGK4hv2XmDJsJOREl387519UzlAd2cY 113 | 7RpGrT5FR8UTCfzMCLi8H3NYSrMId7tx0jSgKkLngsCfkCofo/LU8ZKoV4o6Nj9t0wpvpwF9cFgT 114 | lJWqHt2Qv6nIGt5JwSNnpJVj7cBS4mOgFe0fRtsftjMELkWi3t8cWCxxhLc2u5KdSkrLwspyPvAh 115 | VKJ6XUaCpXYwvjzqSp196m9dA88YASinyXqf1dcxUy8a6knt5Y79fJcA+MdeU9Il5segv6lwNxLW 116 | +/7tMCXVWaRiiAGqoIoDe68V8tY/s+Ff6bCSAF1AYq7hisfDVBMpfxx/5Q2e4EDokmS8EfOMkrM+ 117 | DBYDIckqCXN5M5RN/4/runRdZDRWiy3nnHq4S54sOVbUQVpcA0RhClV3xejO6MQn7vMQVL2Fl2D2 118 | rXJXNoirip/o6tGI7daUhfTnCax0MTqwpaQi/NT1HXR56qcmgt59TliRyaVhXQtLE+bZEegA+amp 119 | h3C+UdYEMKv6Rx+eJDzc+Pk2y7HXlzP7S0vCHZTBlgQTgRx5DHdxSfhxqIj6awMpyeg8Yk0wxsh0 120 | 85xwwEJHjVtQnDUTklssx/R8oU6If3A30YRZ8QWtKTuB/soAq+hVptLpprNRmx6lPZAY8F6dBS/U 121 | xOsr18hen1Q3PsenlhvPrxxHCSGXn4FZphIhzxvIX+v61lFVYBgylvJKU4aSRLIDqMntvBZkJx08 122 | xtf2f2kgstxTZ9/cAKu8beAnLbEKV8fHMxvw4TFPmdfq/3KhO/WV7XIBHxP/oyXWzsofd5/MKcgZ 123 | fLiqbLrnxX0bBWfc8QFAJv+gEjgvXprOPLVpR26sVzH7vgCAxffn464JrvqlVmbQkbiQRfVkIUEL 124 | gRDJmf/v+uUBK+Y+FoNdZoIAASkkv3vSWqqCpazKp6ICopGa7LoHsMv8sTUUrpgxNc/B42WTYtGA 125 | OPcbyLVdN1wrneQccSVJZtheCdo9nd9VLNEnWDP6Y//IVB8FLzgF9WzfuX8F2reU34zysZ0Hdwn1 126 | SZKkovcCASlkHpFtPEjEevcgzljwLoIutysRoZ41UlJrjXPEWkVGTC7qdGfTlKPWczLEggJyfMIw 127 | HadKqYTWWnc/LyM5mALdLg9z35ubvnXNIQQ30vcpwGL/LaCwnkJBWDNm+A3dR20B5C8HyqhfuMdU 128 | 2efEFBKlqhaZkE/F3QssAnRHE1/gfUL1PsXP48mg2wGQwZ0CD9QfuN/AuBIjWtc+/Hllu0Ux5aRd 129 | Bdrr8gxq0KhGUzUKaI+mI7lHmH3fq7AJ0XCCEMhf6J7A4r1G1lrg6iZZ8B5T1gGj8VYmbkbylv9z 130 | KPluHTOiCYXL1QKaXbW7E9WT3wghUIdivO5cKbFkIQWL8aKi7dEmsnub+Aq4VMUu0WNcsy5UtfX3 131 | y6Umnw6VrPYq3qHgosfRRhKL9mAzCw7WFo6w8V8wdRf4JmuQJnXJtNCtx0nIR0EKF+tN09IzGB/j 132 | OqfuCQ6aYN9gzezuS+E/hqk6OP4tL3GigQZOdaqspJ56aUCl+sl5PcMIC/jZlfbebCJG99hkH9+1 133 | FknWVSyuL3qerA43dFoOoVYv7+pwbtEst+4Y2g59FSbYM7x0uAhXUHe7vY5VZ5Qrt8M6Kk71Vq9t 134 | 5RO80ANlbstZ+s9QWvI6Vz920YuYXU3s2WFJuwYY0Q3WPCSKwiUHKW03+CtHcrXBSXwNks6hEi9H 135 | Ca3iZu3URT/mx752kELp4jEIc3nvrSA4BT7rr8Ad7ZQH3pIZVQob0RUvA4E8I9zduaNT0aKG9+D9 136 | radaL0XJ/HDN4JldiAe0lHcCx0icG+lWgFmjPoxVLNnuT52X5yfp0O6s+DU0HFeN4AdhOfcNMzEI 137 | B8odunfhz0ivWjMXDNpURDXanje2yeh1P8cKzGdoDtHRrpF4aSny4QHqSmdW218yicaQLwuhwUwA 138 | w4TLGm7fbUYtL8AAcOG9awiUQSybekQs46Gf2RDuaI1k9jFmaULNn26oUlwG1SqXmQt7H9b3+/XP 139 | UPINjVEOaGKD0ki96Ar/R0eUzdknVDVH+2grGFJR5+rKVwuyok2grb7nGPqQMIzKlrskSGbQ//33 140 | q4P3J5Ywc+YgVsF/I1phwxyiyXZaWYiCALhT7l2ZuGrIIlznokAjOrnxSmBUuYClEpgWp4rttrz9 141 | F//vu1I2Ue60l8t3riJGVTsyg15LPW3IEIU4ehOYXOxwBd/5vDTZ8wPc578hPW5D4EU0KOwdbMR6 142 | m5gatxl61QR4p4YSAs0zBufJyNdqMbDuUfwHlQKvHvmehKmLoW4Uh6xb/xJEd0CJ9p/lJg4O2oZw 143 | C3b5qtA9ebRuQLuuH3gos/isFfNH2eCBljwdfQSLwpPKd3vH5mliOlO5OQ0nTEpbcrIAKxYgJIPz 144 | sVN8mzhNKVqVTtrwcNvAlxroXctw7Z4QYHw67RVbDuf4AqS+2vV1Hp4y96PZ8GhhIRPMkoB/U+Il 145 | K/d4uWbaI2Cw+GdjI5j5X8QTO/NXwoOr7lFjjcMNTQAQA72cl/OdL3treQ7QLIRBJ2+Auirf1mNc 146 | tJi4ixsm+qMiquh4uq08HBvZeZHgpjcHmhum1hXNOXEvLmWvBeuJf5q9GAjdocI1FC2KQ1Dc3rM0 147 | NbwfS58X/mIaJWVvb7HazRsBchsUhLCD+lCxxTw+EB0e5py2tVLbSGfzBAsRpQC5rMuRkGSlV0jw 148 | 3EtPYvF+5IcATemwtehdXtdbPKyuiaHkfFR1GiqtX99oPaOyr3MIs7+ci+J+NDeMlkOgPxfxJ0S7 149 | OnOFjQtMPZ0MrJX4nJQbp0wKcRoxzvyw9AAsApRJ+YNoPIcYTN71foEXGUL/tOEb7jFRob7j4aIl 150 | qSrWgIItB0Vfks/6CK/yYl8ESaHXfVepr1Su81+/da0OM7q9jyaF1+keUt2Fxce3bJ3O3Me5K1ho 151 | fF3k10kE1qZYYD0hY0Em2NQOycHOHIbGsLby/xheOaogn0FcJyDexpE/LXJ4Zm31J6fyZBcOtyVO 152 | T/4I6fFPA2hAyRW6uoSZJVS5+fX+3JIYozkUA2SGoGf6F+Dgxwb0/a880nBp/A269taBkKJGVT5a 153 | 7DMSwlUD+O9PnkphFDERhMuunWLfoY8sBtFCcH4i5C9mhd/2xoXOtT77GNouLHqt1HFlPWV5lprX 154 | /Coxwpm4opm1GXsCkWaWw4zBlvtPNcLZ3bHG88miFUTBGsHz0nQWim24/eVzAdww9iTw1R9CDSni 155 | RrprV3FNmZk/FjfFuhH+FumRjERxm/d5y9UcUcmHF7chHQAfExbRDPhTPp1McGHhYu7BnpPIztYh 156 | XQk/BjmqvXq8o6ZInh0pF3V1ICNCmd0oqVzSG4U5JSULff2u1yy5ouJWG6QVr9TCB6HbxnFOCSvz 157 | VaUg2lmtZbMe4SyV2oNMEdXuEao3EnjlcM+JeDUIXt4D5sSr4VJxOwxhwgTzFZmlXXBNCRx7qhKs 158 | hfGAaKvWERyLprz9OBa352GxaNaQ5sEo6p8Y11xjYl4oZ3YVCpQZoQHaVpij13svhC+meDx0AthR 159 | plEnAjNAPN3cpR9HMbhVIGpYUkHh9CwFaWPBI8A5Z2tU6azJEquGcQYTYeWowIMUbD1sWRXgd+fx 160 | 3B2I6LivN7AI8EKgf6Iy8wMF3qDp5uEXBLr/kNrzpqd9akY/u8Ps6AlHwyBJtjNR2D9XY/I/sG7k 161 | MTwo2p9ID70RygVTdqtVxdVsvWuRpbzyGlPMF0GdS014C5TcroGP2bQoaEKXaxdYYxl600DEgeSz 162 | 4JW9IeX9ANmXKrLozs+Z9WWkhxlpQp623RCIId2s/VpzRx8DKTddcwWuGDHvSBydCRSoHZpci7QJ 163 | NyMAm1UIhgTtHIf92UQkMc/UbjV0baly7VIQDj27iuMY6QGAoXGxmzDmNbb9bMdZ4juoeNHdBIx7 164 | wbG7Yq6WdO51KKJZ3Zds+e3SwjlgL8Fg7ltELsxE3sBvp5NwfiObiDuQ/LnbRdWjRMLX0v4zC9z6 165 | NrbVgmH9Ikli/yXLHrVJbA9DQuN47rFh48Q7D3B0xsppcbsKKCXsYJMK92kUQzmp9Qyab8NXq6oh 166 | B9GCmxRECayROtjIdQvgvR0Hq+UL7UEUkIwxe1WtcgE31JZeneTIVL5LJYkmhu5lat7f+yyNj9JK 167 | 6LLZA35+IK6HDMx+u/KC/TcVhFPeDujcVpMd3vDY4RL+dUfmcWgEU3tSW1Y/9R8h9ukRjsQMPnuw 168 | cSOe1pOvUviBfoUj3qCbstmKYAJJwe31kGL9G3JXoVZllyGm2DsPEQsG3CqvuNLxn2BT9dbKX0Mv 169 | VssHT1jDdEepw3UKaW30Ckik5heEZbyHFtyNiGdbDgJ16YQanxyamhA+grqc5r4+F97gLafL4BV4 170 | b6Am9yMyDo0POshsjK2c8ZKLtlRqA8UAIr5XJIASCiWHq6ASXVSe+ftxoNn5g0gTeb3wDxGuuuiR 171 | vEc+0iB+2hMANPTXP/EyUp9q2nVRIJTtg5r9EL0pA0Ji36k1H9jEZCfE2bCTTqK1ffZO3XkT4QWD 172 | U0O2AX/9uk38yYYSWtejrHG61CXcB9lnp9UwTlYgcRjXyRMiwffAxfljQbvkveU30rY8LfJC4LVd 173 | c+wLE9mY5tQDXDicGxtMWh/MmSLgfaYg1SCUMX0S6lyiE5i60wM7nwxwbmqXAsnF1iwqUsYKmtdV 174 | V8Dhb6yYHsmLclT+SCcl/vBNHPsFIjM4/dRJ+hIMaFFYyY5XQloalZJVjwmYZYfkUPRTiYK4EoBl 175 | wVHWU1Qo+ezI77Dp6To1o0H7GI3hUpcL9ZJKQ65m4H/9bhGCG0EwHd3q4T+nHuh0s14zWGvNROZG 176 | UYVySoZNcZLNJQF7sV7S2wLbKXaqfzTHmHgjwqH7DFC5mInWzdqr5UJEyhdPzcTfs9/nqhVEhpcc 177 | pa6Z6tsXn5prIG2UORh45MdW7kGiCyBzhV/kpvNNKFbAMSlHtMe8mK5ywrEIiS4joI9qfrjnK5KM 178 | watPz1RTJWR68MPj4jh5YtZQnFc9OusMpxAj5OJP3gAHVNuk/lBzcgFV/8nHQ2ZDLs3dA2ueQN8D 179 | yC6ookMDY4Lc3AKgcxNiqUEGE0hhztfi/bEMdadW+T5DORBkY+jxczgRmJlwWjKHh0DTKCCHGZMS 180 | 751ZQd4w6ugQ1QpMJD+6eYXSac4CUg8lW2BDzw2jjRyr2MJta5xVZ5/WsdgWF4skzjwADRqWJ2oN 181 | d0carI2p43hVDW2XmZKhUtyye3lgjJ/co1z+/ujRaRz0GgfF8+HSYJwoPQkNoIDuuGsZtIhZDAPH 182 | kXtp6pXhyp0eB4SY9jrLDhxwip3pGQ/JKZasPOPIAcO2vQrNh3jvJP0OZr02i+AKjykQKaCFZE7m 183 | 2h9W87NTWWzpTnoEAjp9LLj8Ruu3ROLeF6LLZwtQO/cnHwQkhGfFjOUBsBmF+hz4JNnPwHv/16iZ 184 | 8DU+3TjyXUGo6WYgw+r05Z3tCL0Whkxj6LN3rxgHiTRUp6w6gzKzte/maK7u1kxD9cXl938fYkGi 185 | yhQzx/idxN/SlEQn+cLN8AaZrE3djd1WGrA32oGzgl6OcKfDPJXQKEqwrvDK7CI77r1Ze9SUB3jv 186 | coFs4KKxT20jbfhidL9a1MNXskv9FJOhDBdOptJjPhp0VVM/kuDIvJ9tbaAn3x57L8bBYycXRl9u 187 | lgVAOMDBBn340iqS/0tM831v8XNzsDbYOwJ016kHIXwa3t3BRGI0NE+cMwbadqph2v74v+OHbw+X 188 | FknGm+ADiDpIvPBKistz/kADCtbrtgw/CPwFvgMDtMhYtGVh8fAmhSXmts+zw0jG3JmY6csK8e6j 189 | iEaJyxRpu/Dy/P3J6pixbBaDcg4zKShRaQXd4YFlOdJlb++oSv9avTCjPi7/uVTyv2ufae9xE/+A 190 | C1/LJOPAQZl56IMNqdK85XAJOl82Hg60JHtzFtQSt6lRxeGVIH0OxTu6XlKYO7NgacG/BWnGTc3Y 191 | 7JjxhghJoBluND+UCil4xU/tWCfFVB2em9jTL9a0JOeAKSapniAnBuTYg3iIk1219Xw2n+EfvF5G 192 | kBqUlT472kLKTwyDTpjZOFFykSRlwZFrNHHPwljQuXv/GjuRoP4iw/d8LcjNX0m4lXDYTgxgiyLs 193 | J8NxRWdIeDn+LtFb3axiONWJs1u2k+dKIanySU8F/VuDAYssl7GelJm7Uy09pnL8uQX3QM7gHPi5 194 | YdWCwADrnyWWgM2ugnGIM9K+l1OjdILRVb75bfnk5cYs2g4xaIcaLBULt2BcLBA2U2lob9b3wjOx 195 | ri+9oLXPpU/Lw3+4rZvD07np2eXfYu2KNRE9ZwmKoMZiovejCNfttmsn6lGwOQJtI7rn68iVviD8 196 | f2V32bm3GPbPLr+eCpy0bIL4f+y03ItUvulFH87iSHLInUApyQW0m9GBAl4m5WOtj+8+p0tonmQp 197 | XwvYLDEhl0WNoho36EX7POBkEbL3gHBiFn/IRmEMz1pyJO0i7lZOMdPS2cTHgw/xyY+xwDtQtF09 198 | LAcpY5s549wt6bkxxtzJAbGSyEq0If08TlJAzkN28Sq5ZlMQ6+iGweRYE0N0vJC5LjipAnb8pyDO 199 | NBfMdAnb0wFaszR0zZfdSv1Y0wpuavNUQdgG0+NLNZZwdfWop5WYPWq+KOufE4NhmuTvpsPQbrha 200 | dUKPJ6FXO9UEOF11FMPRSCrZxgoYfQ0q16Yq60vYHeRcyWix1sYlpEfZPhTFfhwvzVGClBnlSrzu 201 | pp2aWB7ifwpjZafnujsq465oL7A5RorRZKwFyM+3pmQ46bbMUY0WBW7edBV7B+BQj312nB/f2v6f 202 | QP/pcQUK+l5PHU2lkqye45JYBkmN0Bv6hEIdfv7VZsTmZJPyhbC8UNFHJJnzsCVMa4Vhg9QIAnnr 203 | OY9QZE2o/CLp0XwuBYkxUPcY07tV2MRB5hChebFu4S36k4HAI5vGvd8PvmJMLUtfwm71E3nASXhd 204 | un6/AeeiE0UAiQEDUDqU5kF3jRESYi4aKTPLWwLvIiIWvSENjIh26Jun9dwxdaloUVzpFX8+ohVk 205 | 4rtzRkbRHFiaHiWrY5Zu7kmwmN0qGjQte3CBy8Dc83m0XLht4Nif1acDIZ6uXGtcjPmhn0+HjGoB 206 | hpNSZfSIH4VG99ksxVsFqf9HY4ANBMYdrNZhT0u8XNMWyRLKhZsvykL1Oj0oj1O75t3NLwn5px3J 207 | LqNeoXsLTB7NR2JDKrv7IT/HKgVvivKG1vNIOaLkZXkBzA+MnqT89UozRRSxQQUI0W/P+zvwNP3J 208 | fWxoSiA6C57y8r0YC/sW0l/lW3rgOc+4IYvo0ufsD6befFOGU6UMxjzHOzDlcUgDHYEQ2mzaeM38 209 | aK9bGJLe5iXgan8QFhWWfW63vqY14a5FKx/VQ/ITo0F9G8OOYc8oydOQq8riDKif/eRNJp8R2q9r 210 | XHHJL9gv9XXHhSCv+N1wl60S4p74eABEZ/oVa9y9V+kZFI4c1Y6GNVQXOf609+paFMb9dbI4rILU 211 | RDdrFZTyfgwL57w1dXJMvelRSpYJ6Pz1B6+hPBZibCilWCSMB40ruNg5Q1LbkW9wPrY/+6iJnlOl 212 | gqFTrJy5uLDIYG5BU4dYVnV5QlP0uBNe8ccKYU7EjZod8ECxU1/yfeI4aynOA55G8tWj2QfjDh8+ 213 | i/B4shnmT33i3SipnZlmj0e6otn4mxeWsDhh/UIkC0jaCHKUFTp9mBw8MaaDwQI/+yO1g5F3FNF+ 214 | ae0Z6k0TEPGQ8QrWeSoppGD8EB9yRKNysQdp4uMdeSObWw9KeJAsNW8FyuIaUANaj+qIYqot+Bz6 215 | qH9s6DZkeDvsBFN9vp2WrNeakuBCPjf396togCg4CUF5xETxlzek9D38TJpnju2kJ4QpPf2vEael 216 | JxU09xC+HS9HZuBTCzFXetG6zeWxOYRdNOtGqkzd7wjvpCUlkB04LA51F9BdCPZx2IngFc1QUCck 217 | jSaG4mEAmDH0pDzm2gB7vFrXYuTuwv3LDAQ1bdcFxKIzN9l73Hq/WU8qI+3/KXx1NlsnsNJQ4R9l 218 | YThbft1NKBrmxcgbTobmxPs5ITIdNDG6PlbG43l5k4CVY/dFauiTVZSvww+e7k8Ki2mmzFFTZy/p 219 | D4t/XtrdHqywbpcVYAs94OczKBUKS9zNFHbzsY7msXnPxnIwW23utkSUuoi2PBt5x2KoVHaLOMrT 220 | +9kbdT+0S3U6Kl/uU2M6fivkaWk+DT8Lh0N61co1ND0u8b+dhmkYrHzJq5mt9kkPHVW7Z1HvbJ9S 221 | mxYU/jOgc2nFsJ+Vfq/ZO9+ROiEXlTca3COsLrBNHvmovTlD4eltodYrv5Gfzv4vEuDqoMMsBGRy 222 | E3EykfAXMVVzpg5c5Z3XAci3dzKxBmmHdCjSWTCM7GuXWmTNMsaMFsM+3YSO1oR5Vq45AZaGyecs 223 | k+kALqxtb9G49quFSVqjNEliGEIjTv68WD/n1p97JwhW+TWTIt5Jdbisk9jHHDXB6+k3byhSqvl1 224 | dpap7s7GiF4jngsmd8v43HlTjnzwefqraUKVrWG7/8lxMKz+NuYhsz0zAUU4mydAsh1eB+61sk9S 225 | OhBuvdoaVUprfBKtxtNulvAXQlw/5Aoy63yffzbljwu8iC7t3JEEzrDHR8ufUO3W0mAgnU0XZyUA 226 | a6W5SjWa+Xb7MbrvkrNBQ31xq0os/capynWKYk0vO7FIKZOpOO3lhwwxY3nyTIvJdGLNOvh5VEzI 227 | dqFNx8wSpmL2YAKx9lBnay6sbAqqSa+H1UquFX1oiAtBE1ILbL6j9pMeTccNDnQqOoi7h/pu4RPU 228 | 8qv4h1JzTylEXIYQVLbiD6nRYgZIoVGf3BGYZS+zL5QThD8M5DyOkm8GbIwNXf4LhWrDx7J40hMs 229 | DInLoGIZ5QQRAu5573C1V71Rkmj2tB6MnI0WZjYJrPwEHEShaNbyI/GN/DSuYTJiMPc9ydLWVjrF 230 | lN6jzHTjjBIthz2bUoAzGUP1JPVPhSdgJeM8aUJWn7tF9kaQkQDlzbGdoX5pBswtooLcokL54RQa 231 | y9mbqXdaiWXFVadezZPFjw4mVz6CNhojyGwEsrhhNDLmGiSkvjZ1UqvEAN2h941eXSaWbSMbY/fu 232 | 3fOExtoIleYF1kUeJDs+IeI7iYoGnTTbbbYMYi0g1x6/S5WEIHLHC74plnEh9hUS8hrdLVN64iWH 233 | Nrek52vm/OAryi+iLs972iwRqXX/Q/bt5LSsy7URO326PCP+wVQoNvicP3BSRuZVssv0y+b76Vos 234 | Le8oIhCF1nMV1QKZXMUr3BoAy8EkPpO+h0rLUBKFLTJq8C0IKShismUF4cOX8XFPNco4DAr4k66b 235 | OyPJ7Nl88Y42iD4yV0phXfP1BcdhKgPV5cqziYa+o8RCmMWMmNFl3RmqWLpizg/CbnWo2Tndh4nT 236 | g0EiJEK65y7Oad/FgXwp2hLViswZQJEqR42hGsNToojdbdo0ePtPjq0qsHCF1rPhsco0Gj7rRJoV 237 | rSwkA3DX9jKDBPCOdVURQDGqrGliZxBlgOrs1pPIxbW36zhnNKDUCYsaHhU1Uxi900k5tUVn6HqH 238 | VJlIdpZa6Zn2O3ftl603oAyd/5pNSh/uRiaf2HXWPkim1mpq6OCzv7YYE6OvXr/gYHmPJocOjcsT 239 | QEZMS4x8C2Cy4a9WVFKxpMY+lXsnroWv7qFSkjeY8drEuV/hIFX1getaacN54S0m2hfK8Dsrf1Rj 240 | 2y8rCMaZoBOZwzH1TxovLQAb7J2D5drgizdV7u+OnFa131tJF1YE/K1lS3ez3CiKb3O9ZQ3IU/zn 241 | JzSHROLbJrV5ZqSKUMCQTSahnS6XwwoBvKwtezVUs3VCxrVXAGM6RAhqQIewaqs2ZvxGhAsf/ozV 242 | 9k1MuYAfEkW85sLEQdYZvov8yidziPFNqHIJuE8SS+OUWBFlibQfEup8K0ZLOaLhTmvkdSuWf1vD 243 | DV/x7zJPh4IIGhX5MWyKBl/mHFNfxzjpTTAGG2JzquiiRYp7yIMmLXlhHTwuu44sQEOAYpuWpfCl 244 | 2SAQoFRgx4De8Byg4aVT0pdfP/SX/lDKE6CxnCSE+9Bg6sRPLnTsUIufWRi+DIi21bBcg5JmLYdM 245 | y3jjmjNxPw7+kMQAjmYVfWUGYIVOJHEfuHB9/ffvLs4XoweP9lF69IT9dNUKKTpaOskYaGcv7c99 246 | gtxoN/tYjOU16LviaBmAr9hjUHQyGY5uCSZQFLna+CyN7RTFDemyHL23ALGoG+wgXB3jcMiVgGwS 247 | nXzdMnJ0uyLnm0ZCGTUW04E8PMQf6FDw0o6map1G5mDkib+p8tkrcsHQktEUyPoA3S+i8UOA1vya 248 | YcguRIzp05zOAS8LaMPTg1RnXmo0YjFUvGr6QJR9/RIVZUhOItaXvtY66o4Idjfi8I9mWx87FnHk 249 | HfJlqozctW6FcgBDqpR5LHLZnvkwJKihD95M2JFfWbU9DdlIuwjIjBy9YW9AvBvr8bgseh/2sCgB 250 | gXTr26g3edidsE2hZ3ClYr50MNmVZaIKn+aCt1B59tcTudTrBcxJ2zXOi56M5krYyERJJGVkkhDn 251 | /SxOrV8Gsik1vjHsrR8e8Dfolpjcw7cxFuI5Ptjb0kmB2nEWRSuAXagF/i5Jm2fimaw3jiHKaKNE 252 | r5Q1EbntUAgkzO668J4cvzL1DyWr4SEuo3Wwc0etroHIjLunb1dezwYD6o1JCqqJJAHCdeTK+9fc 253 | hWA5cvDPnvkOWgPacFuPXjp637kLYSX7G+UeDBntceD+nfbjl6Xwh3MxMo3uyLpGuq+bxQe2qmAn 254 | bnYnqu6oBAiyQLqxH4wCWPKjeilZETR2RJez+yUV2o5VTWz0HybvrXdLd/F2YVOx49hjx2RL80pV 255 | TDJ3FazmXjjHgQyVTmO1P9kNmUfDbiuaEdXiq2oKyPKuBegv0NtZT9S4wKj1Q41Cd9mLuRqS6Ypx 256 | f1SQWdvL9OaRwpQlFveh2XZOl4VVLYn4VEr2ZkwpoAQ6rRGQb3a6TXDMKKTOhhYfcT037y7cRtMU 257 | IPoORGsFp5f9QF4Xqs5qDHPAIuxpA1OzkNcGKEjVZtjvt2m2heVagqslrp4qN5gNqJNzTTHPGB2S 258 | qTbSTmojkBDoCmsj7YjrtOQnOexQKBZNlRje4QrykF0PfsloUqX/HHlcG18ZTfFU3pXDaEgsY7gI 259 | rpF+t7KFhFY/pthlwFsAoUC6RDPt8bfG36bveQ7dNFFSwsAfsjX3ijSEXqXj/gjN2VubnyYCWMDo 260 | jESmqaQNGpmdvbZ/MWE0UpaKn5xKfhXbiENcrT5Wkk0OACC9oS9NxXgV/TY28JUJ3W5vAerkzC7a 261 | 7d6Sl9I/3ODEnccmhLF7sxz5lgRFshCyAjzF83LfRK2DCx2yDAtCJn83qyuMdtMYQgxuAw6/Sad1 262 | SnNyeUWZjaAaCVqUoKmV4USEjn8IIgMGNK4lrdHRp0i6Onbuk4DiwVvtPOJoahMDs3+qqssyYPU1 263 | V1gd1XXfrnw+DnE3dHCAxkq7DyrvwXIL1QXl0kHAJl3/p1A0AE6lMxPDF4SApHnXW48khY6bPxKP 264 | WneTaukfWCnARmO/7QkkgEVjx7oR9O20boOxR28WNfedLIVfJ7wlP4RempuIiQxifBZaFVccCkbW 265 | Rd/xFzDtG6+ydg+TXGtWGL6qxxlo3xioO4KyBGM38YcFJfh2kxh82OZFwWgXxYIveh+kazmSanxj 266 | Vi+AO5mI/PMzFpoRKayy54051GeWFGWx4c2BmELaP20Y2okfDbIguFeQtaijsruiTCz18bS2OQny 267 | 1+lJFZiJo+IZ7b/BFV80gVkDvwsk0wIS86/BHLK6WDqspIEKRxVlSLPx4qJ9qJ5r7jK9NeN+DQoY 268 | LjOhoPWzDxd8a3mw1TqqNtb+fm67KlsKNpXoTQ7gon7IL8vVyQQviGKCWFFIdS32mhh23J0Aul0s 269 | kmZOtkvV+zQTgrafN8qCOrXaMEORQTon90fQOnGShXPgRI/PyWjw9GsxP2NRFboKEnAxuaITpAOz 270 | 6Ieak7o4jtsImixQbQn0gf4dsWeINqqUE55IFsqEIJydcfpj0cOrLq6Iq7EnUoVbwoMxfXLZxb7A 271 | aOYVaJyHouEeQdQLkaeysywaFIruG1lSdr5/ttIaxtI9MAlOz2AzRncWLmRqZHfQ32pYBxCJ+mcO 272 | 4TrTjeSVLTgqkly8Rj2Qtov2mWMPdfhK1c7bKpaYmFQji3n4n/SZjN5RGaTiGn8K1J0dK/ahvOkO 273 | +y+/WpUmPSNvAEfo8qufpgQVEBKLnKWXCJDm3zF1PN8AmFmDn9PI+WGuqST4iAQv6lkFGGR2oaOu 274 | XYVxZ3KXA3aRdhz5b72MzN/V8VVtcHLNb0a60e6A82juifIlCQoxomYD5c4NlgkGCBHq+FftiVod 275 | T+86h67kqCzlOoff4kd6svrGdGMa6NyolvVOmTO/9QO5uWP7GC2+oHGYOCCXj1o8dLpzuHqFMGll 276 | 1s3swbyqRcT7uCXVyFwY/vaZB0GYOomSAnkIpvnFYDVWYzaoLBanYVd/SfDeExaEN5aBMLo8thQQ 277 | iZUTC7qtOj6Hq/15SdRMvyjP4ssdjELxvElPgNjo6Jbww06PnaYeyRXoVZnUqFEhyP4FvJsADPZn 278 | rsTMsWZiki+G3fkesBpg4wng1S6uGVabUgAQtjpdvFdjnKzlisjcehN6kH+WSgLqUOCQJR6PDy4O 279 | P5DdmKxLHWKYe1zWS6qNDAqxrSzp+J22YFMdIjIxp0m0QlnX7V1TlwLOeKgajie7KJACexxlE5st 280 | YFDyWQM/uUAEjj5cGTMRznoRUpeaTHbh4yB0sPfJiWtibqouawcjfwI+sTpSvsTgEEHZ6X5DkjhO 281 | Xnp5nZ/Q+MeNTzSAV1orS12Qzq0bsxIXK398oHc+H2zjQxIiip4NzOImpxGz3OIvUHSdGjvY6TUk 282 | 3D3D/0RJNWmzVLkcRAiwBU98HHYvUeyLh6GpVuz1IIKzn8QaHG9afV6+zKcYHX+5miG/QOknTj5V 283 | rYZktWDnnEVdBeDIszXdmPBGCXj1/Dxc9bLp6YhnmctW7O9v76sXMR8VC4hv9BnWjA/T2+f785/J 284 | kpvARrypvVps3+vNy0z1jM4Wpoy7yeLFOAPYFXubN7VaxhLOWWVbLCHxN5fb0SqLus6dHrjFgZIr 285 | rD9x50du4pmls4CI8wCa1NoRfI0kWc6WKGER2jakqm5MHtWAlunUdRVbkpGyd61VLeEVFinpgjuv 286 | vjKLs+GFnWYbjB91HgkKeBB8dFYFi1+BpNKilTYZhNlXQL7RlKE0+XFj2ktpMgKUI40kM0Cg+jvh 287 | KXEvNVaOrW25kgcgBVp0LkLT8MsQf2yRxcaS5gCaumk8b7B7PHOnIMts7UOe8zsKOSt2M7uX/f1M 288 | O9WgG8IgLO73hEHoM92T/KVNVqriO/E1QO8z0hq54eA5gxFIZeiaG1A73yoAhCyWBntNgTkOndC5 289 | ZhpHJLxAo1u9YH7E6qbgX/J69zYZcu752F4qoa7f3PFLQs5imnpjCwAAeNf9T6eilpn4b3oikE+m 290 | 28739WcJFbexjdvDWtuECxc/GfsaKAmMVTIAQHq06uHCwAHWTaEDnH3YA3B1IN5bdWp+8y3GN8AN 291 | qoeUfatj089VfbaQ3LepZCgQF59//UfO3Ajt49M1XAWyrQHQ6Dyd77/V1AsDxIyBzht322RZ3q/9 292 | 8QZnct9jV5/e0o1PRTG70JakcBqXOqR2w5oxTqhvFjqOzhuk7OBgIMxPQalzj9sKfH0v5hMZUm3s 293 | 4EJ6bhBaUFmhz+QA1Wo/yoA2e7GdRiluDom14iWAvu9N938BJsP6kPqIe5wEmLyVTx3n56Z+rvIk 294 | +As2MKkn15MSpdbQOWU/D8Z32TwQ/mMrSBkSOXSyDsChHHdB564joDQC9dQO1rwEsdKmMqsKSN2B 295 | 7mPPd4HhpnqlOtLHmts+qlmeXwtGN5ozkZ0kzFTISGs96Pj1C0cSYnpavfKF+cdrBS1xZk5qc7W2 296 | tPzTZ3nnXDeQGTknRKjlSb375DKkwyFaMWcoLvZdQOMxcjmVtJcTDnLonAi80x5pLALBgNELer8k 297 | mo3kX5DE4/GuaWFZm0JzCdWL/BoUlh1ec7KD2muHpiFaFIK/rQRTQ98uMdcvoaZA+ZMs9YiSYgc6 298 | dvXROxiSBhFV3vVOYGgJYmVTtpk9YFSM862onk9E9Ceij4dMc3scdF/sJsFN8JFPhGsHcEIu30Gc 299 | IqJ9mkgY8gSCeMC63NddMdmCN5gQNrsC26EBwK6NbsV1vsktS/LnrIHEDWHnmptDGJdBBtC8iI6M 300 | Tv1wFT6kbjhjaRaBEfb8OSBlvYKK2CYaIz9ydffWrVbrLA9Wgmn7f3THRXq+nKKc5mRJrhfO1psj 301 | dgKTK2xQ0jpl4GZxQQoy+IZf92V1+R42R7vyI9G9NUGN+HdzwSxiVt3FlviQuy8q8NUXWFmwviQc 302 | 0H/O2h7aDPVBJ76W+g2uNeX8EJrGZux/nazgLnJjE9byIdeN0dzVri4WGCpZ6X3RGzVfDxmIaoki 303 | WXDKY1C6gLm8eCrPhhEacKIT6WpY+mWVM1IPFO6RRSC0dEOuvhK5dX9y/eeHs6e58dAfNgLgMBSG 304 | V0k5Hasc33fderyKYzW3TAPV8EDt4jw1STTXwOhmTtfykimVHIaLdDGfcvITMDCTdEYNe4r5yAnQ 305 | jUCJBVMxkBRu+OLH2FdcI7en4M+ydH/dFxs2cuQl9SqBKn13+3JEmaszVksgPYScQ2aMpAOKCL0F 306 | J94VZrDr6ZMO3thJ+dohpLvMqRrNIilznXhychvXGfXlHFq4KruLnNORCPeo5BdQcJCgL+Vv3+mD 307 | q9fPENqFjNy6usvvNn3t2BYDpl6Lv9V69d5Jj8RmgKQZJ9DUT8znqE+Xfp9B4PqaIpJxpnsvJ5zr 308 | 0ZDvGbYw/BlhlGUjXkoHNBLbe8pP4SiN20YVivovKZFFVvRGKmNw+UrTjIcZnFIKKzQ+9wXy+DZX 309 | 9IhSdsWIbjn0cAIlupNi/0QvM6fU5i+rfWi7zcLmttH/8Cw8Davq5fnRWxL3x7LM7vtaYX3BCXfp 310 | UviF+2OBJ6LmNgl0Y08WDiOaYsUHpSFIPl3/2BGcZamnQb8iBPA2G/a99AUxyjCuA0kRSNVcmp79 311 | wsnEfUGyWOJOArLMzj+dmhEEaEJ/bcapoNxg3J8pHBqSqZoniL3o2qMpID6ARpznod+EdELs2Sv2 312 | psuxaxS/9xMd1z4bGmDlA5UkKKIM8+NekVbiiMQLRPtQzl4x8ZJ7Qk0dj1EVgnPBXpvw0Ip+tJgL 313 | 7SWWw6A7r9To/vPxc2Ahuw2vnezGRM0CxSlE8E8rv+CXifSAUjgMCgcVkftBZ7HL8rxiLQgvvvb6 314 | SAVWB2Q6T28GSsPWwCS+ooT79d1kAvIZEhLrnYZOzNFBr9TPQlScEA968SwmllCiIApk/BeBUutb 315 | Z4mb5+lW533SuNUmwD3c1jPrYOyK+W+XuU/yZv194N/Wy2CP4t7E9gad5qu5Ph59lw2QBL1R6flI 316 | gg11qlahbVa2KP5jdhHzPUAnJujf7KgCe23IykHt1JHu3FMBvDxdLL1M6A52GVHIe6i6LO66vlGr 317 | Wv7xnvE9tXtw/pXv9L0I6IX3F1qA2oCWJ64wD2jrqSy/iWOfgPMHIVSqqE9DYPaxljPsGNmfLUfQ 318 | amgCuiGpyX98kdnNwI2HO48n/ovKplKgOfLbtXbaNEMwQ7OF4rkqXDaPyeuuWm95AcymH1GorkbI 319 | 5aaAM7xe522OI7s5GrXqSWuaAYT1159Z458Ua+fYOWXZUno8bUl+oAEDVl1tkzb+Kk3y8gr9jYbT 320 | +ofbUg8ema3o9B16VdOcKAQfTjJbKPbsTAIC8d376+NyNoBZoJ3CEjPjOxiHGNNSrGcNB4duypay 321 | GTfal/lMIs5h6i4rOdfq+JNRe0X0gY7oVo688vQOWeSFBJadrFO3OVUs/2+wAm6mrF8H1anTA1fi 322 | xJzyn0MjxxuR2tyUchcIDZYgLvLKNuqHUE6igXdMemhd43/MV6uTPQqI95odUvdWrtKwBiiSd7Pr 323 | rKFVYNMg5RtABq9orx6mtng7jttU3IRYD6TpC9dDpsDYPQJ4Ww5YQaQxwt/Mbal9RKY1/fSP3X04 324 | eoQo8Wif8K+gTQ6RiQ8RxcIisatawKKKOJFldQdPH1kzBFkfdJChqe6iamO00Ri0nEHU+/i3blLy 325 | AU4nfOAKqrYQW8SfNcP7c9FJbsM6YRUAmjDwlPo2xHNP1+9nmJzHVfAV4y8WvsBVBwP6Xj7yP2zf 326 | Vwo+b+5dmGMlHuCCVCYGDWoTEJ2NS2ZiFQp1xLkIFFjuuNaNR5ndCEWcLzJuqLgd2Pt5/sqaR/v1 327 | 1zzZUp+d1rR+Ip19AOp+nS2mECphXKz44+ke5Oqnq7Ct71qVIhPuvHjwyKgZ2/MA1a8t0pXhiMrN 328 | osqgHlMNQgSb5FxVJwVtjqA6jAtZmoKu6cNe0RKoV3f/HtZXP3cUR5LvRwvKprWY0dLjTLDLyzmh 329 | A2PYH+uqlh0UgiAbbVnJyWW22dPjXlNEVDdtKtcg4B2EDXid7F7ZRqYud/1GdoZ4Cq8tsa0vBYt3 330 | 8S/Ey1C4JLS9gBfiMrBBYgmo3n4qts8cjvYIvRrxCJra0VqPAGuxpt2zy2vFgK8KDkn8bcRioWIU 331 | WWjcfDPxeiPNtsUcG30iUcXswGTXcw8PjwbVAR2plnjDfKPiMRwhU+SZBCjcMgeL+GCUG0fRJab4 332 | Q9Yp+vDmwERdo/mvsq/4SrU5VIeS37KBeGrWFGiGn/D5M6Eb4hgcxQo10GphUEiosDjcN3lsfJxp 333 | So4eSnDRH/OpsPymEVgp3p1JrL3NfpqhCM/Uqw7XCGXRuk2xijLEDuZOSgXZ5wfgukQQd8riIBnj 334 | ZmypBxZ7aetYbsuFz5v+uQEUHeJaL2jpzsYcULfuY/KoujrH98c7rnvRWc6Nu5g5gmeaZ7Oaejuq 335 | F5391BHaHASAmXJkAitmUlSpQMiqmrLiv08eZrs6lgmW8woWBA+Gz+8fUiPEWRi0vM7ogrPssQv6 336 | Sc7rgr35C7Ty82PZVGlzp4TNM+qQ0pCWdmU2qCJnPTu+YnVu3Ukz0AIekADzkjeL251aA58BTk6n 337 | 0UMPE8Urxl07Un2J/Oh6IuEWDJYIjd/5JgAVjhLP1Az6M3p/h2CdMOA/lzAypO6TuiardKLKhsqu 338 | segtGdJvM0Zm6GrR6CEAL2AaN82sedzxb/k1dUV96dKDbnWD8ejfgmcCHOMgS/ai+HMa7hreb2HT 339 | Xrmwca3KOUY7KVSRbhUh8Tbkc9D2vdR8cA7ZIcuCQ69f5UVIc753ZJ48uxAf6vudymoh3GiNgpa3 340 | F1dgR3wCWv+h430YiPKrFsbKRaUioL7fpRIx5BXdjbhBibcpOv/HuD5HWmbCPlNUizprFWnH7fkN 341 | 5A8Qjgd5SkaRSQIoyMWb70ccEm25cD5vgraaonglVReqX7GJdhsBTpFyG0hYc+ATfkJmrq4rMGvv 342 | gjgzGANx32V2lDgeusCicZJ6iLK3GFsKkqHsIm08d5WZNUhDo8oXl8Ys0qyvh1pGfolvqJk5BZXK 343 | 0mB6/dLWAO6Cj0qsOzHnFDBojrwQMBS2Bjy0quu4m7uae42SAire+xov9ToLz+5eUkZSKP7cFmGt 344 | gUA1lK5x1RVpptzYmXTNd1xmAvbuhDiAa7w5qBCd6aMyqHNNQMC8DY47RwPlKGUWWa+t8UAMhssm 345 | CrvLBJqjyFcx5tMXFNK0EP3VlsfMu9ZW+RXGPazxeqYNsFodYDEm9dfWStF5MdXAfRSVBg3IDkOj 346 | a8/nKU8tu1bAg2S7k26BMgKG14GXjdq2k2Xd62UvtJNcpRn6uqlmnB5Gs4VuubCfXA0gkBs1GK8f 347 | xkG1dOm4TH1CtklS7nEPqMrLYOHNLGKnAgxW3Q+zKoGF4T7x4wgbmL1YCRZF2Z5Jyfuk0UGdG/Fz 348 | ho/o2DhOys8/9AsRhFjufNigUewuD8bjClMIqzFZI7VgL2zmlXL5wHHksf7RbW0VrRdoqKCh5MQ+ 349 | m0QrzQaGYXYl4eIz83I+Bg8XRTcLIylNRFQs7sTq0zFncXI84mT1jVJ9c+qJxZZfqNu/0WW+wNxY 350 | zDMKM0PYisoH3qkdeeRS5e7NVr+0JrvIRNd3j9DmjFJld7STUjdpLWumvBaOUmdITCppzQRrhhgr 351 | 9GOjNNEt9YQA61lA/GeQMBX6fwjvCozwY/MqHUpL25oQbEsO/PHyW0qCfSeiRwZv4JLH0ymeWeuC 352 | i42utaSBrDiMlaqnmpJ3KLabGhtuc34gQ21BMzBL6tXD8j719376Kr9+bj+ACOPNj3kSffiHC6sU 353 | N+WtJudxdEnBlnUm+Bxa45SfvaL6bzalr8jlM5vlTILrd+yZkquOoz6A5tjbCT6QDCiXTxhuFaFh 354 | 6spInOhu56rCamdFZD+i82/sn4GXVtdA6e3TgJH27JYsnBF9Ke51TNndhO5knzpUn3jpAb2A2VZy 355 | NmqoreTPVIphCRy3hyh/mHveOLt9Yg+e+FYhMvFNIQYucXx5wTUV+uBNwS3US47I12DzHgC8sWPF 356 | 7+rkFgQcawsYDwIFAjTYIIEvR4zXZcQJ93TFln8dcVDATj7Sogx1a8Rddi8UCx5Eu6DUOn+i6RIV 357 | x7t1wIM2FsUMc4PtzRD/zGmy4oU7VtJdrZpxA0qcK7XUW9OgHC+LM3MmGikC08t3Ffh18iwKCnGk 358 | QABWxP3blp/QJxtERgb+IJ7xJO92D7m7P8RRTqtY5+sVlR/RHJXWhxDCw0Pyrx3e3nDqAspG0u7A 359 | nz7pebHUA9NOGLaY+VR8fi/MsL+HB34sB1R6gGpmIX8HS5FDDwa1ePjnD+46ImDzaOjDoc2LoMm7 360 | DokbYJs6iqwWOmTeIvDT3u9ndDWVQNR/036EiB4jxYVytGUlfi4R0B+7KhNMWwVvHlG+wV0jmDR7 361 | L/58MZVooYVumAdRDA5Cq0tXpv0OZIdR3QVucrVyfrNJQMXgDRtrHp48isOhEM6C4il4c8CH18dC 362 | 7j6wA92o3MWT/8Bs/0FiaZVok401fPgqsEqbIyp8fRxDL5sBviGgh8cJ4xn46fRAHU4SeGc4T0Vm 363 | 9aJJfX+i3/1AHMii/ESXz6HNhk95NfS76880yb6ZEpjCfP9VHv1UJqk4BQtQMVtjWuRNDf9Ef7Cy 364 | /mr5xHokmm7KTO67BWWOlicAif/yk6GsQxsKdUPAUIKgx3xK4CDjatEfCoEM5nVjl/HRlBAgLCXX 365 | 7bXesrPfJkx165zAUkYogMg1d9ZXhQQy8B57QDn++rptjYiYxhruuP+2k+G/6436OAXMBlfEvqVB 366 | CIC5kfoeYUIs9LzJMUwe3maG1lTJsb4KKFXFzc2zER1uuIxbZj7sDWjzvfFp8Fi1l4DzFFwUD2yl 367 | M8z4X34/i9ytipINeEX+Fpvyl4QYVDA9ULDs2bCL4lop+fK0JRdm/xDIooz09yfpXdkia9fRGhGc 368 | iTQl4y5/r2qp5jZlGiMB1s6j7aTOdT4gTu9HVC38BNi48Ly0AysXjJnIe1XCtIwa0eQmKTlmpQeu 369 | stDDL/7kqQpjGM0efxkJA56axwogG+n8/EvRNBHs2ZqK4bMXJQOYtXHX+loDeLU1K3gUMKibJDPY 370 | SJb8EtIG1KmTLmoCj/93lJ3cjJv4A8j4DR0SzCZP4rz7by4G7yy4UMwS4IBW3pLSJxpCzNmcvf7f 371 | ema4fVwyJMbPCAgw/PdgsX1qvLQAYibXVO6ESU6mgjfqN7RYP3nmOLnVTEe4dJT6E4edocqsQXBx 372 | +zmx8RirRWm3dAE+lzOq+ZkC9lIGu89o0f3ZXWeMvCLWG3MjqF0vIk2X/aOc0V42DP9Gtvfy6vPu 373 | FLPHFiF1C18/lO2CPq90G2eY+YfttSDENpKewTq83tm5dfFOIJLwFPu9NefKoUuC0MYNTMcZQF4S 374 | 0O324MOuqALz0nb47JGXectwiGD4Y+HVJ8NGznfhSbHliSmNUWXwWuLfTgh5bqZ94y71m53fJdtw 375 | xqNrAG39k9vwEI6yBXUe5FaZzvNItuo7mrjDT6LfEu+FQWi9sYRS5sSIHAR2pVlvhRXfe3nQAb5/ 376 | GJIxc+TCfionW3AGM91TP15VNG/P3Hin8fcE9DZrGMCVLwlOPU2h/Col2zK/tItyOXlrt4xAvZwk 377 | oPKSKc09aFPxpbL2fC/TosMMfzLD4mdGuhBAullIfzrMjOwwkHy4HsJSqS4YA4YI+cEaCS71drxc 378 | 9TaE/XPz3XicgAztbgxspjt/YgicPwSV1wFQQVGMkvyHou06SpOCMwOzBa22eRH0ruxlwcqHTSUh 379 | 7EDPkYw2vr6UgHraIQ22B6Y2+1vRZ0Ud5DW/wvluAfEhkC0zP/Iw5c2H5n6ScBZd0pjRKFHGWkQn 380 | EFArDa8TvZYAR68rmXckjxtzBWQsoq26/K7n0Ag9+vMt3dKrkPSd73GoGZVaN+S3HuaOc+n6QsGC 381 | X+DQ0DkzQRKgt0g3h4kKKY4PQyb6yQp9Y0JK3EhBUjf3xhXJ4tavcsFlP/CZC78uPlXJnCTNsWjt 382 | 9sG4N+q3cumqWF6Wty0Pesv/iQKuHBiJilDDWFSQZh0cExbE7ZHdTG/w+bMebWxEpZA1t8kxZPRX 383 | IB9JDKWShDoY4/JhY/UpfYyghXeZAzkSY+rxYb4N3rhjeh/ag6Wnz3hl74f+3e+3QgVeg9VKcfKh 384 | wWYL0nxipzr7V392H1MkdwjdmxNm4GKUyS0CYzKWRygjEmpv08H7aRoIa96y//6nbpm7XHJyF108 385 | yIaNMAtkNmieIPM42ZC7aY3nnDhqGZbTgiTJsT04kRUiAMbH7PX1St8jIf36W/qzXiCaikBu+B82 386 | 5u3ooHRkBnNFOYWxTxSy4Vos50hGNa/nm828QYpBvnmQPSmPQ5ZxrQy36Mu7E2qzhyxMG/STozWL 387 | XtCVV+jwujmSaIvNow3f5SId/aoJN65Xw7Tempp9zecVQMhix443mSe+H4u6mtGFpJzJjMuwZH7a 388 | 2nyDHjWawnkzxuZkZ1q7c/d4ovjN+LgiOF3hWNDiwPB+zBFpBFPxWrf9m5rBentK+rBf3eumHk0w 389 | uuh4OOwV6uuiRFwvM7Y3hPG7E+CN8INqqsJRm/HMZJIRlOLLFXqbw7CA2zP+tnC/jZjL5kXHM1tt 390 | QauPgq+OMBaF26XSm4yqa0kmNeFNvylh/yqaSVJK0axHEBMh2DuhtomR/voSRbNY1J1ucpuXq0Hi 391 | b5QY0CIsLMBH+n+vP5QQYykt4Apk3k8wrv3p/F9dcqqayTDRPIu/4eBnchJUYAxoYqBH/rrQH0Ar 392 | Cdj6Lg0a79EDH2XRuInauuik+BzDp0mJGMu+J5hgTV0tCIffCwUdOaDFpuvNndKjSyJZRNrevOr9 393 | d1lo4uj/izv6I9w0Z51xZLFZTRPBsOqiisPtnTWnrQw/ykNLI4OBf7dO1NLIYZOnUtUX68yvrWFE 394 | xnuXWFmpaQ98EFhZkZOgfRV6EgUz0NbwcJZgpMXmD5X4zxIULcEzWSWht5RMtuUraDA0+C3IDplH 395 | mJQhdxkT0SmVOBKXoWeyH2G0O6p8O4DNNtZ6l+BRkVlrblifCv6h6cU4VcO3HaAUNUv842k2E8H/ 396 | ddxVxJ32PZbXkc4IiRyAMg371WUcpThq4WOmF7g4weIhh++GFaKP1QuMn8kYajcw+SNCPgLhwE6D 397 | NfEzOd3f2rkNh/1ykN/o6NPc+shMjKx/RQUMRohDyo7YTBeqbavP+Dr3iPP4PExWE1E6doMJoSUs 398 | JVqvVz30NJUm47LsnEMk88b8Ip9UIiovq29lIGdOUIF03Ir//JbhwlfbEqpc7ExhpBGpcouIty2G 399 | e/xzXUJlqcZwV9LlcIY7A3hU0eRGQg+I0PN0UO3erIc+szP64ECsZJTI328RQxu0uft7gPD5oREI 400 | P11kuZipnyrrL2980GtrXtFN0aJzcX0rdf8JLbcIyzfk1LycAXJnE48rlnY927V9dYo4sj2y6csY 401 | 9r++e9hYPOs0gc33pMtgCLgZaCJIoWgB/VO6P+917mK+oDyHV4vI57oCNIJG0zGcG8Qdd57UxNgj 402 | JDX+sLwjluyKz91le41bnnxqHvdJlG5qXn32ZpAuj2QrHjNv5Nb+7aKviBu65AXdoiXYYTS1L2XQ 403 | pXANjYN01wi8s7Rdno+cbK5FHHBP6QFwzMj+dzMkQnKrXbhNnii3NrYk9zRAWKoLjNREU2Mw7HND 404 | fZIa5DE5M1N9Ju8BbzyUWJaz5G7aHyD7giAaeSWk5lvUcXWZlJwKOjEmNsQ/Ljwe87ZI/BiPS42T 405 | w2drrbFkrR89iIK0RYeCHU9vvohosTP+oxOyAr0+H60CHiEVqoDo0TWmUCOKSGZpePdXqyS4Em83 406 | RCKeMPjiM8+E/7aEQPSPktF3h/4RQkFlxOrc5DkTwJc+OuOaT7Rx+2wLaCm0qyUftzOZlW0g1Iop 407 | 5YNvxO7oEHy/ffLbsm/afPCIEIedxkHOLf1j87H7MWKQdFJzXGQJ351rVoN/WxvToCbmip7dNcFQ 408 | AMTRIHLmOsE8xfG+jc/BWYzwuK52k3FrB90+u7qR6y4ny1Z6G0n0CaPanNO/WWtErctcH0l1Ts01 409 | 3+FsLNs3+RhahPLHzaPhWH8ETVeLJWAnE/lGlTTFx0eGfrcY/PjBy2c4KHTRIkZXO5FyRLKVgC3n 410 | E6Szn8H2cbEfnd1oFde/LqIpzvz0QEtROF9E5bblQ40V1FXRuJca4w8sfWiBBJjL1uU0SYyaJGZ0 411 | f/ZTar833zULBOAdxAvx3vfxAGAuc0Smt2kOFLvafSzqFph03jmziZklFVHhoVvJClHFjRgQW8m8 412 | g+3W5OWh/YfIumhOmpXtEGeBDjjwSK9Zml1t+ZYFG47REAehWxj43EuhlFEeD1WTq/0Q2HUCfuis 413 | ja+8jVM+lzPyLpyfLRetz8ncWJPma8zLK//uq2puRHcaGGH9BDOGpcB0WxP5QKkb7FFn9VuAlyEJ 414 | wrSAz9BzMSpIw6y+C1SuWqWMoFxWxATfGOGYDZDVojGLF1/UrjrdWVOvdf11LIphMH/NY+U1/cLd 415 | hMatMVFN9zAzjBDxHVKXMN9R8n8HdqBqWCirZpavgQO1Kdd6DFqSlAOn+VweMclLd4sfh6qg7/Ja 416 | ejCLdNtH5cHo5TWMev5nUj5eLwFX97GOUYZFhEP5nCNXMXiUmN1K/sJzFgCzOBqDb/G1j8PI0iK5 417 | Vffy+RVd3WTk0jYO8biHq2ub60Pcf/lPDUmk+gdIqfv8YMXuntnCZxy+Niwc0m6SE/K5/DM9C76G 418 | GtDCNfs0dQr4rGmQDjfpweEt0oyyTyNixFlqL9DDcIHRakp62jXBq9Nn7UU3qQfgZGXmiPgmtO2q 419 | bfgBwPkeqljuv2Dc7Tng/05VKb+8zpCcubHoh5y+V1h1WOPHkFSh0X/W06V8NLp730tGZmrUu2V+ 420 | PTPCTacolZyjfz6HqLsHmgRAyjymAQ1vaIrhbE2hlBWGa+TrNcIJbVb5T6yLgOasvpu9LKwqj+3h 421 | m8fk0iSssW/muSGPcUbZXyViXXiM1DlkUbVzO+GGqgYyL7vc52laxSPRxhvL96/F5yFHEQq1gQZQ 422 | IeAh0KzPH+rLx0//UE065Pv3PKooKvYrPS6yXj3qWygkVXTtuw6yQQRiplelnQDvq4j6L8yPrgcp 423 | 8VyXXSbiEDq98ThLf9i7k9qEFcryx1LvAlaXDWGRXowII+atKP7ZxFpWkKA35moa3MZ1rMavXPXw 424 | CxdcgG4MOXqQ17z87BjwokobqVnhImdq6xz++5IcRL0+c5WKbsZSSdkuEKf0NhGVSyQKPOrGfijI 425 | qc4xBkxDRsaJpgHYQIFFrJttxUw5LOPkMtOowzXoo5Kyq6VcOS+YzjwPT+qK8Wa1l4qi/+NfeI4r 426 | oOpfY21pd2AGMtMfGZ0fH0PRZDnLutr4iMPs7PpkFRW1sLnY51glRU+dPxeYX8sthvGe0kJz3pOw 427 | V5LExyOT0xZKi4BoKGiuIJJyeOsQVCxhsiSlTKubnp/tcAJQT8fZxXkxBQwWZWjORiVQkkw4aACh 428 | HOh8ApwnDI1EFTDnWbhL33S3XeVNTPSMZ5Li7v6bv08dbqycNF/z862Cz8BjVoyBCY4zOBELOeJd 429 | 6aDrMuRBlxHV1ehDKcYYmMuec4ruHs913zxi9vKpnMXiJCgXn+q5eLtXhuPVogycWmCf+jPBaJo+ 430 | qDG+B3Xhfsca5kEEo+YR9e0kNBnbtwycuyBNhZ3RU19NV4+sJaxLShiapDZswBj/ys4SsGunD89Y 431 | 6RI7nve/MiET+/t4XVWfbp8jCAP6Gm4o7IHk2ToxJh2ykga+c3dOAA19bCLZ5Yi3bOhjRU9x9+8y 432 | 7kOkEYI6DEIVUXjwK4jHGgGbBvXqWyablRVkjZHcxrq3sp9ibcblEwNGZXPtmUGx9QtRlnZiyfPZ 433 | 7DxjJSOkUKgVBdGmVQ9BK6Z+ZcaSGKyDDt72RReEfsszENJ0CNxUBdd4M/be8MJuplAd7EYj21lT 434 | 3WcJsffnYl9PFHshE/pgTBaWhXdg0wFsljBiesO/VRsSQZ3y9QRFIH/yfjPQTZFWa+5PTHqQDOoo 435 | 2cYGVzAW9MwFWSQvT9m7pAglmr13oazXsLMcMrjLmrgP3cBpzhnxyUHIjDA5FUnW0Rke6mDYjLcB 436 | 302kVPCpFvBIlJIbQ8MPgTvt6f7WfpwZDFXMUoAfjj1OWTpZlH6PG8n+O3AmDH7KoQEfdJ+2Vbhp 437 | pHkHiOoTDR8d2zus6SWvbSQDaApNjwIfkce+E5FBgFZnVmF0jERQm5eFMWMoFa30AJYO+Xp7ddMF 438 | xwxRyL+Fh/rIVWkC/Szf4128Cj420JceVphtdAOwcFnCACUjdGRd5lTJIS95LQP9kyEJ8D5LxbwU 439 | URbJhMi+8R/tlBy/KoTaY9dgmc4zFa1cn2tsAOEFQ5g6yx1j2U6Lmd5fgVb543IPAZ4gNtI45jON 440 | tMdNpSZUMcHuEqoYnmHExDZClIYU3uSjU6Fuoi9eLiEfJEWc2WDjC+FSrIv2maf7i93poWSA8pZh 441 | QqeI9gb/dIUgoDwrpviOJqNtZdBnHenPKNMMCb8tYCa0t3Pr7KungC2ZwtyTdX6XATIKkMMHkLHw 442 | NGQZuEve2WdWU8uIcLOjbtLjTU0uiI3+HHrXA+wHexdYxU512OB9Pxn3XW2RQMhjywqWZAVqa8Jp 443 | n4JJW2ja4Pf8zlOz8FsKRoWoclqQbeLO6Dd58dl139vrgd6TIjQrBFfp5y1KRibGoLbTiGaLfXf8 444 | HzfkXVO8Jw3C61S0AsFaHuA67MvVbMaoEKvJlpa9/wUyboVHbSVkuMH50EaNNQVwKT5gSZA1ucAQ 445 | rrwmr8+zYrvnCXn1okcW2KgivpkcvXn9CRINnu63wnC0rozstySk9bFS8amqq+noPfBFy+6JZFni 446 | DHqPIllTKAvN+akky8ns9VlYo7GX86lpQME92u+AuCdXZ4ZkYt28+6MIhJ1Q0rv5s3PeMqhbjaMq 447 | y5lmGpdd0SUUgXp8nSsBFxFNsY5rtsAIusR5qvl07xM8xk+Eu41o0cJYbMTu4LGdq4nqaZ2rldmh 448 | /nolhI+oMqZvCEA8+/bmufGgMsu2lQwaYq74RaX37reaXyKJGeIqlTe0BzDAgT3PTBxEslgqtHkk 449 | w6dM/xg38jHIYb6KB8aDOghLUokac1wpZMwq6hE1VwiYUuTv1OMYT4tVP0dgi8IV5UuWfrYUTAHx 450 | 9YJz9jY7mjR/9RwEKwFHwtMQA7LmTaFVGbZd6ZXD0InEqe8+VZTGBTUFvEwim2M65VYDODYgl71d 451 | JQB9UhPJjQ9H3ZEqYhU1Q8p4ZeSAYHxkyIPMuq/wXR4pyiJ6O+qy4wBivE/oJWlc9TA8k4U4vQ3i 452 | 01l6bE/0LDBK0L9MXqv6O2Sp7ynbpzy7BA7Z08c9CeG9ib8CBu8CIPtvi1Z4q+Tcdbjj/jUoWXtE 453 | klGN88mW2SqLHcEB+ZlIkBjrZhvwDyOyNtbJNOFXbSZKDmPP92bpkUCzsMuOA3TLaukahPMTxGOE 454 | FoV/3PH6xfszknd1/pMeFSBSvDxahFY6QhVD1X2fInHjDP00Wb+OU8rVHCN03UlYzaNRXRXG8cpz 455 | Vau7zfs4eY/8VOxdvXPy5IfQm1mZIZwQf1uo5OB6lqMLR8fNE2ZU/5LboUQ5JFxz1/Q+njy6eKKv 456 | rc2hdIwFvCYJCk1TWXyD2ByPzvzPvHwGvSf0lfquB27j+uwQspBF63TzFo6iga00E/29KImyuZZV 457 | o1sOCH5L8ElKs/q0ZkFgdrV4/WNWpY9cSAADBR7ForjaiYWq4xja1n3SF1Tft7xv7k7591IkJrrO 458 | 9Ka9GvmHLsFUv3gjk9P70RkxigNtG5BX+gcyc8Uo/xJJomtsGMbznGL1SfgTc7D9bLbwOSYXXXrv 459 | OtJ89quPMuMyLVFZFoC+bXa0yPhY5N8aP2jkzCIIO03kTzVdepcpTCPWKd2SmIzAA/uCkU9wggph 460 | gUIEzBIHIBW3oSQH4YHvCIwKeKzezBYnR2B2C5Z6SKtv6VR12X2rMKsCa4rNTD3fiSLqijkrZ44p 461 | PNlpWkkPjCzAoAU/x/to9jyvxk5+8erBDkwLJ6SjdD8AbdHDWNuUxEUS8DmLpjhkL8V9QvlxTQ0c 462 | W3uzFsrFAqdnd/am871HlyAPWyL6j2yoP2QnaGui0k+fLRmgPhGo+U3N/KpyPiNne9rsjuAuVhyb 463 | 5oXL9iCCJ6BmQiX99EZg5fVmaE6TvHQmLsZihw8axXwhvDMPjDkxEI0Y9Ey52poHlBVwUag2hsR3 464 | bcL/sacpJ6POk5wO5Wjm4N7qVgYGFxI2HvRQe/gmCysFcN8zGbSGAo3V33a7lrnyKF+1HAiWj6KQ 465 | hdgpvY587vzqFQiwHcaxasX48Wsy0EuoQp07SIDJeMbwshiS9D3+7S8Ck66UYR1O5Py5OZ+sH16B 466 | ISsiV01DYwDJ7Th8iOkcb4rHUue6uI67E+3IYft3vfmiwmVksN6R67YTsnxfTft6UX104TszdQ/N 467 | b7CUJqWFZ14+Wd66DH0HZfagaJGiZVfQdqykYluXAQ4JEUyY7Ihq5q9fG8dK5xSuGMEtz7nH14pC 468 | emhhXcU0ljqwxCb36jQTfPWHuvmjP/DQf+BFGMGYe+3y+GDNVUN1EucN9QHHHJxRp3SQbm2WevPr 469 | ohO2ImywxIo16/dye7iucBXX81Lp9QAw5/LhQmLX326XE65BbSJp0Q3cvCYboo91GmHBnYGhBgNT 470 | 1/xCnVOjlKhSr2b7zgGg3aCobFB6aFp8IlB9Ka9Zdoyj896yoHbSGItaCHc1VpL9NbqnniERbEtk 471 | KCxpoo3UUDueA9kf9uK1exu8S9O0yddU9WAYgpLBPdJMAv5DFt7FAHwxIJ1kNlUzIOtNdNamXV1O 472 | UqUTB8w1tFvkD9ib2305CpAt8s/m52SHTDtL0wW33kwm0KGNYQX2jqkKuS0+9TvR9mG14K5UDBGA 473 | n4VCuMQsg7gxcKo1XpjmZQDM4m1bPgY663yTLSJwaQBgX5XPTBRQ06FN9Jp35yqvDzcwsxFniY+C 474 | vkXiMHv13hUy/Z8ZdCxFa/SDqXQ26WoWdf0UAqN/IVHTWTdIpOqwJ3Kwwznq26wp4D/qjqEFLTCS 475 | ROtiPFufBk14mcas3jSzahVa6HAKLKhbq697SUJJ5VrcOAN1tH6RJugz7reiwIBKoizXd5xvJ0r1 476 | C7AiwdfHmK0PCiWNk82xBr7H+ZWqlTyqENdVHeO2iOXFzYRzH80oQIQg4guZ9fQUiqz+2wMtwLT6 477 | rDxhlz59c+SHvg7EZQVRrDJcIaJ7IhM7AIpsAJ9YZ571HSIQ2KQbFaM6BAvXFluSfKKEOMhe02BW 478 | V/7LyKECdl4SEDBFXqJcNtncHMvXg1S22PgHqEqUoFsgzoTjTjI+XtuSopzpdKIff9ytYiMvDdP/ 479 | GBld4mIOs/MSZiTtGAaGLbKw5VVFwGm0QsYoCzzwge3malk8qHIrEB3w/1PJ+c5vjncyIo2KcBBi 480 | K+9iOo21xh/1k769MFBaslDbTaba/h15Hpb/EaklJoBodqNUowba8KBNMQSgjYbR6V52I1x9j0YO 481 | 1+e6AzxGvszx6UPLlWASqPLcJ2rTrioiAam1G5aKugv/c72dUrtlIviCV6nWdASEZe0w4res25ud 482 | ojtDfLZ/MKLNetMlhs+vN/i4Tpv1FIvibcsaXjQYTmtykr43m+lHEglVRv1Z/419VmqxCpqtwR30 483 | fVk3ALZXV5czsZm5UMJYQAQFBeA6MVz5neV5vHZNlAi9Kit7T7x1w0pnz9xjdgtkxEqK51Crj3WC 484 | 10UM1nbBlwaaOgHZoR1AJq904C+ebWlWf6VVTxtKnc3V7zTiHcTAGsdaATjinbJV8fPemVR3LSgp 485 | z744a2B9Wd5o2D+ND/x3zVHL22NJk+bWZJLlR6kTw+oVz6glfj2bCAaO0hsNUIfBBm4eeGWP+yVE 486 | g+p7BrafUh5exHcSBAtaka5JEOu/BtKOm8oKiP3vTT5OkcyCGPQoiaDhnoDIasQosRrOIOHq4yDx 487 | L4VXjP5nGD2fL4xmmiUdL2RJzWCcHMqlkPXKAnDDjQTBdUk64FzQqIU3HPnXn/jJkhI/mI16bfve 488 | yYNYqef9pDfWi04GCqHJNrgdl7RAv4LMXGUKjU8oFKlRLdanHDfjJJtgeevF1tRbGR6HuouxRetW 489 | saZg8bUgNf2Wla8I+IrPXTP9iHzEq8hRt0w1041le6C9Fttf9MRtVKr2i93hBE1UeoPfsfb9C7OL 490 | FYO29/aWdVnunnytTqM+nbrnXOZwOT7kXzosy4whd3VSco98Og0MBmyx57Sg4K2IluOE8Suve8f6 491 | bq8AhsUElZolJ0xHOWv7YGuP0GXNKzhNlMIHWHEswXO//+p8HXXRxjlpRp58DtBZL6HUPtLoL4G0 492 | DhxqE8hA5j/RAKlhIACfy6vVCcP3DlVGnJ7p00GphMxWqyJbRfc/qCpD+QlW3xutXM0RLemzD8V1 493 | hQ6nic2V2Mvz1sIfdacO1pMs2gdQHVx65iq5uRXQfFe2gIXzVPlShZHps5Iv0gb3Pu0HIMfUl2lc 494 | wONiF+pc4/pKkDenyXiXaao79RXsuAiwjfQ1MKJbFUMp5bfmmPYsjIXtBF31d9KwJkQOnZjmI7YW 495 | 7rMFrz+3KrNaBIODk3blVzWN9uh0g9FzqQspS7NpoYAj6YF6fMefZjDGFaCbt3xfXSkRihoJNeaa 496 | B2vYU6nrpdhsdm6f5w25e26MyljAN2j2b0uIy+F/jEKHLVhBKRj6rVdwTNUZ8Jw9ut8ig5z+H9qN 497 | cvXQzXaS7AQ/b9oMvTPDmXg2JcnUsUevi+GHuNMtgiPFefhNcbbAr7ha5IXWHRH87AF/Qnbebtst 498 | aVEnBxUcM7m3g3kJneouflz1Jp3UQpTdo6jb/V64Rd3chH8TuCJu6TEXgH665IbABCdBVVyTaI3j 499 | oGP/W6BJ/6VunZ57+2vDSjl8uwGpzWJBzwUZEBvRqDOU3JGTowcqjFXT7wChZ1AItpEaO1o/TCDU 500 | C1d3VB2u4+zpDkcW6aHABGLzN8GVxgVojYzhpS2cuQ90xWT2AU2TfJrLkxGdSmfkHIVmZhhVyX/7 501 | wWwUiRCZDT5U3Vf9SFdgQqNDmTceSOYI811Moy4Cj1oHenOWwhBzCTHHsRSdbK9kgMTDFueyNLwI 502 | CZ+3HhlgBRv2AtOqAsOqT1d9ICelWKkgYUwWnFFuSWWtuL/avr0+SD+0DVTQsW2lFUDkI5ohe9FO 503 | OacBnzMkOOJn4aA+zVvFRvzhKWNLCWjKyGOG8O2SymJh5K6jM3Aer/S2t6jY9m72eiOtiTnPweUx 504 | hlBQkb4vDYvcgTGaiPjPYerBXYeh6pNV/CcmM2mqwwNsaQytuQ9aV9bkJkAMfnoNRIyrIA4QBitp 505 | eJygQDUc2ILmUiQak9MLz6qt8HY+wDzNjnkIKw39uZ6vdEZR1h5xI+kwVwEFVDY5tuIC3CxBt9mj 506 | e4iPaDbjwqGWC8hYVKQbLwFYFfHtZEfA4c09H7HMJ6amlsrhrMru+7I4NT7mhvShe5lPV3KCJgFs 507 | pJzJOlW4/S6HOzFpNM1cz4czKSbF8OyPtBWz6ZyWCYv2xVyrOew81nRR8PvnHBxKcKWSTsuli7fE 508 | dm80pwKdFy4nC8h9emqzG5vRVI5/m0n/SOsWflOrPvDSB/XUuXzbUfEeC2ZOG+N42AElwkZ/5bz9 509 | 6ymvYSvd50G8d3PD3o440o2VFAHK9OwYeKp07BzNxbC2CrNspl+jfGpaMRIQLDe/WeLwICv2ymFW 510 | tNwRFQLkmhhW+trXkZT71+zh2uKSm9y5+UAavkPl0agSd7iZa8vScaavaGo46Q0gcu3ATP8+arKz 511 | um4W9IkHCCRXUbdPtVqK6RMKP84N11bZbhHEnkwme0LVvSyq/u25Lj8qoDge/k0mfqzQlSvP3yIu 512 | RFr7Vesq7637pd201mYS45XJNPm+rkhrk6CsSjpcVTzhLaXZbbFVSJGXyWCEE9yoAR88BNt3X/Hu 513 | E2KfiJU6pBCPevJA27VwA9B54u1nF/nw/TxANRXatz2xsDsq42R14uQA5wB6zM/iJT0Q/krHY3A/ 514 | YLB4wKBynh9g6lKTpB/tXU8pBxlU/goWC8ioVAwvZM1aMe1lza6OnJ1aoCJtX+GRa4K93k2fk/eV 515 | KpTX3Dy5cAZ+xj46AadoKa73CqtXo4ZDjrqh2DYZEgDZQh46pK7gpgOgBr8o6vyM/lFIMtk42Pji 516 | oQrC8WSr7N/9d3sNo85+2w4OVekOBbVohd/8u/vuz/Vl+Y2UrTUizzBb/sWQekGcqnPSSTfFHeNn 517 | koDm3pQdoFPf3qwp/C6vAVzE5WsPBPR5qWapSnyPvktJWgoUBP97fxRwsgEYdvE6qIjZ541rhkzo 518 | 3nh0ay4c30fERPlqdsaPL2NqnVIDBeW1auygeGnc2HUhhP8dxbSOmUvyHQS2cLF6Bt9coAQzFrS/ 519 | btJ2ZkKq+wlaUkqHIrsko1lDCHygnBynQWvFppj7n1PK0BAvbPSMuaF2B9Oex8c5HcMuw9+K0MNk 520 | bOzhhKJIWjuIZI6RqqvPOD8LBWQzbLSsmzrmiWJd6LEO+ZlwgJUTbdkW3WhkF4FnhhzRFAdH+XpM 521 | lieOxpu6Zrn4VyHWX9yRT6ENB0GI6rAuOqXU1o9RKn8NHcwZyr5LwpYtJru7baLl6uwxOjIowIX6 522 | 6UDqPr2G+nN4ELsshE0cRMCWrqWVl91C0WcETrHxVN+An0+yrjHMISLNJwoASWKOG8pD1GNC7klO 523 | FHmgtpkfygOw3EOhq9Iyr9l80fkTQT/QjvE2prcf3saMI5XpOth9zuO7/jD4klAUYb1LXQWvHgpV 524 | +31X2u8hVnzxRg6azTEaAeKQOhgqUZD6U18v0GKvUAR5QH0P+9PSsHrl2Fa2BxiSSpLRBl6lJL5a 525 | HrhZmWxoTyGFKx6hPqUYxlkJPlQ0OfoJ7MZKgaGD4K+gsn5QXfK00jBzKCh5qyYiI0NwIzo8Q41N 526 | B/mSLGYbL2asoBehkvdBaHUKQNxalTds7qJIwfgTP7m8qcVHsv1nGBKWO/pA6SRnlT97T6dDE/bd 527 | lcXJvVZMVgKLRrEC3V28+NaW03F5nvwTmx0CfOeLmUx+BtMK054GgTZW6H4Xt+ELhe6DJ1Y+ZmoO 528 | XNvVa/RwfXjF0Bd5imUNnKp6XlwQN9r0/8Hf2eK2ZKNjFIAK+USYdmotKruUsNw7Zp71Fts55GJ8 529 | 3lWnm+uYWDLZNWAcOIsiLf1z/en4mu9p0gOsSgj/QLtw/tJmZdewGQ8W1enbkNAmn5mQyYZnohgl 530 | C7We1+EXfnsZqak4dZZoiHzV0ehFrWb4xxg3aR2wYae3tW8p4796yqh7JlLluHkksVuuxQNiUAyH 531 | OceDkHEJplYOWeAH3yBj2v8e8nDJjBw2IxeOOAWDwb15D4SEWHFyBoccwiBnl58f+0qnYKyc6TpG 532 | MCJQaAI15q9g8pT9m/QVqsOIWNrCud6Vk3OdVcriB+RDmr58/eIogNKCNMJGFLfEq6dCJEIUhrpP 533 | 6HjeDwx2Uimdy4GMd6X+MtfKn2BXqJhDvyeLeyPj8RN1aimbm8Wlmuro4VafkWNdK86PmQxj5929 534 | SPtsSioI6vBWYEcTSzkhllaIOfXLL1pXjHVW4a/OFPigAuobCITDctPGqP4LC7JxI7GqvZnR2iZb 535 | HIqY0EpQROUMmhrdndLsaMeP0eKeRfgiiXaZrQYZHsQtywxZCW0VZCGB2P1ZQzpB01iZbRYOlQom 536 | 1aKHyB+qTEje07G6sB5yAu9g4FFhaZthFXg2DtWpLLK2ethbTjS1V8RaCJAWUrH8f4W4YQ1kO7WA 537 | 8F+cBUTUUc8AmUysJniHYyNulazXjIESHGs9ZMffdE7R594J5FqEK3ahnb6lGWKp3TAt9hWOQ/J9 538 | +N7KTPkMYAattET4hg0mbFiacbsEih5RrC42aT7HquNB4U+QX2YEkvv2NfR7+12oukPegLnS9NFX 539 | uDgK6OGWGRnTb+CulSr1kdc7MnvOR8+PpgO8xae+rT8IPLDwt0Du8iMKOgxI1w1gkQKdZC8haRKi 540 | tiCXMI3C40WPlStGqfrY12Tzkcduxz81IB/HgFnLYzbCJq0S/5f+XwRn5uls2QXBrX02pUEb8HuG 541 | pqgEzqi3A1q/5J6dK4cVJdH8qOT7EZwo/A0ZLhpbqWgqJI3OA/pGYFxGp7WC2d0+qUU/DuglOyP0 542 | dYGa3swvax7agwWM7QlvTvyNtn5a+U+cv/qHq7oUimhMrKfoyMVzdHgNI/m5+nyZK3WzTX0O8NQk 543 | bS2eZkRRTJik3nGD9NX2izAg4rN8bO5YkjmoW7KTChlBsP+WPzNMCspqkcPxgIYTryw/qBl6KHsW 544 | JQVYGsHNiPbKHNW+i2gwJbASnRB/vSwF1/YGtyuAB8UkH7DbcPN0US00sHqg1Rht8U+UnJaAhPD3 545 | pnWz/3C3z8CsTfhNHPGjIChupAj/IEsGFzyzTjkICcSJHjp2f1gbxm/CMgx33GDFL3yCjViAOrzR 546 | ibooamH/I7oGX1DvKTy1g0+Pq3ISlnrykJRz+GUsqjEK5TZqt30ApgQR6RGGJNZpT0NYGlT+A/H1 547 | DGXRD9Yr0dBsILJfBRB5WSX0NlMjNU7yA3moy1bNp9jZuDV9bccIV53x783ASrT8obIHAbGH2tIu 548 | C6JYsGlW79SYtMowX23AVQlvv91rwAGOGpqjEbzpuLN6y4JmVCfkPQPUSZuqnfFXhmjj2+vdXX+R 549 | 3wnPRsoSgGNIH0P/EyHGBt9wBT2gF1OztgVD/pXB2b1WNeeJbV+mgcctEL8G8DVYParjXaPBcr0J 550 | vaK4cGCmqk+K4lT9bma1HFjcypV/ALpUVO8hXiPNPbG8FDf2knwJFBrcwhF+icOPAyGkr9ZbPpfj 551 | vn1TtfYDfrk/Jh1OuYrTDJIRabqXjZ0GHVnL51Q1u3QqYjN5SldiDKHXJ0PIsj5XV8DUzIvqyZES 552 | KD56Cg6YJ3OOdU168eoK1ZL0Qd468lsAeROH/LtRnVTRnwOuOHD3G3oHeklsNhV6cysERBf5INNW 553 | Lb6ta4K6D7hjLdguQWtiUFI8FeJ49rw6SDQXs5x8YvelS7Nx2DR6m1YbRe9a92wFzUeav265SF4m 554 | 2PmCYgZnfZUTwbNuKBXwoQPk0LhrhpXShaGuPjv0vN8PttDWJ0Jvy4/Ot948eu9fAiFIaADXHOso 555 | N1vagjnjRtbP3upOsUMx1D99NeJXkQh2/mUhdRJiKRp6kahdAxSRuUrhM2fpEI96zhQjf85uZ4JI 556 | jfs/0X7v+HrnMBWgPzKjw0o/+1qIbosqnAt0sO8c61Fq37O7qivUBTCzNP4qOJBxn9jhXL8kn26m 557 | fKi0Vj+KKb7FO1bRYTBjBpFqO0wJ9n9VHXPr/GsA+udEiOnkx0s0KU54rHaHEPcgn9T8ixIRqMkt 558 | 3ApZ6zTX8UQCi3xk9ae6pjVA7ljvlhFHcbIB/Qf2QQy3dxKBtajOH44SecomvM+oq6WIrFNjkHDn 559 | nop0x9BWDIhXCjqVxS8f2bpYdiBxuSZjcaU6jmCqIvf1idwm10QkSZZGg3CKjDBdgcIzQocBmwa6 560 | eL4hk/IsfBNuhm2r0spk0wWTGIa20i+Yy6qb8sL7rXymu9+sO5RAlfXKVvq62ctsWCNH7EFoza0Z 561 | aSgzV4ATaJ2Z92W0LHY+baA6aQOUV0FnvU9y12jPrauBd2lEO86gVitn7jT5YL+gH9RegNbivVaJ 562 | rBx+eCxkF/glB2hnCsUQazvovad+CSLLvQ9aokK2S2yI/gIqioMi999dLCkGhIIw8FSQMUgLfUxO 563 | XUIHrQxPeTZffrncM67Yz6ttJSHluU6TY7UyIqCCj+OahwobxfxFjI2Qu1U+VNvph3j46SaXESnq 564 | CZWv006on3XenyjXTirG3qVz57gE20rr+cQvTDGY8Ok1IgL9q58XxS6OdJyN5U/PjYiA+DBNwY9l 565 | nGfgpVpjtr639iXlyq93gXjP4uZ9InPrJdvRFfcqPwmV1VEuIaPn1ypl7gIghxm3hfS5Hiv3im+u 566 | 3p2GaIbuwS9raH8AAbnqpR634/9RUA1jhyWIWaqDdJluAUND7lYHFClEjjHgl5g1Cq5FI/4NkO5c 567 | CH6bU8Nrq5BSq0ynBifU0TVsvVq9v2UyqTBNVGA47SFqMVNsN2+sdSoGp30CRAQvG9GGQ3nVTt1X 568 | CmX3JJVyRUChsss3B8pjmH5h3Lz+uj86XQguSr5Ro/OmGQyMvDQi9/iCDXXYhvyMIxVkU5UwYjdl 569 | zcKKwS5yqZYgQzFGHEBNJYq5pBW5f/9hlyDGo3iy9gMt0nD7JC1XuPQV5HEqg3p/oY1xo/SCbwmC 570 | 11iCJZ8gDg1M0jk+yYCtmE6F4Z38TaFRRz6zcWjAwCUbAtPhgIjMcXaMbkdCSDNIwXl3B2//gpQS 571 | nbE7gR4IRgTzpMalDn4huSKDWKfA5iuzlJ6R8LGGXE1m2N31wca/GAqLJAEzHoeDP+MA9Olrndc/ 572 | MsH3LwkeKVmFfAeOAqYurHR3o1H5GuN2FVna5+RmdFFk2M/QSr1+3RzHPMi3OwegF5UdMliDlmw9 573 | keWad/EWjb0RgreYtpkY4XHhxnIL17q20LBJjkKaklPjbZKOuovqylnmcnHsPGJLo97kRzzXUIRC 574 | 6ATuNjZaf3tj2fTVvM7aXZ/X84T71Y3Mh8eWo/okh7y2qgaGWHMUnUSv9GNSH5jLb3GiKOuPFyG6 575 | LbHIxFYXlIbSSjeM0iBl3C4ulHY/KkLhTjFHPum9e0yVRIV0dq8EEkjnQMmqzBxkop0MA2LHdAu1 576 | ruCtMyDNvMObhziLXNwScHsTX8kz9CctmtMxDf495VKrEj41mOLZKf9Hk2DKhKP672HTyfJt5/5y 577 | 90R/bzQ++e0M5gN45qIyzFX2vEkPh1sW4to4E9Otv3M2oHyKab6rhGPAakDlz6YjM97KIWMyaQu3 578 | Fr54P5GW0rsJfriN4mdK9utASNqB18NUxMhPSuaQvYgwhMQ8tg/WtYElmtDvBHjeqlwEKiEt0WWW 579 | /vJms3PnGYfj7zBTTuXQEDMtPamJ6HaoVbqK4rMF+cYSceX0/66Qnvb9Yir80uJbn7pMYVpY0poY 580 | x2Gnb5owLDyJzh8buZ5UTYXzgnLzW+3dpCQbUra8k9JKa6ZNpUkbVoDXyPD2PI4Na2NZWK1qukxj 581 | rkNc4YKMhOp9S7J7cRwP5Zpug29avet2A0DQQOlkT+mzrJI9IqiDigzG116IxSK5Ak97mNvkaiaF 582 | 8FZ3RZyxHEcfDUt/b5GpYoM5zbLt//mGDesfIB4BqyUXeRavolud1AK8Zbc4b99d4s9QHMpACdni 583 | qvNxYBKrHwT8FSNIZg7k+jPPXaXYMPZyvM4G4iMbWliFJe0w/lUvOvsk6VNChklB2+t5+blF6yFx 584 | Pze88bZhzqV+eJblomOoeBCCH9WiDUBGJscf4ZkkruA02vt559EiR8Yl/8Z3K6rcbz0m8bLdkQiF 585 | t2yS8A2bt1uwF0f7knSSrtA8OhKRFMPmC8g0O4YATyIEkio5nPm2WeOqdDs2sjyF3ixg29pZB7s5 586 | H8lElPnaFtB0OC6o7Os8q4fAKn040+ezbVHxsLKuC/26ExoOBqnU7QIdpZXN8rBTKIzx8pW6pksQ 587 | 9sjZn5qu3UL4/5jlKuLmpo7h+KlBm2lkIYcrOX7VT0AsCVazlqndBApeOy2WOqYR5GiIfM8KO0CQ 588 | H6msSQNzcjAVkcudaR7TQfgZ6gzFtqmyxo6A8gLq3t5pnm3bx9Hp8Nh+V7QE28cmMOU4g9Aa21gO 589 | s718SrC5k3yDeuatFmV9+BKPMT5E0dXTh5f1O4LahRyKV0163EvH6VZrpilEGiBBlxpJiZLOJxHv 590 | HMq8lWvvSbpkrbiKFF3NIDEbkaMFeThzFJCoiS1PftEaU9zEP9TcDsdUS6IW/e0XlKzD4OZK/zf4 591 | iVtNm5hwbA5168rbmwJTEmm9wy5xcdKOD2trxapZ3Peft9cnTm8P+bwbRmpk6qu4/ihH/g33DQaQ 592 | vDKLplA11OKjUZ1gl/bjp2wfmHigfstfzUXNi9dav8OdkYYTUdHNGrdJtkwqN6e8ftQgaTJSCSZB 593 | cEh3J7r+ta3rMS+WX/ZWk8CJIf8ZY3SgeuUxAj/avY2TACR6Zx9r5jMrhkemzzAvWNXm6D8KlZO0 594 | +QF4RRHvh2xN10zGZKhbb5tAMPHY5x9oR8yDK6ggVOEo8dDndLh83hOssylFnmSpo9+q6M8sB07t 595 | eAfm8L6t1yTbgxqk/wbOAJvAsg2QAwCKqw5DATjR5d6Ypn23gxQr7Ue3HEGRmkgGGcVztg4ME4Sz 596 | a+HYE7zi6izORBZCtyNtYruuNEvZhn9CCHjtBs5YKvALx9lBgCCjJUxDBiPjl32wxtdD77Ikprei 597 | Ois74Mu5p5F0V6HIIQ1COBq5KVJQeK7yb9T/3LfBTxJS3uocSeUFbJxcOoULO7sh7RwdF1aGBdHj 598 | GoQFxQxiiqIzrZvVT8GgpquqBPBtJCXUW/tejQ0aI3Q9AORZVdM+ta9MgepSRhpEgR1fFOR4mrfs 599 | DbAugD1k3hK7CkL5wxAOqf30BWetGqg98Fm3W2/t7ZUg366USDf5iaKFgOVWKYpMyyqtZobrIf8S 600 | nVC7lENpUok+MejAI4XeUkpp3uQAeGG5+NGx5KMibcCy5ZrhWuEK8DgL2t46I9F6blro7Ie99XOP 601 | KFXbkuQxsHqbQgOJfjRAkGEN1BbL1SpAcNSyyS0RftxoAu95RiZSB3E33sw4a1e37MFhlc9iWAlC 602 | 1y6YEroc9Qt+d2kAZMLn0GnZXNSo2VcRlbdvDR1FucGZuNxUidLQy3OFk+r0cKFDFA+6/GnfhJx5 603 | utT83AZq1EBEKCokeVLiup83cWO04y1YXMmcgTsrOjX74dpmCpPVYFLTiE9S412JFE6afviuTkBW 604 | BRkG10pWRQQmcVPlR8V0qijS6cpQsQzH67pWYveOmcEJHcz6gLkwfzRtcj7Ggyqg21ygIl+SByNm 605 | Gr/od51rBarPMd170bogCj2RsnwE1JZAVeLbYOol4imDq9P0gbtM3hcrT03uodX5GdbjTK5eOkXV 606 | Ul/f4Kgm1MK1kqnfm9Lve6Md3PH43pm6JMtAt+YzAH3XAFVUzBk+JR4FQAn1fm9rPtz8e/SIyV70 607 | ZssedvwxFkGzm6zhimZhNuX7a48J12oFDBG8lay68DqfI4QhVEVUPrDMTe0pZNzd6TpfAjj+sw/u 608 | ALCqSEtZsiMZVLHUW59Cc0QH5blYMGfat00+hfdwrk7iXUaTbu4XYoycnECtMleZHWBIDSfJ8jfP 609 | KQV39F0fGk+uFFMjivsmnqPlub8Q9jBKmfa6Vkkp6GxaqYAJ3B4aNjrOvFw7yW+d4zafE8DuKiyn 610 | YQyR+C8j1em9v6p1JjKziTSDjKYBldNER0PUZ+KpYWMwUae0Q9ACl0L9CENzuclokFyllK6z3O9v 611 | aufKE2ELAuS/TWdp7/4ibPho991v9aPNVZP8wXogZgAkKUjDpQEjoWpHZvflDP5DZpuaoKG/AHV8 612 | 3E42ai/oZbjaLeUu8cIk4p4re35JDr63TyeHswnauh8kvzxFrMjLkxPZygciFc5m0WOjHSLcjBJa 613 | sDYZ0B8gpRHCAcGzeAIccQbvojp0u4BtVe5iCoxl7HFfWtPO1+HCEmz9/EnrjKrwEgiYQr53CsQc 614 | nV5U1+AUkMW0d6Kd5gsfCxW8HjeZACYeFHvRWVrFAQjLQ8JwQH1KNO1B+7sPzeXPGn/tm55Ue+/3 615 | UKjjPlcs81KSgcrXBhKkDLSgwtAThFApxVfS89S8ww9f8EwPB7Jx/zymIb5lO1Qo+XvmiLxmxwTB 616 | qcBQZ2XLdoYYioaFAsW34LjDG4Q4lA5/t9TdkgU02IzN0aGskBSGVJXY+irBWCxCW9gop8Y8/97k 617 | V3eZL9wRK5LyYQuHUiNR5L5skjPlUhUHtDrn4+FLKleTYRaR5WINyB7JLEYepsjm37rl4jyrDw1c 618 | MORKZKr1/o3Jzr7hAKiLsAxPjKuC9Cku5t1xu7e7edJD17dkTgrkE4vTMCYb0/PvVO52flOHEaoZ 619 | gI/ycjEMeTaq/lOIPDqbCYzD7oadlxFH4Esk7N63nhK/qLFYNW3CkdcY3oCZH6EtYAdveRX7xWOX 620 | 4z0c3d5ysWpUbnJxDygIXN0B0coGVu7JfWVdYrpbDVoEFKWbeBU2GmAttz4YCj7GPE2l1RQoTwY0 621 | HbS4yjrRbTfQ6Sts8VzCSPCaT79X4kswcbl7Ln+VchtyMUa2rx194zIorivSrywaqeaf1kQrmtBs 622 | ZhdJXgrWHmI3fk0pAlOD4EDN/Zvw0Jg3V1Hl1RnOC3WK4lDl1NVUASmTgTTA0OY5cd8FdDA1zWOv 623 | CdxNLqy1Y8fINcwFgM8Mu4Jf1ZaZuHRjktp0x5M+gIzx4gb0nntYnnPFpdCkgf0CUPXuVVUBxzyu 624 | /05McM01i6r292rTR1ZPhBpWD22v/PAgrXi8gZ9Qotht7fZvnIchc3lwyHk/p15O0Xt2NP32og6/ 625 | 9GZcQehssqpVOu5AFWFWqwo9tTN6d5/MK3DJQLIEeehYdvknduz4/UKxBq4F68qMMx5zJpc079sA 626 | uSa4bEhJAMFilF/fAWuZzsCGlvRa1ruJQ+Bj3079244rLizz3OyziQPSSVGWRWoOvFI2Y665WdbH 627 | K/UDYFIouWevTEEFHRkIBbvxsu7ztde6JJpdG503kXU8SZgN8PZ9Nh5gs2yp8N0EpZjjoeRBoRBd 628 | GW4A7TNcPhN2XML4nPHjw6H5jqtgiU9wyltgiJIAjMdN7UDjwKoaoTAoT+5aEBAIvKwodlQFd5Eg 629 | wBdTFH5KWD2r2baW5n2+fq/5WcYDEz2NfBt5oEn34ud3FCwCcOhdBah5quHHVsfducRBasoJGJN5 630 | HIeNT3UEMmAIlMndUJh2P4F2Ks4UURjHoudbvz0zhBbp6vxvly2bxLKqNExFH8E3rFs6hOSGzGNb 631 | XQp1ho27eNGeFN33Rdu+EojmuL5qO7YMRYeRwLwJtp4iT3bTBGr+xd6OZHjvjUEmi7BBDeaiAwxU 632 | VVslefhAku6Ym+DR/E2TUArWIqyRIg9eowsN03P7sx7ynGA/OEllL2zZhsmoiz3cR4iSD4uDIZgS 633 | yfH+2YF/c9cSNlGqJbDHjOyQU7EM+0JaA9z+Ajwb1rFShWsyy4UrpB6+73lwrq+G2Bbl4DWkPHfQ 634 | TJA03eevfcL1VOHoijGhuG819+NgdeAjLO9sFjbbtV1Ip82qrVv3yzO61rC/N828H9ElALTzkonv 635 | ZFqw6eKuIKAx/3qmAYvX+/nDQj0JeGjgK6fq92oU53Ojgx8DzWvk1tSKmpP0XQgieecHdhPShU7S 636 | tj9lokU/l5YvqeANCKEOWS6tEq5hYIueCGX3vJB5cdbEA9DL+XFDxrI9uhdKgNhbu1aPzgQjXS9Q 637 | 4GunH71t7vhgwrfAiwMhhyJMeR++sJDSWGG5L3FVUPXohHpTs1GN7WZhr1U8nHqWKvxAbeGee0gp 638 | DD71Tp61urpOaUq3ArXU5uBgtWPOASkfMD6W6qnKxR3qi5cflbakSVypRIdvgZNAHaQTo5QeNb/s 639 | AvVil3P5o9St5b28EsHvyjNiDjTuhx7v66ViSiB+ROdAKydiZeweLaF2mU5nsLimS+RiCmoEdnK8 640 | temaO6SAkphFC+ZuoJHQY8Fta8JGvTmiLQHVAMyq3IHX8V9YoRXghK8uAANzbnhcVWvfRG5u5Tud 641 | TZNpHDoPhmYVumq17M+uB8EdAQW4wqRNIPkoP0oe6e04G9ThCyedxyDo9xjoWvQtc0ayPVn7hHw8 642 | Vp1Trq/jRLov9BE0+CcJDJMdzzhqbeCta0ciI7jL8WD/jZMJHjPXk5pVIrc0psQIDmyw/3V20ymf 643 | KYrN0C21kb3Pgzrqj71KbwsKFo8WNnBWSbxsXN01HSK6HyiSBVcF6hMy012anHBF5TKvEFU/CMKj 644 | UaDNhKZDepB65pDpDHdvQAUmOnqVYIEnl80dwXaN8ZB24Xn8vf8ur2W61YL04//Ua6VUoMi3TBq2 645 | wjkIDGSls8X6dTO4OOOvsv3irqzDLWj+6qFMWnkjI49uFK8wJt5z5smnmTW2RKT4i0Tf3GSJgiOZ 646 | IuPC1ANLm/H3VUhzBvuv0bEGgO5JniYbtNm7X4zDI6MDtaivRyY9iv9xKGFElV3Wbb83seD7VNQE 647 | k4qgrzxJ9dx1r17LTLWbl2VLb4N7uC2ZVVXVxG51k5vcGJi0bKqTkuF97g8nmO/j9py+nGNCdt82 648 | 1LK4IzE7lGQm8oEVHuRoLxSch12ypr9hIa77sVoNZQqe3tlf3sKErG/niLfekbOE2eUnL4wTR17I 649 | rtAxta5kk8HhKC19gwNqVyCvPIIvJkFB7b2xJL9nayEALn76kgMsdPdnfanO1k+DOTfyiOLCUgMR 650 | VcOCwUVm/XnFlBGuD65XjsNIlDA0cNAvcW1XXSk64kHI+BCCr7XSECgk6xP9aNGLUdCA3cXVUbZ+ 651 | itwQNVKzXhQT+JF/pGf/Msybhsg5qSQL0/7/DVophx5B2eZFa+mJl3k1ew3xMtjLYjLRVXLBVSrZ 652 | 27dL2zhfMwx9J0oErBP/0HwCQQTjJxYat2HyNQbqrPjP5SCbqF2u2T/RIZJXrApSn2rr2kQUMOga 653 | 6gZBcB5cvgxPzXhQOAoah0dlxOlTyQkqD35BBHn45PpOZT4g6QzuFWAEpfujGotGcIl2PBZ6XkaQ 654 | n5UrrVy+SOAqyPQto63FYrNbtSuIkVemYzEadoEaSuz/KtFB6IsE7H7sSpJNYEl+mYaJukvoVMpP 655 | K0k71aqEp7ClQ4U5Ryi2WvEQx4acwvJonF64mM7OoUFtQI9im4agx4eMZpwXy1U/R9QWu3pNQqDO 656 | 4JOrIPqwL+i2CIiWquWuT72qXeHc/mxB4Xo5YRFigUfxNODm1oETyuttgdmdxagLQp9caosypGv7 657 | Lz8REJ31RbKATom/AOFPMP28vPcngEm+G3pVXb23rMjlvoL6K/LyvO0aEBDByUJbwH6GmRIMezHu 658 | ORkUVZi4aOgk+aVTp4rE9yViapdPGsSbx+ekyExtZfVaBDINLjD7Qfcwv4MYMov42NacqMvYzMzq 659 | GbzwbbKj63p9CHoAw0Msrja+GZ9JYRH7t7k2tFUXc/7+vvEh9hRujMvWNGOXjoQo1A8yICOA2Bnp 660 | 0N35pcDtIOeNuf5gLosDX86LQZ6k8/mm7rD4wY22WjTqct3GOFxHeWfFlPHom1TF6JzQLkujXryg 661 | uOE7cJrao2FF6JJTd9aMSIkcdtvddNB1uqS2C0wyMFsJjCxxjuJsQQzeCfo9SlVwNypAgdL4ap+Z 662 | 0JnZ+87VkKxSsbRL+XWFdKRfk0wxAYPtWpPV2fXVRjyqeAky5lSCC1BDFPeGMAA8S/2bXWjIa9ck 663 | pev++NILi0NTBAbGNyUTxSd8yLqxCmasqnCDtV1p6wvpUr4CI+hqDZ2bS/Mwu18+9LvMU3eyZz+F 664 | d1W1qSBJZdzS9qkrFgyL5sdv0owG0ALae4kLmmMELZMyHYWGMinb3H35kcYDTSp1X4+mAFNOtXPs 665 | 3BZvXcqsJoUrykBUovRrS03P9keZzbhTMM820fC1coa/JmBg5OFhEbtBle6OklOsK/m/TCz3Pq1E 666 | UM31tUv+XUquKlBlwePlPDkyRim7BITLxc+9jd2AZHQD6WCAIikaqTIyapFUmvfVzcYDHRrIsWyA 667 | Mkv1Wok1yc25T/U+n3SZ2zN1Ejlu8aJotGVJP8xgkiLgggUSgJHU8QWRB/ADTNzPBLYfHOXox+6i 668 | SkJ9FdN94KqUnquYa7m1lo9m0LVjHcZbuIYZj5bFluK+IIzqP+cw1PhrT5Xmm4B32ADnWMTSdUYp 669 | /vKNLPPlYO2B/Nt2Nng9I1ulLAbENURbWwsXFufxvJHnsOTHVj2FZPAxvNvvycl3siuPxA+urnTY 670 | 1pKtKKHCLc2JB1BI3V77x05lTQm4yXQzNRchWugF9C5t72gwW8P8Bco65IjDfqOwIgwNT1lFMZi+ 671 | jpEDVIkhbXkk/xBM855+DrdyFTkLqEquEA5HMw4w3/meGRrMuzYtyt0x6QkyO0KylZFa9iHXSkTO 672 | 1TIjtb1O9Irt03mPILv/VoLBKshZd8c8B1L6K2Ch3a451HXaVQwU/pFZy/h5fUEw/PM2Lgtz8pfh 673 | BGsOkktD7zFoW83MXWPhG991mtoierY+DfJmaEobMVarWd8JQb3i1huHXDmOe3gyJgHrAyOc+PJI 674 | UG4Ndcb+c+2WxbPUPWb2jZad+KlrMsvpWoZZxHDBRo/0oO9G4pQhAp6pXx5EjH8KBF0kvLhVqtde 675 | EOwzbmx8OmiB1TiIVLbfrgFGVwUeTUCzqFhU51jYIZxksa9AOTwo1FshPD7VrBL9LlXlBv/qhn1f 676 | 8b8VuXryyFsnmTedWmuioIpY54XHwkN+qTlzjZgKisbZxB4XM2wrKvhFEEV/nT7aYMNgOn5n9mPM 677 | n4W520r3ofT12QUXQWYFBUnKMGIZ5n9gHvvSz4fVUP+hW3pffNe0Lhfo45SXPHvF6kTjue2fdNFF 678 | K9bTyV2QWDtGfPbQAseK02EggKA/ACuSTLYMhdR0zjLt5GTWFUUu7wy+n+zsbhs73FmTS74FQka8 679 | iKSpxcbgX9h857Mk6+vSxOfZykvq5MsyNrXhVKKZ2XW2FCMP1PalX+dcblVtVlgxsnBc3qKr274H 680 | oWFKlLSWsMemv4JZqYC5hcqx3Sic4Yy4GiWcHsYAkWSgWC1pGxfDOC4IY6MfqZQTPjDTUznkrmRh 681 | xR1yK+1tWN0/MsdIHJsQlw/Djc/xHEDIdw79BSTOZe3tOZIMghunQ39AiCEP34g8qHQ2rqFXqpJw 682 | dgw7FXbrbgIh1mUao1cu4CXXVUzrxaiCES3aid4xOhQZ9UgcrGIhy6YbjhURZ0GfCRbhFO7vZrTx 683 | gaLsEPpLGIyJiv+b0RCBUraD6lDfRyUeviASKyd7Taib42kMkpOv1Mtipkpg7NkA3vhgeXzX/3Y6 684 | p+iMBhXNhUJsG7meS9suMVyIpVmtjNDmXBDualc0EdBYb89Avw1cgTm0beCNPBo+93IfUFyoJbSw 685 | zkgGjxsTdoC1MKwaMb5zYSDWgdjz0qQ9pIRL9CYV6gLPsljD/TQYql+OhkBtMbHbWwvlkntVd3fJ 686 | SM3OUpae2/1Uvfcmr9tj0g2OQMm2GyOaXjT1k6fWmW6eeZCqLkL0sq3EJEUS6ffARzfSvE7IeQ56 687 | Uj8OhHHa1J322bsrn1slnE2fdgM/WoOx24UikKHR9n+b260HW3IrWhqMX23jV6gVZwbg0+8KtMxt 688 | YXqzGWnukcv5Kriz+Y/uV11p4pgvkQFbuFcYFeOfX06NpTY6qCsyDErXmRV+LdAkKUJH430O7XXk 689 | 9a8t9GJ/wnQh4HbpIBWRLmYHxq+vV3agmUbvo2o+oHj+ZSVsyijoNdrzba/aNCdE0HH7dOC41SVq 690 | nG4v3mvu9PZkzjT1iSGbAUYaQ0uv/o01Qi8mBWEqETqp9v6jrxaitJSuHM2SJpIn+BySX1mjDH6H 691 | gFsD5zBiJwnRPCsW0LXJgi0+WCZYJoc0yRO0M/BlVkf/NaYeuPZdMp93an5qvKbUE8x+gXf5zVoH 692 | tgiURaiQjfK0cYNjS5KdC+BN+tl+buYqG47kypUGtJdVmyNHeIt8UM52zctbYmV1xA75w+r/2Ylf 693 | xQWC4y9pJCVip4E10/eL95QLfq9naEf8ZxClpu9G4idpMQjNjjkqTxMv1HVYOV62v5BUNwACUD4+ 694 | iP047Wtou7SKDSVytCPEMEyy3UPr+5JHgRcY98OToEQ+rYJ5K314c/UyuTembFRgbcoRSO9t/wg1 695 | Dk8Ufr5Pm15ueSg91TDBEgln2S83dz0sW8PKxwlakrbZ9ZoKesan2RA6d1PrDxxIA9BYzWT5gCBl 696 | WDKNTa6BMl5LSnDYR487vVH0qA2eWh7IbdjhIVZtvxNg8PE+f/fAlQGMPijKclXoXFKYCgiGPgu0 697 | ztXnFGjnHE3aCc9oIJAkl585FIWDxx/Nx2uv9KyNb/eD4R+lk3/ekwwpdh0Q159ocOV7CLKcu6gJ 698 | gvB8GnVuscod7TLLqTOphIGUeslmuoNzyumFkYWC8+S3Yy5tW7aSVidTPxPsLGDeb2wnIVeOLaNs 699 | Lmr7YxUJT70Pwwc7VkMuw26zC0XjicchFgkgGwFysO9Ztwnlg+zFRwxVRs2QoJoC45rdz+A2AFwS 700 | 2vw8ahusDFXMQQcE6teM+ngkm9u6dLia6T9xe/+0+vaP/wc8Y/BukDMOVImmUUIcqnP058Yj1kjg 701 | bHiJSmYxUkorVqpAMCW0s+7k3UONvPOWUHdq6kv4xVtNeeJnIjzx3BmuyQ8OQnIkwLHwnJmmft03 702 | AZcMQCvfm8Z+ZkJyzfTT5c29M66YS5O/l/M8n8gE3vtNJ8sXmtye/Mti3V+alaiPSpMNqCN3neJc 703 | gaAY1iCWGquyCvK5K6n1WXUxmK39NDLCXdFq4r+OkRNEKeBBSh7cYEZVzdoWjt6H3ayFFvrdiGe9 704 | RfCB4iaAwH7ydgFe9B2RRobEeUhcYWZV8aB0bD94+u1S2LZwTaSYNxUMpxS/+No8VTZNH8eT1P5+ 705 | Wj1e8jQwEqsD+MOO4lkKnGoWsmER5QBTtRSp7o5x5yQmQ8jMVnUDQgILupR/Cb0JIr18I0rwAUC2 706 | 3YE8r9hYk4C8J3lmiCfNgbA2st6O/74rNFfqrQifRfOqOR+KIQEn3qde/arZ257lMpz+g4H5ff7d 707 | MVvRS7lYkLHQf9GSj89s+m6lm6m7XaH4myNZvTTY1bpsMffBua8B+eQs7NFRoU8Re30NNwzIfX7R 708 | P7L+1TVUIZcIH1RHLgAjWmip+qfLkmiV0zs8fwD6RQCPWmTvecAAEEYQs3a6PJTMkdAi0gt6A+cG 709 | 8xXX63die9S9e82ZwINqKindHvbiLgI6OyLJKT2AeIp1n1EX5y+DdTpQ3qZIx9J4YVXpUloLGi1A 710 | 32bgjoxupGgSfFNxziIl2rvVk8t9UpSkWtjZ7pUeGbIH15nrqLxmwRjXsNHl2EHwPbGlMynFTNMX 711 | qlSYWseQkyMpzN7E7KOonciXdwnXSLilNWzcwlNF/yON2aI4EqEbdDDFCf1QGQFFOtjpIvzV0vWJ 712 | BsU89hmWshrkeU4pt0EGU21yqXDzBZD+U8WDli98TCxWwunCy58FNHfzrh9qUUirIORm7ECjUOEB 713 | qWv8M2ZZ8xv0U3+eTbKBb6WwSaFkQp662p2ZoamfUJd5xYOuK/UlycTe3QiyHMRv1PGKMjO8xjL+ 714 | AlYGAHslA9zkYo+5uMdpVASdADx1emYyu7WYuBCj8OZF58pw97XElmcx1hsw5rKY6NRT8cOX9LPc 715 | hVIRi9v2+J7xs5bCOaxmArphyuVKs9NgqMODuFgm2q66dDXoL0a1LgaNMj1uggAWKkeB03BuJF3u 716 | ZcOf+KEMAoqLPmMmn8mbRS/cniEaDY0Tjq2Zs1cKWJhpaKbu/H4O8eNdmmGLWDzWMvMpFzYfUI3m 717 | QzdRVZ5QXLrJM2FvYE+P8oZkSHu54V/A+jrVKYW9Gk9k+6TM2CkNWdfxvVAEL11N0pceCKgnvGse 718 | qjOPeiMkFBWBMAywLsizgXeIiIFj2Q+gvXNtOiAEWGRyQ7V0MHLEyUD9DK4k53ybo5dc8zo9crv+ 719 | URu+eX3+DBNdPvMqJt/tEz1vxbZC6JnI8f313kXWlu2x5nDxaYoc4T/wUgyv0sykZMBDKGxUEJ9m 720 | ZKWrtQ843tpImeNiOWm7WHeAarRgwQqrLSdYjgdBDRhrh7OXyPzLV06H+fBEfhx86OQwEXqF0YR0 721 | sl8QHdzTVKiZ//hWUFAq0Ok0griSUddE0z3zTPGSMYu+rqKS0w3zXdPlTePk/O6EZqMQH4ahLofj 722 | Jrq6EhGiDJSPOmzKJNYXClSwelj+aXj/Sd/7xLKYOU+0Jiiq5FF5cD7EFIHwt6CejrFzh97x/TXZ 723 | k6CRxfzdwO2dU0nGNkaj5OqhF6AzOF3f4FwvmWv66zQrx5J3iTNIiV3n1fVHyHvlpLkRyng3TV8O 724 | 3/54bj4KqAe0xWYXl+gd0hslhAyHQBUVIrTrzQufUp+ksKQVMj08N8ciqaTUGnOiofNAH3Nx29f+ 725 | Qk90c4n+OAxh1wAzsFtrJVo1ILR5aVTeZQZfNptrxJV7+dyH2h2IA48euDj4vLOitAXTrjJu9yqR 726 | IJsL/AJ2c1+YF+kPSEvf7diXK+ySepaKHJvb1dTWm2tqMaXA/kwleSVAZZ1bYmLZHiHC7oBodb7a 727 | uHx7KsASBJ955Qay2mYAhPt2StbX5CxfsvZKY0/miGey2KeNbeF3GeBonUsRK6Wtb/NG0bKMAoj/ 728 | YcLm4U64MwJg0WtJh6ftAInPmNxTGm5gZem2PrsklwbBnBF/hGg8GHYrMLF9rm3SXw7ub5z1j1DI 729 | 1KfOf/s+XtQaQSpKJsCcH/Zypc/l6JOT9GGshPUp3KtaZ77CiZhESsw5HGy7/rf0gO4pHOp9Dsxt 730 | 89x2jnKIxAI0WGBZnJTuZxk/q/miaI5WErLtBC5X+yNXU2NeTM5jE93d6+7qnML0m4P8UxHtCrhS 731 | QYNkMbh72RBbMSAjyvAfKYZvjUDD+lE7FCxt7Y8HcqixbPbz8MQfmUbmf+QbHsmzuEuvzAOmEq+E 732 | QxuFuYJZ1ymJgbtR36de252EXfbWSc1S9C3/S2qg0WBhvKsvspcimkK6r/cRiRv7lJTF7fiUDM1P 733 | v9QeywvJGvL454DjI9UfqCb34Cnwiq2S8yFuV2H8VQ8zRMMlRz+JuHoOc/bqKVXRelv6iM0Y1HfT 734 | j4/ngrKpXoAZc6Bak84k0QpgwNnUcMhABIs8mvB3E0eJ8gR4AdkZRTzFiVPC/ka8Y3uYH28G4jgR 735 | uZWTEUHcjNEdOwv/GXEN0yfZ7eQNM1fSZJl7BLtfqLxZZOtICtkmoGXn9g8SXd8k2k7sah8Rdg7C 736 | hhp7jutey9PRIbQdY1Z4MHuSwXzqyBt6YFlJn8SH9NHSkPnwq7mUtPUqI7kK1xHv9hGgUFyTyH5K 737 | XViwnuW4r+tVaxj3Y1czu+Xi1ve11JU5QEHyAiAnqNUp699gDoPSPKg7sxpdC9vkjbVAvHIDsuK0 738 | 9HoKsbQEEyLwbtZbkPSmhk0kT+BmbjFtxliT7jeRlL8z4TmarG1DBO+cIDdMERujDlfuMzJfFCh+ 739 | kcDBGMxBBsyuvlVXm7NkBNTzh0DRB2qQVjOTBkjaAlNqW+MxczbPdQa6wGsQAAPeGhEYNYX0BD9V 740 | 0/pevM9deUfYYeHVdeghiNGh1KWg9FT2Vcr0FGV54FIL9tV/Agrh+AN5QbiARbDMECeHCRexTpVW 741 | aALJBi/RQtBNuCVus80hz/0ig0BG9n/y8X6TGTyqkjTbDvhK5aCiwI4ZFims7YOJwuHGkPAiRywz 742 | dlBh7M16qCDbBapYWlCf6/WRT/M2yFFNfaO2eOzKjK2E25eg4mrVs2Sd7v9pJ5Ipt+mDAhsCfqSi 743 | XFGYQ20ghFWMft4ZbHHxBgoXp+i2BwY20OJp/aF2cCh2zDJjEpLBSte1mXFE58XVOz+0HcaU8+an 744 | OkskD6Wp1CDA1VCqFNvI0CXmAQOSIvGkmNZQs9HSuHkWTt2axkIPI9TKlzeuN6XSsc9pRZ6eVYVI 745 | szCtA/SR0y85JfZb9FtLpWXnKA0huNbg+aLaUCWyB2fOSv/1ML+loYleaH8tgmgwaw0l7s88pdvE 746 | cR3lnljDGfU+KCuQBMqlrzzBTdfnA91bceTU8wOBMarQJaceNAVR1zQ1OHQ6IKbuBAyXtgFTs7r2 747 | q/9yvTohBxi+THefCdSkyg/ZQYdkJKd5j/uya0XFwvBEG/Gk6cbrQz6fwX1lap4197p1KFr4/M20 748 | DSJceJq8YlOrGIPfRP9VDUiG0Ke726NGrQliKmzADklCWWfDLDXxm3wdspZG0xqU84D5NsVoH/Ma 749 | tS6Eag29qJxJqOakmDhdt/x6KEUmt98lgzvrpULL76QECQbW18QbDw0jhXS5jVakrricM5u+HVns 750 | iPdwqkNydSN8zeuVbu9wdv0m/RCRkU2DoTizQepnuZ3x9ul0+aKNDBxgCw/ziZf9txK7JdFvWmXo 751 | aUp8P1lzSLCKC8heLNUBP/eXbXTvpWf+UZFsylbeeuVISCxwaqM4cwuWdklqFEpyLnHGRes0GTkO 752 | CEa+MIZUdZaGlVjwiFY8F/Iufa3kJq7XlrY9bhCWGuhioDceUbsjm2VqxDSsuTtcAcw5VV4mQxLM 753 | gMLn4QmISJMbDAc1tcx/ZzkpLfhXws2bs2iznqWY+sj/79O5GZsk4uS8ZbX+3ge8nVimmQmhiDVt 754 | ZWKTwYABImRPQrXsRg7Qe3HREHOm9iGW5nL8JoaJrn1bGxsnFk/8MBvZ84i/+att4OZT0KNbE/yw 755 | +IVzFh6jZ3ltnWYjwhFidIcpBZt79niopyk7K4pjLR7XMluoYYtabwa91bqTPhuh5hoj2g3G7XJH 756 | uE00jiIhjKwRaeTvP71vIdGiCGMmY6VR3UllwBAg/3zB+3LtApSnnUeBAe/lGP2DvnLAvK8EBWqG 757 | JEy4YpBIb5VmMOVLGpfZ6xG9AW5RH009wctue4ZcX+VueBVtF6tw/xSBO5SOSNDLUJ6CgZFQI0lV 758 | wWRoTcmR6h5YWgW2QxNYmgj7fdQUZrGqCenQemxtIVB+dUkobBwLX1DR7DJu7854fgE6TM5/LxJ8 759 | BO46QuKps4DCQoekcfrRWiHpi2ybmPYj7TzwHMjdElIVg0RW1aCnibnvPQPrTGALsMtZYdeOAl9v 760 | 1afWNx7638dy6pLs24XIGfJeF+Velq11mmCjd1n3luXHsr2f+F6zgwEkGiK6EYYKmOvM/XtWQ+ZI 761 | e6C1Cs313kRDUiMOzOBkDzCYtRuSMWWGs0cz6kjUoHlc9d3Ee/K5FKwXnsHa2mM1VBsVYBBDhL4j 762 | +rdTkSAYoG03vQVwTrarSB2ocNEcVzi8ru4iseNCnvvgzJ0PR6o6imHiRZyvZVLTdSAusD4VmPoP 763 | 1f4+jKhhyrU5WJWx1H6PIG0kC89Rdp1PViOHcV323hTvPEjhINS8DDMxeyNgEwC0IgHHf+KnH4/Q 764 | gcKv1WVsabGYMz4XAquaIUqsnBtrvQLQ3sSJuwC3T2jx6Kf5DpQG5i3/UAlQ0nahQFNT0rfqjYGR 765 | ULtml5Wxy9wWVdKxQ+SScrEqOa08vzS2RudprKiYTNpiLm9lnKf8Wzvu2w4up15nxdBKAA225qLW 766 | +cN/cxNtn0V2QLG4iRuL8pGCfE78LSc3XVK+ZN++9S2PvCsgFjDO0BMDGiFLLLWCYkztTQzPzyZu 767 | ykTqZHZ64gZ2NbOKx6GFiUrqqK+u+47lfqbFE0l8wWFGu29NSK1p7JX9AJeuhaO4DmUYJLzYNVw9 768 | 6UKZWfAUMqKuksrKmyKDdt1Fw+vctpUbTtPE0qKg2fqt9tzKMux6sXHHlzxHGMdBTdLDMs9ke/k7 769 | 2yiGRsa6pcWSn+AZVDDEOM+JwHczuSOApliHPOy2+dy+qeXZCaj0dDg2doBCn7bnOLCcd0n8euea 770 | pZHE7r70YtuYfQQON4xNzqadJlydhwhCJy0no+VVUoF6izbF8VfHoYRfowgtz4C9jUO1YnicpIh7 771 | 8flQOOFTo+N3Ol739uQisDOr+uVOJpsQSDKcldz/GfhCqE5v9BdzK1QEiQf6J7SqV22v1ysSemR8 772 | QVY0gppRabZCKQMfhAm574ef1XgtRhv15ZWCCa90tup29Y7FNL6pCtg6HUMAxplfZiF+kxOvHDIK 773 | 8So6z+OFnL1hc2yjxzAcAifCbqBIArl909iCqtub9GV3P3wIubY5AcLf2Bj+xVxiv/Bv95O8djiZ 774 | Bb8P3fRVVUBKUhM9BP6Bn1SdJRPUjQv0d7v5P6bLEQyGez84Y9fEs42bWivq7nVTP1s4RlEl29Dt 775 | /CN0PTPHsu3aQDb0KDkrlBbDD/LRE1er9AYHaV9bQ3+QoHJmJ6vLw7C0w1hZwzOJ29wDqkaQvm7/ 776 | GRKIISzbyXDQI52QsLdi1v3rTAsu5zHQS2ePdH/9FxL/ZRVmq8ZHfRta0I8RL7lyW40m5F25BJ0X 777 | YpRr3FJ0fcTQ9EFnJ4/lyQWBliMmPRYoLvpBQ+O96uOsXNX3xpYNUSW5iHEF9kUOaOXarSI+WxEs 778 | lHfmN+K5pYcxe6C4kzHIgcubvwBca77zAle7aBMcQDK+F8hU1hKsUWcKviOkqV0BsBDMwQDE+K6Q 779 | 9a7GlnoDS0ySW6SaBYT2Qo1AIhdoR8buRRTgeKkk5jkwguF6WvSqmmthQpFBAlLxp3Rp0iLdYUYC 780 | LIaGTmcSBw8xzDBlDzRHpTEIkuvytcIi71FjDqDqs6eNARPckcurSoXDmHZs8EkZ5fLAUs8Hc90i 781 | hitOcsr5OVpHxsVohJCue6muEhTK1yD1MIzJq2TxPM2jjCKLaDqxa+pOZi7IAnOwsqF8wyZEfv/U 782 | 2wWCJfmpP+8/wy7e5AdSJCBwLC7BXaXgV3M54alVqIWdMzR90Xf/U2tmj8ERZKtBBHC3K5ZD6oo3 783 | +duocmdevzD1PUSfYwASurmMW3M/aYbBq14YYqZFiP792AhrYVC05UFlALsvwLnRQG6nR+3VjLYT 784 | 8xr8tgQUG1rS2kae34R3cms96Owy6n+5l/fgpjJs8SiNe8SFVqqsuJKpTh3zORwBGwwxZ+miQxzz 785 | zz/f8EvkqF8C/AiruEElMOVHpUPTnmMGre2GyADXcdfDndfLBVD9Q7BK5c2umxHxTW3gSWgBLpja 786 | 7hJDOU5FsuWfCQy2uarYk5D1j0tbO/F9LJ50rN6Rnl97RY2z2DtqZCG29EI0jDWLJEVfbPqln3MB 787 | 11G4mDAYPdE/NtDbvOR/Qegz9qS/ITBR8Tg3nJ8I5a+umAUG6hlXAZHzDRWDOdZOdwkru6c3nOEt 788 | SHrKh/EQuiLHwvcxwRsEAcEKOQHnyhZYUNTDaJDPZtNNRUfDNoXOb1Af5t29mYin+roFF6V0C6a0 789 | iqFsd9tox6Q2z7pOyTes7j3hb3YqUudZ1fmpJ36+6f2mQK762muvvhGTBYNLNDt5ZNkE4+PHoFdw 790 | 5zY3exAjpB530HvXfI+qgsIbYwtCfu+Etni1L1Kqc2ZxWY0R0xWTA7A9c5+HxUTs0xHYmMNhCblJ 791 | mOStO0BPrdhkPMhXA44GA6EQuf1VQxsOAfltG5AwX+aAzeYbgdBvPw/ML/QjFVkgG5GewnWSdiCm 792 | tMazmsS4gX55j/GQLm78zGh6C2+wrZfq7OwOaDb/+fxnZeHdPl69+SDJNfmdSE3kHpqP/SZsU5RE 793 | V0wFDAi0bu/kbE40q1S3r/D0cucyVPxdZjIlyup56JitSTtyPeGTFSj612x98JcOGKDYHvxOu7cC 794 | rmrwV9M4BF9KaAjLNIJnN8S8FjaM3lp8a8I8vGeEyV3b58GZtJ5gsEMErwduoozX4emwTY//QDvA 795 | 54BdTUGz4mAcp6sO+P8xFERBxaNB/CTSfT5iFZdmF5QEPj6j87ZT7YxagdVb5CQ3XXbresDnSQZD 796 | rxXqpRL5zNnjDTc6G7XJWix1jQxChrPn8qbj3VJPNnpkbq/aKTk6xB2JjV+7EKMTMxz27yHcu4er 797 | PvUsS0xJkx/xR1/0rdTNXMWcoIPq+hPXMO2+LV5ZZIrT9dbiEJc1EixKnVu+RpYIFLq5HuJwNDhL 798 | lGdhT5gkMYg8Mk7y1zJSdIwcj9WhjH9IE093Uz3KAmiKMGtJxIDg3mBX1KoqOAdl2HwN3mePLNK7 799 | zZPAtxszpJC2mPLwjBCj2RxXzTxKzsRp/kzMvxGF491EDtWmEr/rKDWQhOYTblDoKd9EJ8Jo8JqA 800 | En5AaT+Xyy/i51edi5GZBHBzPwH1eklceM0n8Y4LKUOl0tQgB7PxvJODi8u1sRAfR5bg5XEaQh7C 801 | 4tZXPLItXfnj5iI8R3npq//89ObMSL8nE3bn47q8WiTQSr6LIfEAQOvVLarIJiZpgtsoLKUaIaBL 802 | VBPy9dTqlKqcnVHAUutOlTTzxjsy1EzGhp6Ym48nkBK0kB/zigMngkxqctJadXd7N6lBO4g4Ua6g 803 | IYXIL/9Jy8sETh/EW1vJ2vlOtVqgBoux4aisrrK6wCoKrhFZHJN3TWvSiQgrtXj5pf7Qj4WfYbHw 804 | MwCxmqR1tvnsAUp8erL5sZmtAcW8dRQs0c0SxMoFa6SileQ8bq98Rqg+RVKl3GR6qsUc9mVUyUEX 805 | 094Qhas0sgbvNdb1ZTzhrtIM70C5xTq4Z/0dvQyOmH4vrcrAqQLQu/XUtjx31z3mpA5YFv3Ag2AV 806 | Q3End9Pj4DMexVRNZ9jDgd69oEyCZNkcnWEyHBEBzo/UBt8o7NxIpCltbXcPKFt8Q1X7NRdzngAi 807 | tdBDzUZzk9heBhNBFvy69Ot1eNGiDXtbgMivkqpTs6yEa3+NMuLx72kLXtcdQYNJ1kgJ02ndkjmW 808 | MzreVI3igzhJs3D9ZARt6fEp25pCkSGQuvmp+pcQC536iE6yE0AAOuvN0lDZl/+jvrNZDaX68UDN 809 | onRPVqiwL0PNwN8hRT2hkVl2r2xgAl1nh3ymt4+ue1LvEjCvzSvmuv/lx5ojNQV8owViX9+baKcQ 810 | w6dIV4otjJL37WIO8wSOsnNkTc8Sv1I1RJXbYYy51wI47oe0Z45eHGXtvsYWxfocUffr0GlnjTUp 811 | z7457fEwNr6xdP+3CdobAIhkOYZzwUoB2sir0O58ovWukLZImdgIoRqXhLyEzYLZ334137kGW5/a 812 | L+1GG9QOlIcVErOKhTzEB+9ToGlOmg31T7lyRO+v2ang2G+mnW7A3JcmwP7xq1eIbfCXEogb7slt 813 | BLX3whNgztsDdHb7UmThXQN5ZtoxuhzOHo37KvWNu4Ixi5bEfjMhdpib1s6AjjzwlfeTBk38N/Hh 814 | f6O08ABcn1UrSgjsLnh2AO4c5aIf/iiWXaRCmy5j5hXwyHOwPrd6EYUtDjmG2EXKuy0aw3KG+Zk2 815 | /K66+KXKoQbP7e3l4UtiGM3yjKlZ7RgU/IS3af0TuzZU2GjM/RIJ4PwqAnbg/l5Wb5vyI/sxwpaH 816 | Y3AhhvTfMQhCZ53mLavvibXO7KB+7BPnHfNGQ4unEH8eaqwSQsrTj1ERY3w15q+pgh9UOebwTr4d 817 | gRrNtLOge8q4ZQZAB+W5F+ORnQNggXmbNWyIw/3CzjniWIGrze/BkQpKZyHDIg8+Z1/gk6xy6RI1 818 | uC6NYp+WX2sebXyJ7LwPe/U1pf5EnqMImg3498FJcSxIzREPIn0HFMIH6JqKByjr+gFrJHQ8FaFP 819 | E6+A+cG+JSf0pVBsxVpt0bIY3EZjgl9quU1yu8Bi1TbIiWzyhCFK4heIITXVB/0eLStbO1ZBUI/U 820 | D0IQUZk2DYOY+ztnVB3OmxtZRI/fBd4Jm7tTFAl8PiIqOJOBSwTSJaEkxJnPleSLqYv0ZI5udX/P 821 | i4T8m52sYxDl15LEImRJrjPHRmb+/ocOYfAhBtURiu0zdFybk14b/UqEjNwdkoVIIuRf5zfrPDhn 822 | 0B1ANbUx2FTKaoRkcej+hhDj4Zn28BREpWAkKih0fiH16Q18n6qki3ZlP4vsgP81d0S+ZXOE2RXG 823 | AvARnKwZqxWUXBJLuabKvmbahpH6kpKB/waKk7hO1mQH6nGQQbvCTIL9mM9oe7C+wTWgWzNcIc6Y 824 | tuhMwB356DaZF4lm+H8RuTMI/FVqOnlw5CS0Lzn2qn5rIECn7KiRZDHQaFfaAHTD9X9GzU0t65No 825 | MtKPVTesnqbGMKga/zagUpNT2gDy5nGU57Z6z7XD3wBy950g4gbfj3xG0eq9D/vTbzmeB3a0jIRT 826 | Xd/Xd2c1/14QRw9bCaw5VpN16VJ5yQq1hIgGfPrVRpKvUg7E6XcxeK6kSoUYqb7mMsgy6RgBDFU1 827 | 7aa0U+mS4Z0Q2Qq3qvSfw1y1Bc0UwBvwJW+MmOSoTtGnZtQoLtOzgxu1uZHWE9QN0CAx2HeJnACd 828 | ZvCkNW2o3bGmn84UlnRRHBl1ULWRmbnTk2F5OMPac/Im/YRk5mjb/4d2GOSH5LEjxIx2aUfwp8jf 829 | S5Y13T46sl+tIo8chkuEHchhWvbH+PF1wGOj6rVMJgijOs6CoIqDmdlS7yF0JKt8e0SE202SWOnL 830 | 0J3dFl4tOe8KkoDVTnBWExGuH68UpfpZPUwFjStLzP6eClsdlkoCPIrq+VbEk97psbIbrJknvIXo 831 | 6o7TczYnnvGDpDD/XKEOrgIXDDICMVyqchiT9M/inVTw0DqRF6XgFoH4ZQEnf9cLh7cTDMEqFu+4 832 | c8bvy+60ZKT8CxhhdpKYBv8WVRJLG2zZTnseB0n+Fhur6VWxk040rKGidf+43nh8OTIHWjFvCnl4 833 | 80MszalLWNmKosDj+Znw8x+bR/G1Un8FzvqSxTUUN/WJpTDAsjmqbd4aXdqYtrEVI1r1Z4eYsGUS 834 | sH3UoGDpaiZhNnVDTfW0eMxDoEm5EYq9MfAANBdLhIpxICi/AgpfowsCVRJcivkrghEcnjI3cOXV 835 | Xk89r1z3d4cwFByY/S2mxmTWQK+dwRuxvO8rZKa/LEsqJEPGNmK4YK7xwgxqJ0i3DcShxK1eiaN6 836 | zZKqRvo/qCpe/eK+yoyEb+7VABZR4ZmesKYsGClLBdVpTdU/RMoQiQVNwJXZDuHQlMaPGyt3QhO+ 837 | L0uxXvuQ5UYhrWJVri0Q+NjQUmzjiN9rMcddNPzPq5K6i6nLMrQk9DfNo+MowuF7DKq3G6QwouMK 838 | jCslvz8w0IjU8RcuazeKjTQwczvBxqCAIOpmYmk3VF84YPtJHgWeFlk22looGY8gyUyTCeDi3jid 839 | CrN8MqR6VxbNJDrayBseDeCXp6HuWmUPNfbscxP9oQkaxk73k9Cz8vaOH+8J+hM71xM0+YC5XYSX 840 | smEKoLBV2mQSLp3Z3ffZ8NGzVZty7+cVvnd7EDaySQ38BOLIM6envtKxbxFRmFfAcIe0ixM1JS8v 841 | vT9urUF3GaEvh/92BjkuUZWzHD8bhZTPRgSThcl8gZUt6QLWEMd6gSvFwPoH26GrrypiLxtMdsSn 842 | eW2bu81F2LnF9J8KO+JjjbR5L7HNrfEcxzInaf7uVs7YexDv/rOo1Cn6cy+Ob6n0F/B5jE9dswMM 843 | I3L6jH2NivzJh97/q6S7Zd5g7HOIwsAKxkjL3y4ZIsdeslhglLpuffANEKwzjmV8cXKgMafPBFYh 844 | 893P0sJq97ErS5cX4wCdZQ5C2ea1hL6brYgQMWR+VdfmPw2C+ET63WY72eh5Rkjl5GQH0RQVr/KI 845 | o6Ors2uG5LuKaXxrFI8Cuva6L7N6/JmcrYtrFS+13xP4w44FPjfJr9rl7ul+6j1SSO3mtcjXhpg4 846 | 8JgblVZXAz4EEIg0aT9KBYo9Q1V0sWrgndPTvP6yQ21pQM1VBSajDTb5GMn1Dprzy+1i7tErlh+5 847 | XmGSyewCiahJPMBW76IfTw68gxmHwwsnaQiTpxIcnEgTACPG5NKN8ODoUBZ2ahdWph56veDhR+Ky 848 | UZe1adnfa9LxfwEmSKa1GXe+iMg6KM4L+J5i/GEZh3JkypQJP/bM2/erCpToTMypYzXHcGLYN4l4 849 | xYI6wjztPlZx+9fYCRm/suFGCI+nslFfauqG8i4N/KX74IanYTL9Xb7skWeD3CoiUYvZU4Uwo20b 850 | KCk9mP0wrOS9jJzE9TjRbYair0lGZOPlduE9KIz+/WSfY9Xs35yUwHtmVmaKOcwMUa3nuOz3tjid 851 | EK1Y8GAupq/451QGGNdhlo2x1bDb7Uy6EJ7OJIMWQcMyJaKr3KuooUUzqjJS/PY1JRl2y1h0+jJM 852 | yTjOgx0SDpQ+5qCJrV6++KbCTqPOAG3w394vbkjJ05jzzccJSwUaWFiJ49qXFCSO9zb74bb1Fq1g 853 | jlASZSIiUoC+NtzEs1S61NvbzwdB5yDShtSzVyEE3fLXOGu52lMarVi7Ga5umWFMUW7MWvw5S1Lm 854 | t6qMvBpDwnuxwjOzbCUS/UZ3Nj1cpJzQKBok4MRKKG2FHqUzBRi2zPITM93FRKISyWYqsiV3RjZ2 855 | +oM2Dyx18DTvdRb4G/0tvItXAPFg8V6ctvLWGaYSbjjLqFsqeWV+Hk1xsF/nMcs872o2Kmvia44O 856 | OdHlRAD1H/63AcAgUQZjGb7VEBzRsViuVkJMEDIivbP4C3YggOQ0td+aEoKgQUqpJVmhJ8GHMMF4 857 | xBpUgz3itj4/t7eGesQOtdqTpNekYqvq5CmAIh9XF5YrILZUKto1XAi84BJ6eM4tU9gXXmedS5xK 858 | w7aIu0DZRHY2P1h1J3nFdVORqEMDQm74NjRAw9tIs9gKiRkmWFFREiUUBeBnNRV02pAq87r4DJ+g 859 | X2j0SF6hfHj3UNau0zBWcGYK5QeuzodzoyFP4UZgX2/RPI8Q+hYc1MZBUw8agFGareEz9beFEHQu 860 | wEAlb1EALLBgjVWvPsyDA1f3nWvuIcjSeeHRayl04qDc6UNMCu5fe+QRQloaf1DMtMZGPaby4Pxd 861 | qb+/76VCeW5h2ZU3pU+FE1wXEsvfT/27F9DahvbjXx8SxSYh99zNLKndM4Cx1YU636KIZfB/aOMe 862 | lsMO3U3D30kC6y2bCAbezItzcsx3U1bgrUxiY9IBLAVXRbOPKKkYh3xzGLZPSme699J0fcJcCmqJ 863 | qM5YyiuaPm0lMFGc13nZqcV3o92xKBpei54RI85doKBT7YQGy2PkRGe0vRKkaibF3IE4ZFpGt7/5 864 | jRUT9Pa4zbaf66gGBnPLeeiA+FQm/zug0/gz9N+TDXjWuC96CY/TkJjWXQx8ZH5EeUHMvoZD69gG 865 | J8Vj880ksl91otw1VkkzrmVzuEXNTWY2p/vovSEZI6sV6/Rg9fOv9GYVUO5jNrn+ETod14bjsJda 866 | PCb4Ijb8pn7QLF6+GyqhOGd4wONTmLn5I0lSqjfTbOzdDWojltrRNiRp9O0Dfr4945k0VCLQ6c3k 867 | /Szv/siqJVmXAS1BbujtSbAwOQoqrR6jV4M5qFFdeLn6zWl/TEvOe2fo+fGdxfXkfvAr/DGElFMq 868 | ekGVDn+vp7XB2VMYJ/j8uStQvyKFphlaraQJjuxhWf6PiqbwaQNUlr9wZ3+y+pTIv2Xvc0stXUSw 869 | 9l3Zt1Lv0IF6uHD5KwcqqLU/fvx44nn4zzz681s59bzI978UKy8mHdf5Q8e3Ewr5J/Elqu389+WK 870 | TQSkJR0Q5koZJEpzDh7CYDcIpYXhcx38z0OmQPQKmfmIJICamIre3aQHX+43QorLX4BKqlypOzMd 871 | a9JzTren0Tsd2j4Asr4GFGgXDUmlvTDXQwWU6uZEL75OGFA5cAHpAMYai0wnGOXLDgX8Qne03Ra3 872 | LqC5xfGn926/0VOnkZB84gCiGdsktWKiguAoDd6CCxFGkv6bxFxrg1kZY9gu850uxceSDilNc1Sj 873 | FxLVrUKSS9avK2iI9WKwc2QkY79oIeKwvYsvD1pLfOB4allKZWO6/A0pOoqFnne+VEarBFegHKF8 874 | EA8dloEahqh+bkN4uS8yPZ8MLlcI32bG5cjLYUxQgfsBavIrLKZBMKLYrtlHwqOTyEJ66o2ykwgf 875 | cO6d5GgedahPB6qnbUTFbGf1ZsOAbAh3IHUOEK5gaLhrPpylHOzUMH7QT0JomFNVcZEJ/Y578S/V 876 | rGHMJUBBoGutpgksn6/80wKqxZJ6D1NpIMlO2mwfkgy30h4XiIFcI8FCJbRMCWJReEyhH2nOwMSQ 877 | HgMTAKgfgARkYsvSgyei40ouZre7kKywUnwE1rlxJ3bAe1flr9mLfTaDY3HqpIDO4luxModtsmaP 878 | cGUKmNgi8BF+L9yvDAdc8N95GRiEvr0EcRKBC4Q/lJNILRgs0SckyI5pxOjPWzXxIThAXUKLGivZ 879 | BsCD+G9elp6ov2mF9G3Mkn9oiX+tMyoj0bfhHHtkdbMn/cmUK5iMlo9KE4iCY0ai8KtjiYkbxMho 880 | n7yapyK9pLZU58G9VGPnLe0GZfu9m13R/MilKJKNcQQM82DdqXS+7TMzaY15oudxUTCznTwypC8Z 881 | AUuL+e7siS6pMqn4ThRbQuNOs7LIx8KZZp6bnD/ZnryorQI4fwp7cbdJ2Z7rNRTkr1sSIOOZG/d4 882 | Jby6JLAkg3UwTJjaKmxV6g8ye38rw3ZbUbmlh3KaLg8t6/Abv/1Xr9bmZP+h2Osn0I4jMMERs73K 883 | hJfnIERfzkyVw/Ws/Trb3Z4O15/Pm24kWhp/HaWnse0S1QKQqhztFUuS499AgZkhgh22MyXTBB+M 884 | Usq4naZl1ZnpW5HRORiuNKBtjBTxCiBHh6CAsFL49ilEwgmYPH5/oHOTZ5b9SJ9zxtzKK7A9XVe6 885 | E4rZbyxGyiYCAKRWpue0NTDDjvdykgYEs1kHAUtczoMOofzfnBdmgAqaLjVOuu3lklHbtc0vc1rp 886 | TdHKcjoOTRT3sVOBfKOf1CFgBQXSpGy+p+B02pKVnV05U4raWEDKnjexFy9kOgLZa1Plib5Z5K76 887 | Fa1v3pbWFQue0k/WBe/Jl9cOpAyY7DFezeuF7uMT1NYvTHyJL4ypm5LBoF4K+7hO1kZAuxHneYcs 888 | G0hKpjRYqMFaPeVga2dQFZkYWudMuzMmAx2sLT2eHuJYV81wxKpUZCasEciJTRPMSvPPw/no4TAC 889 | 99tT/2N3vilHsYCMUxPNGGCzG3KhU8KJMRDAqCouQcDgtww/2CxZZk4UDjWhsMcmCGh1apoguueK 890 | we+dtz8JU4PSrJ143Ei2W/QwkTRWvtCTdEJ8gRdmYz/pdeW1f7K/nUVJ8LIl60XVyEDTHeH6pQ6a 891 | 5i/ixKl41NLbMazQs82NcbjlyUZ0dGsU2EuwKoPhqw4v/Bbspn9/nt+tXvbzLjEG/1jlAvBqxA/7 892 | CyTOoImNvYn5If98hyWfIrCQRb3HIEY0rEuPjAjbY3PIC3jkNSyJAdxGvw2Fh3xuZUKDasNqPWmC 893 | lELdsOQ0ZC49efY4UX+HPOaEP+9U0Sw0L0cPZSBUqdjP9UrpTkiKCy3xue0lODKhu5tB2eH9EB4y 894 | iUSXG2Ds1xounc5dn5xVWUFzb9f2X1a1ll3Q06J8Pcdc0LrORqCL6T3qwmqm7uoKJ/iA7vCVoAkD 895 | GkhzgAxufAyzHy0HLwRk9sDz5U9pGXfKMp1p/RhD0xmfDnQCs+O1dC0mhJYZNZJWZBRaMVuCx/xW 896 | +0PJ+lt4cXdxSwrFoQTezDH8I/yfG+RB4nutRhe5lFHgiBu6pQatBSCJh5l26ICdv3lFr3vQerj+ 897 | +GTK6U6eeGTf7EY6+hbuwvRG32QZuVQPVkhZUQeWfq7IKYEA2hdGtUqky4OQxvtQXK9MPz+gL7E7 898 | ABPdjvmbxTVoKMfZSIFQEsHAgpdP213SDwQCkt3blpvq7cwxTMWurALmkFVPEQG0sXQ4DuF7XwwJ 899 | 7kdq+9XRivRqJlKA2TDo0BZ1X/z7J54rRVgyQ5a+xtSu4IaTKs6XuZWkONdk8sTWdf3QI9utl2mS 900 | kJI22epOp2DJGAGM6Pu8kS3Y5xj5dgglRdg+MeEc8fRAsbtFcqOqAOe7E3io82nb9LnApBn6Nj2S 901 | mBBYE4voks6QUCJVAXBz1TDH3rExrgb2QyCZsARbrAfH2Lspck6NJnPdFv78HLNZ4iwhKkrWNlA3 902 | rYeX1qaIQ61eZAXCvCtQ4Li1wTTdSnjVtuhIwnkJwcMKK7/iq+GXRxheURYDQZW4grcnzYiFOiLS 903 | GKA079I0X1ikjWBC8rKDqLpr7Aqthlc9qGEQakBkTVu1tDdHq80DG7CovrYFMOp1Dd65mKTPKbxu 904 | Wm2MUr7ArNRTXJlrjDHsnm88DLGnLmLCTbaW/y6kS0tFsuBoNBp6JeVW6sGiwifqIlLwezb3Ar3G 905 | ZY8eEgXj7QsFOwh23swve4jfOdG1tdz1cvk3oZrBu2bqRYz0iOZf5B932rP0i/6v7kYi5tmJn6yZ 906 | 1xiAaNKLGkKePx7jsbVvV1q8W7BP+usd34PwwJQwR1SBYeqHEyb44Z8L84r1M3l9K927udYG+buU 907 | iKACQBV/g9ZtJ3loOYSRp083+faN0gfMOnxhyV3c90vO6b4rRao56lZIprEOPxFi9bKnhtnfX0Ni 908 | 7xWibE/lHISDaysGUgwaxe0508KoGN1G69hX6QpOzrX918bF/NodGM7idqTC0+SjAtmxJRV+OYb2 909 | ToFkUdvNbe48ozwOhoHj2bh+yZhS4+546Ki1qXyNDkX1V9nzAwAoqj1kq9kWbJVL02Inuwd4UDao 910 | 4OsIvzmWoULdX/QE5YO4eYflB5G4qiatuuf/5ToeFkK1fZeBsQJp6FXzTY+9Np/KJCv7hCrnPmWO 911 | gw1CyHJgQaK13w2CmrUeQ+b5P+5uiVBCZ6JKZuNeagYVYm4al8ppR8Ss1HWYWEhXgD6aj685EUF8 912 | FIDPckS7HTZRpHQ/JSCYhbCJRD5gNDmDH/fnFvFZ9TA/d9iPs2BgHt5nbasbF+/a5D8ENfpoXZ8o 913 | 1anCrW89ub0w2/VNaqWs1eabbancIYX9LcQDCwX5kp2k5sf7WSAU52m8NmQOXP7f9GOdtujEZbEN 914 | PcpNDrd8XGC3FqFjoy7M+6Q= 915 | `pragma protect end_protected 916 | module fifo ( Data,Reset,WrClk,RdClk,WrEn,RdEn,Q,Almost_Full,Empty,Full); 917 | input [31:0] Data; 918 | input Reset; 919 | input WrClk; 920 | input RdClk; 921 | input WrEn; 922 | input RdEn; 923 | output [31:0] Q; 924 | output Almost_Full; 925 | output Empty; 926 | output Full; 927 | wire VCC; 928 | wire GND; 929 | \fifo/fifo_inst fifo_inst ( 930 | .RdClk(RdClk), 931 | .RdEn(RdEn), 932 | .WrClk(WrClk), 933 | .\Data[0] (Data[0]), 934 | .\Data[1] (Data[1]), 935 | .\Data[2] (Data[2]), 936 | .\Data[3] (Data[3]), 937 | .\Data[4] (Data[4]), 938 | .\Data[5] (Data[5]), 939 | .\Data[6] (Data[6]), 940 | .\Data[7] (Data[7]), 941 | .\Data[8] (Data[8]), 942 | .\Data[9] (Data[9]), 943 | .\Data[10] (Data[10]), 944 | .\Data[11] (Data[11]), 945 | .\Data[12] (Data[12]), 946 | .\Data[13] (Data[13]), 947 | .\Data[14] (Data[14]), 948 | .\Data[15] (Data[15]), 949 | .\Data[16] (Data[16]), 950 | .\Data[17] (Data[17]), 951 | .\Data[18] (Data[18]), 952 | .\Data[19] (Data[19]), 953 | .\Data[20] (Data[20]), 954 | .\Data[21] (Data[21]), 955 | .\Data[22] (Data[22]), 956 | .\Data[23] (Data[23]), 957 | .\Data[24] (Data[24]), 958 | .\Data[25] (Data[25]), 959 | .\Data[26] (Data[26]), 960 | .\Data[27] (Data[27]), 961 | .\Data[28] (Data[28]), 962 | .\Data[29] (Data[29]), 963 | .\Data[30] (Data[30]), 964 | .\Data[31] (Data[31]), 965 | .Reset(Reset), 966 | .WrEn(WrEn), 967 | .\Q[31] (Q[31]), 968 | .\Q[30] (Q[30]), 969 | .\Q[29] (Q[29]), 970 | .\Q[28] (Q[28]), 971 | .\Q[27] (Q[27]), 972 | .\Q[26] (Q[26]), 973 | .\Q[25] (Q[25]), 974 | .\Q[24] (Q[24]), 975 | .\Q[23] (Q[23]), 976 | .\Q[22] (Q[22]), 977 | .\Q[21] (Q[21]), 978 | .\Q[20] (Q[20]), 979 | .\Q[19] (Q[19]), 980 | .\Q[18] (Q[18]), 981 | .\Q[17] (Q[17]), 982 | .\Q[16] (Q[16]), 983 | .\Q[15] (Q[15]), 984 | .\Q[14] (Q[14]), 985 | .\Q[13] (Q[13]), 986 | .\Q[12] (Q[12]), 987 | .\Q[11] (Q[11]), 988 | .\Q[10] (Q[10]), 989 | .\Q[9] (Q[9]), 990 | .\Q[8] (Q[8]), 991 | .\Q[7] (Q[7]), 992 | .\Q[6] (Q[6]), 993 | .\Q[5] (Q[5]), 994 | .\Q[4] (Q[4]), 995 | .\Q[3] (Q[3]), 996 | .\Q[2] (Q[2]), 997 | .\Q[1] (Q[1]), 998 | .\Q[0] (Q[0]), 999 | .Empty(Empty), 1000 | .Full(Full), 1001 | .Almost_Full(Almost_Full) 1002 | ); 1003 | VCC VCC_cZ ( 1004 | .V(VCC) 1005 | ); 1006 | GND GND_cZ ( 1007 | .G(GND) 1008 | ); 1009 | endmodule /* fifo */ 1010 | --------------------------------------------------------------------------------