├── .github └── FUNDING.yml ├── .gitignore ├── Makefile ├── README.md ├── dhrystone ├── Makefile ├── README ├── dhry.h ├── dhry_1.c ├── dhry_1_orig.c ├── dhry_2.c ├── sections.lds ├── start.S ├── stdlib.c ├── syscalls.c ├── testbench.v └── testbench_nola.v ├── firmware ├── README ├── custom_ops.S ├── firmware.h ├── hello.c ├── irq.c ├── makehex.py ├── multest.c ├── print.c ├── riscv.ld ├── riscv.ld.orig ├── sections.lds ├── sieve.c ├── start.S └── stats.c ├── picorv32.core ├── picorv32.v ├── picosoc ├── .gitignore ├── Makefile ├── README.md ├── audio.v ├── charset.hex ├── charset32.hex ├── clk_25_250_125_25.v ├── fake_differential.v ├── firmware.c ├── hx8kdemo.core ├── hx8kdemo.pcf ├── hx8kdemo.v ├── hx8kdemo_tb.v ├── ice40up5k_spram.v ├── icebreaker.core ├── icebreaker.pcf ├── icebreaker.v ├── icebreaker_tb.v ├── libc │ ├── ctype.h │ ├── memset.c │ ├── sprintf.c │ ├── strlen.c │ ├── strncasecmp.c │ ├── strncmp.c │ └── sys │ │ └── param.h ├── overview.svg ├── performance.png ├── performance.py ├── picosoc.core ├── picosoc.v ├── ps2.v ├── sdram.v ├── sections-user.lds ├── sections.lds ├── simpleuart.v ├── spiflash.core ├── spiflash.v ├── spiflash_tb.v ├── spimemio.v ├── spimmc.v ├── start.S ├── tmds_encoder.v ├── ulx3s.lpf ├── ulx3s.v ├── user.c ├── utility │ ├── C.h │ └── Endianess.hh ├── vga.v ├── vga2dvid.v ├── vgapal.hex ├── video_timing.v └── vram16.hex ├── scripts ├── csmith │ ├── .gitignore │ ├── Makefile │ ├── riscv-isa-sim.diff │ ├── start.S │ ├── syscalls.c │ ├── testbench.cc │ └── testbench.v ├── cxxdemo │ ├── .gitignore │ ├── Makefile │ ├── firmware.cc │ ├── hex8tohex32.py │ ├── start.S │ ├── start.ld │ ├── syscalls.c │ └── testbench.v ├── icestorm │ ├── .gitignore │ ├── Makefile │ ├── example.pcf │ ├── example.v │ ├── example_tb.v │ ├── firmware.S │ ├── firmware.c │ ├── firmware.lds │ └── readme.md ├── presyn │ ├── .gitignore │ ├── Makefile │ ├── README │ ├── firmware.S │ ├── firmware.c │ ├── firmware.lds │ ├── picorv32_presyn.ys │ ├── picorv32_regs.txt │ └── testbench.v ├── quartus │ ├── .gitignore │ ├── Makefile │ ├── firmware.S │ ├── firmware.c │ ├── firmware.lds │ ├── synth_area.sdc │ ├── synth_area_large.qsf │ ├── synth_area_regular.qsf │ ├── synth_area_small.qsf │ ├── synth_area_top.v │ ├── synth_speed.qsf │ ├── synth_speed.sdc │ ├── synth_system.qsf │ ├── synth_system.sdc │ ├── synth_system.tcl │ ├── system.v │ ├── system_tb.v │ ├── table.sh │ ├── tabtest.sh │ └── tabtest.v ├── romload │ ├── .gitignore │ ├── Makefile │ ├── firmware.c │ ├── hex8tohex32.py │ ├── map2debug.py │ ├── sections.ld │ ├── start.S │ ├── syscalls.c │ └── testbench.v ├── smtbmc │ ├── .gitignore │ ├── axicheck.sh │ ├── axicheck.v │ ├── axicheck2.sh │ ├── axicheck2.smtc │ ├── axicheck2.v │ ├── mulcmp.sh │ ├── mulcmp.v │ ├── notrap_validop.sh │ ├── notrap_validop.v │ ├── opcode.v │ ├── tracecmp.gtkw │ ├── tracecmp.sh │ ├── tracecmp.smtc │ ├── tracecmp.v │ ├── tracecmp2.sh │ ├── tracecmp2.smtc │ ├── tracecmp2.v │ ├── tracecmp3.sh │ └── tracecmp3.v ├── tomthumbtg │ ├── .gitignore │ ├── README │ ├── run.sh │ ├── sections.lds │ ├── start.S │ └── testbench.v ├── torture │ ├── .gitignore │ ├── Makefile │ ├── README │ ├── asmcheck.py │ ├── config.py │ ├── riscv-isa-sim-notrap.diff │ ├── riscv-isa-sim-sbreak.diff │ ├── riscv-torture-genloop.diff │ ├── riscv-torture-rv32.diff │ ├── riscv_test.h │ ├── sections.lds │ ├── test.sh │ ├── testbench.cc │ └── testbench.v ├── vivado │ ├── .gitignore │ ├── Makefile │ ├── firmware.S │ ├── firmware.c │ ├── firmware.lds │ ├── synth_area.tcl │ ├── synth_area.xdc │ ├── synth_area_large.tcl │ ├── synth_area_regular.tcl │ ├── synth_area_small.tcl │ ├── synth_area_top.v │ ├── synth_speed.tcl │ ├── synth_speed.xdc │ ├── synth_system.tcl │ ├── synth_system.xdc │ ├── system.v │ ├── system_tb.v │ ├── table.sh │ ├── tabtest.sh │ └── tabtest.v ├── yosys-cmp │ ├── README.md │ ├── lse.sh │ ├── synplify.sh │ ├── vivado.tcl │ ├── yosys_ice40.ys │ └── yosys_xilinx.ys └── yosys │ ├── .gitignore │ ├── synth_gates.lib │ ├── synth_gates.v │ ├── synth_gates.ys │ ├── synth_osu018.sh │ └── synth_sim.ys ├── shell.nix ├── showtrace.py ├── testbench.cc ├── testbench.v ├── testbench_ez.v ├── testbench_wb.v └── tests ├── LICENSE ├── README ├── add.S ├── addi.S ├── and.S ├── andi.S ├── auipc.S ├── beq.S ├── bge.S ├── bgeu.S ├── blt.S ├── bltu.S ├── bne.S ├── div.S ├── divu.S ├── j.S ├── jal.S ├── jalr.S ├── lb.S ├── lbu.S ├── lh.S ├── lhu.S ├── lui.S ├── lw.S ├── mul.S ├── mulh.S ├── mulhsu.S ├── mulhu.S ├── or.S ├── ori.S ├── rem.S ├── remu.S ├── riscv_test.h ├── sb.S ├── sh.S ├── simple.S ├── sll.S ├── slli.S ├── slt.S ├── slti.S ├── sra.S ├── srai.S ├── srl.S ├── srli.S ├── sub.S ├── sw.S ├── test_macros.h ├── xor.S └── xori.S /.github/FUNDING.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/.github/FUNDING.yml -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/.gitignore -------------------------------------------------------------------------------- /Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/Makefile -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/README.md -------------------------------------------------------------------------------- /dhrystone/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/Makefile -------------------------------------------------------------------------------- /dhrystone/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/README -------------------------------------------------------------------------------- /dhrystone/dhry.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/dhry.h -------------------------------------------------------------------------------- /dhrystone/dhry_1.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/dhry_1.c -------------------------------------------------------------------------------- /dhrystone/dhry_1_orig.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/dhry_1_orig.c -------------------------------------------------------------------------------- /dhrystone/dhry_2.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/dhry_2.c -------------------------------------------------------------------------------- /dhrystone/sections.lds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/sections.lds -------------------------------------------------------------------------------- /dhrystone/start.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/start.S -------------------------------------------------------------------------------- /dhrystone/stdlib.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/stdlib.c -------------------------------------------------------------------------------- /dhrystone/syscalls.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/syscalls.c -------------------------------------------------------------------------------- /dhrystone/testbench.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/testbench.v -------------------------------------------------------------------------------- /dhrystone/testbench_nola.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/dhrystone/testbench_nola.v -------------------------------------------------------------------------------- /firmware/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/README -------------------------------------------------------------------------------- /firmware/custom_ops.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/custom_ops.S -------------------------------------------------------------------------------- /firmware/firmware.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/firmware.h -------------------------------------------------------------------------------- /firmware/hello.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/hello.c -------------------------------------------------------------------------------- /firmware/irq.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/irq.c -------------------------------------------------------------------------------- /firmware/makehex.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/makehex.py -------------------------------------------------------------------------------- /firmware/multest.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/multest.c -------------------------------------------------------------------------------- /firmware/print.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/print.c -------------------------------------------------------------------------------- /firmware/riscv.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/riscv.ld -------------------------------------------------------------------------------- /firmware/riscv.ld.orig: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/riscv.ld.orig -------------------------------------------------------------------------------- /firmware/sections.lds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/sections.lds -------------------------------------------------------------------------------- /firmware/sieve.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/sieve.c -------------------------------------------------------------------------------- /firmware/start.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/start.S -------------------------------------------------------------------------------- /firmware/stats.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/firmware/stats.c -------------------------------------------------------------------------------- /picorv32.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picorv32.core -------------------------------------------------------------------------------- /picorv32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picorv32.v -------------------------------------------------------------------------------- /picosoc/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/.gitignore -------------------------------------------------------------------------------- /picosoc/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/Makefile -------------------------------------------------------------------------------- /picosoc/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/README.md -------------------------------------------------------------------------------- /picosoc/audio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/audio.v -------------------------------------------------------------------------------- /picosoc/charset.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/charset.hex -------------------------------------------------------------------------------- /picosoc/charset32.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/charset32.hex -------------------------------------------------------------------------------- /picosoc/clk_25_250_125_25.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/clk_25_250_125_25.v -------------------------------------------------------------------------------- /picosoc/fake_differential.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/fake_differential.v -------------------------------------------------------------------------------- /picosoc/firmware.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/firmware.c -------------------------------------------------------------------------------- /picosoc/hx8kdemo.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/hx8kdemo.core -------------------------------------------------------------------------------- /picosoc/hx8kdemo.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/hx8kdemo.pcf -------------------------------------------------------------------------------- /picosoc/hx8kdemo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/hx8kdemo.v -------------------------------------------------------------------------------- /picosoc/hx8kdemo_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/hx8kdemo_tb.v -------------------------------------------------------------------------------- /picosoc/ice40up5k_spram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/ice40up5k_spram.v -------------------------------------------------------------------------------- /picosoc/icebreaker.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/icebreaker.core -------------------------------------------------------------------------------- /picosoc/icebreaker.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/icebreaker.pcf -------------------------------------------------------------------------------- /picosoc/icebreaker.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/icebreaker.v -------------------------------------------------------------------------------- /picosoc/icebreaker_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/icebreaker_tb.v -------------------------------------------------------------------------------- /picosoc/libc/ctype.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/libc/ctype.h -------------------------------------------------------------------------------- /picosoc/libc/memset.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/libc/memset.c -------------------------------------------------------------------------------- /picosoc/libc/sprintf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/libc/sprintf.c -------------------------------------------------------------------------------- /picosoc/libc/strlen.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/libc/strlen.c -------------------------------------------------------------------------------- /picosoc/libc/strncasecmp.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/libc/strncasecmp.c -------------------------------------------------------------------------------- /picosoc/libc/strncmp.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/libc/strncmp.c -------------------------------------------------------------------------------- /picosoc/libc/sys/param.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/libc/sys/param.h -------------------------------------------------------------------------------- /picosoc/overview.svg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/overview.svg -------------------------------------------------------------------------------- /picosoc/performance.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/performance.png -------------------------------------------------------------------------------- /picosoc/performance.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/performance.py -------------------------------------------------------------------------------- /picosoc/picosoc.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/picosoc.core -------------------------------------------------------------------------------- /picosoc/picosoc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/picosoc.v -------------------------------------------------------------------------------- /picosoc/ps2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/ps2.v -------------------------------------------------------------------------------- /picosoc/sdram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/sdram.v -------------------------------------------------------------------------------- /picosoc/sections-user.lds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/sections-user.lds -------------------------------------------------------------------------------- /picosoc/sections.lds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/sections.lds -------------------------------------------------------------------------------- /picosoc/simpleuart.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/simpleuart.v -------------------------------------------------------------------------------- /picosoc/spiflash.core: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/spiflash.core -------------------------------------------------------------------------------- /picosoc/spiflash.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/spiflash.v -------------------------------------------------------------------------------- /picosoc/spiflash_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/spiflash_tb.v -------------------------------------------------------------------------------- /picosoc/spimemio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/spimemio.v -------------------------------------------------------------------------------- /picosoc/spimmc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/spimmc.v -------------------------------------------------------------------------------- /picosoc/start.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/start.S -------------------------------------------------------------------------------- /picosoc/tmds_encoder.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/tmds_encoder.v -------------------------------------------------------------------------------- /picosoc/ulx3s.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/ulx3s.lpf -------------------------------------------------------------------------------- /picosoc/ulx3s.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/ulx3s.v -------------------------------------------------------------------------------- /picosoc/user.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/user.c -------------------------------------------------------------------------------- /picosoc/utility/C.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/utility/C.h -------------------------------------------------------------------------------- /picosoc/utility/Endianess.hh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/utility/Endianess.hh -------------------------------------------------------------------------------- /picosoc/vga.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/vga.v -------------------------------------------------------------------------------- /picosoc/vga2dvid.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/vga2dvid.v -------------------------------------------------------------------------------- /picosoc/vgapal.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/vgapal.hex -------------------------------------------------------------------------------- /picosoc/video_timing.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/video_timing.v -------------------------------------------------------------------------------- /picosoc/vram16.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/picosoc/vram16.hex -------------------------------------------------------------------------------- /scripts/csmith/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/csmith/.gitignore -------------------------------------------------------------------------------- /scripts/csmith/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/csmith/Makefile -------------------------------------------------------------------------------- /scripts/csmith/riscv-isa-sim.diff: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/csmith/riscv-isa-sim.diff -------------------------------------------------------------------------------- /scripts/csmith/start.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/csmith/start.S -------------------------------------------------------------------------------- /scripts/csmith/syscalls.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/csmith/syscalls.c -------------------------------------------------------------------------------- /scripts/csmith/testbench.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/csmith/testbench.cc -------------------------------------------------------------------------------- /scripts/csmith/testbench.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/csmith/testbench.v -------------------------------------------------------------------------------- /scripts/cxxdemo/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/cxxdemo/.gitignore -------------------------------------------------------------------------------- /scripts/cxxdemo/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/cxxdemo/Makefile -------------------------------------------------------------------------------- /scripts/cxxdemo/firmware.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/cxxdemo/firmware.cc -------------------------------------------------------------------------------- /scripts/cxxdemo/hex8tohex32.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/cxxdemo/hex8tohex32.py -------------------------------------------------------------------------------- /scripts/cxxdemo/start.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/cxxdemo/start.S -------------------------------------------------------------------------------- /scripts/cxxdemo/start.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/cxxdemo/start.ld -------------------------------------------------------------------------------- /scripts/cxxdemo/syscalls.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/cxxdemo/syscalls.c -------------------------------------------------------------------------------- /scripts/cxxdemo/testbench.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/cxxdemo/testbench.v -------------------------------------------------------------------------------- /scripts/icestorm/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/icestorm/.gitignore -------------------------------------------------------------------------------- /scripts/icestorm/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/icestorm/Makefile -------------------------------------------------------------------------------- /scripts/icestorm/example.pcf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/icestorm/example.pcf -------------------------------------------------------------------------------- /scripts/icestorm/example.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/icestorm/example.v -------------------------------------------------------------------------------- /scripts/icestorm/example_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/icestorm/example_tb.v -------------------------------------------------------------------------------- /scripts/icestorm/firmware.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/icestorm/firmware.S -------------------------------------------------------------------------------- /scripts/icestorm/firmware.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/icestorm/firmware.c -------------------------------------------------------------------------------- /scripts/icestorm/firmware.lds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/icestorm/firmware.lds -------------------------------------------------------------------------------- /scripts/icestorm/readme.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/icestorm/readme.md -------------------------------------------------------------------------------- /scripts/presyn/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/presyn/.gitignore -------------------------------------------------------------------------------- /scripts/presyn/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/presyn/Makefile -------------------------------------------------------------------------------- /scripts/presyn/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/presyn/README -------------------------------------------------------------------------------- /scripts/presyn/firmware.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/presyn/firmware.S -------------------------------------------------------------------------------- /scripts/presyn/firmware.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/presyn/firmware.c -------------------------------------------------------------------------------- /scripts/presyn/firmware.lds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/presyn/firmware.lds -------------------------------------------------------------------------------- /scripts/presyn/picorv32_presyn.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/presyn/picorv32_presyn.ys -------------------------------------------------------------------------------- /scripts/presyn/picorv32_regs.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/presyn/picorv32_regs.txt -------------------------------------------------------------------------------- /scripts/presyn/testbench.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/presyn/testbench.v -------------------------------------------------------------------------------- /scripts/quartus/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/.gitignore -------------------------------------------------------------------------------- /scripts/quartus/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/Makefile -------------------------------------------------------------------------------- /scripts/quartus/firmware.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/firmware.S -------------------------------------------------------------------------------- /scripts/quartus/firmware.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/firmware.c -------------------------------------------------------------------------------- /scripts/quartus/firmware.lds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/firmware.lds -------------------------------------------------------------------------------- /scripts/quartus/synth_area.sdc: -------------------------------------------------------------------------------- 1 | create_clock -period 20.00 [get_ports clk] 2 | -------------------------------------------------------------------------------- /scripts/quartus/synth_area_large.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/synth_area_large.qsf -------------------------------------------------------------------------------- /scripts/quartus/synth_area_regular.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/synth_area_regular.qsf -------------------------------------------------------------------------------- /scripts/quartus/synth_area_small.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/synth_area_small.qsf -------------------------------------------------------------------------------- /scripts/quartus/synth_area_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/synth_area_top.v -------------------------------------------------------------------------------- /scripts/quartus/synth_speed.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/synth_speed.qsf -------------------------------------------------------------------------------- /scripts/quartus/synth_speed.sdc: -------------------------------------------------------------------------------- 1 | create_clock -period 2.5 [get_ports clk] 2 | -------------------------------------------------------------------------------- /scripts/quartus/synth_system.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/synth_system.qsf -------------------------------------------------------------------------------- /scripts/quartus/synth_system.sdc: -------------------------------------------------------------------------------- 1 | create_clock -period 10.00 [get_ports clk] 2 | -------------------------------------------------------------------------------- /scripts/quartus/synth_system.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/synth_system.tcl -------------------------------------------------------------------------------- /scripts/quartus/system.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/system.v -------------------------------------------------------------------------------- /scripts/quartus/system_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/system_tb.v -------------------------------------------------------------------------------- /scripts/quartus/table.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/table.sh -------------------------------------------------------------------------------- /scripts/quartus/tabtest.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/tabtest.sh -------------------------------------------------------------------------------- /scripts/quartus/tabtest.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/quartus/tabtest.v -------------------------------------------------------------------------------- /scripts/romload/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/romload/.gitignore -------------------------------------------------------------------------------- /scripts/romload/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/romload/Makefile -------------------------------------------------------------------------------- /scripts/romload/firmware.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/romload/firmware.c -------------------------------------------------------------------------------- /scripts/romload/hex8tohex32.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/romload/hex8tohex32.py -------------------------------------------------------------------------------- /scripts/romload/map2debug.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/romload/map2debug.py -------------------------------------------------------------------------------- /scripts/romload/sections.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/romload/sections.ld -------------------------------------------------------------------------------- /scripts/romload/start.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/romload/start.S -------------------------------------------------------------------------------- /scripts/romload/syscalls.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/romload/syscalls.c -------------------------------------------------------------------------------- /scripts/romload/testbench.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/romload/testbench.v -------------------------------------------------------------------------------- /scripts/smtbmc/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/.gitignore -------------------------------------------------------------------------------- /scripts/smtbmc/axicheck.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/axicheck.sh -------------------------------------------------------------------------------- /scripts/smtbmc/axicheck.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/axicheck.v -------------------------------------------------------------------------------- /scripts/smtbmc/axicheck2.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/axicheck2.sh -------------------------------------------------------------------------------- /scripts/smtbmc/axicheck2.smtc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/axicheck2.smtc -------------------------------------------------------------------------------- /scripts/smtbmc/axicheck2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/axicheck2.v -------------------------------------------------------------------------------- /scripts/smtbmc/mulcmp.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/mulcmp.sh -------------------------------------------------------------------------------- /scripts/smtbmc/mulcmp.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/mulcmp.v -------------------------------------------------------------------------------- /scripts/smtbmc/notrap_validop.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/notrap_validop.sh -------------------------------------------------------------------------------- /scripts/smtbmc/notrap_validop.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/notrap_validop.v -------------------------------------------------------------------------------- /scripts/smtbmc/opcode.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/opcode.v -------------------------------------------------------------------------------- /scripts/smtbmc/tracecmp.gtkw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/tracecmp.gtkw -------------------------------------------------------------------------------- /scripts/smtbmc/tracecmp.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/tracecmp.sh -------------------------------------------------------------------------------- /scripts/smtbmc/tracecmp.smtc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/tracecmp.smtc -------------------------------------------------------------------------------- /scripts/smtbmc/tracecmp.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/tracecmp.v -------------------------------------------------------------------------------- /scripts/smtbmc/tracecmp2.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/tracecmp2.sh -------------------------------------------------------------------------------- /scripts/smtbmc/tracecmp2.smtc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/tracecmp2.smtc -------------------------------------------------------------------------------- /scripts/smtbmc/tracecmp2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/tracecmp2.v -------------------------------------------------------------------------------- /scripts/smtbmc/tracecmp3.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/tracecmp3.sh -------------------------------------------------------------------------------- /scripts/smtbmc/tracecmp3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/smtbmc/tracecmp3.v -------------------------------------------------------------------------------- /scripts/tomthumbtg/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/tomthumbtg/.gitignore -------------------------------------------------------------------------------- /scripts/tomthumbtg/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/tomthumbtg/README -------------------------------------------------------------------------------- /scripts/tomthumbtg/run.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/tomthumbtg/run.sh -------------------------------------------------------------------------------- /scripts/tomthumbtg/sections.lds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/tomthumbtg/sections.lds -------------------------------------------------------------------------------- /scripts/tomthumbtg/start.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/tomthumbtg/start.S -------------------------------------------------------------------------------- /scripts/tomthumbtg/testbench.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/tomthumbtg/testbench.v -------------------------------------------------------------------------------- /scripts/torture/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/.gitignore -------------------------------------------------------------------------------- /scripts/torture/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/Makefile -------------------------------------------------------------------------------- /scripts/torture/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/README -------------------------------------------------------------------------------- /scripts/torture/asmcheck.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/asmcheck.py -------------------------------------------------------------------------------- /scripts/torture/config.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/config.py -------------------------------------------------------------------------------- /scripts/torture/riscv-isa-sim-notrap.diff: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/riscv-isa-sim-notrap.diff -------------------------------------------------------------------------------- /scripts/torture/riscv-isa-sim-sbreak.diff: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/riscv-isa-sim-sbreak.diff -------------------------------------------------------------------------------- /scripts/torture/riscv-torture-genloop.diff: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/riscv-torture-genloop.diff -------------------------------------------------------------------------------- /scripts/torture/riscv-torture-rv32.diff: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/riscv-torture-rv32.diff -------------------------------------------------------------------------------- /scripts/torture/riscv_test.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/riscv_test.h -------------------------------------------------------------------------------- /scripts/torture/sections.lds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/sections.lds -------------------------------------------------------------------------------- /scripts/torture/test.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/test.sh -------------------------------------------------------------------------------- /scripts/torture/testbench.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/testbench.cc -------------------------------------------------------------------------------- /scripts/torture/testbench.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/torture/testbench.v -------------------------------------------------------------------------------- /scripts/vivado/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/.gitignore -------------------------------------------------------------------------------- /scripts/vivado/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/Makefile -------------------------------------------------------------------------------- /scripts/vivado/firmware.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/firmware.S -------------------------------------------------------------------------------- /scripts/vivado/firmware.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/firmware.c -------------------------------------------------------------------------------- /scripts/vivado/firmware.lds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/firmware.lds -------------------------------------------------------------------------------- /scripts/vivado/synth_area.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/synth_area.tcl -------------------------------------------------------------------------------- /scripts/vivado/synth_area.xdc: -------------------------------------------------------------------------------- 1 | create_clock -period 20.00 [get_ports clk] 2 | -------------------------------------------------------------------------------- /scripts/vivado/synth_area_large.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/synth_area_large.tcl -------------------------------------------------------------------------------- /scripts/vivado/synth_area_regular.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/synth_area_regular.tcl -------------------------------------------------------------------------------- /scripts/vivado/synth_area_small.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/synth_area_small.tcl -------------------------------------------------------------------------------- /scripts/vivado/synth_area_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/synth_area_top.v -------------------------------------------------------------------------------- /scripts/vivado/synth_speed.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/synth_speed.tcl -------------------------------------------------------------------------------- /scripts/vivado/synth_speed.xdc: -------------------------------------------------------------------------------- 1 | create_clock -period 2.50 [get_ports clk] 2 | -------------------------------------------------------------------------------- /scripts/vivado/synth_system.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/synth_system.tcl -------------------------------------------------------------------------------- /scripts/vivado/synth_system.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/synth_system.xdc -------------------------------------------------------------------------------- /scripts/vivado/system.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/system.v -------------------------------------------------------------------------------- /scripts/vivado/system_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/system_tb.v -------------------------------------------------------------------------------- /scripts/vivado/table.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/table.sh -------------------------------------------------------------------------------- /scripts/vivado/tabtest.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/tabtest.sh -------------------------------------------------------------------------------- /scripts/vivado/tabtest.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/vivado/tabtest.v -------------------------------------------------------------------------------- /scripts/yosys-cmp/README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys-cmp/README.md -------------------------------------------------------------------------------- /scripts/yosys-cmp/lse.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys-cmp/lse.sh -------------------------------------------------------------------------------- /scripts/yosys-cmp/synplify.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys-cmp/synplify.sh -------------------------------------------------------------------------------- /scripts/yosys-cmp/vivado.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys-cmp/vivado.tcl -------------------------------------------------------------------------------- /scripts/yosys-cmp/yosys_ice40.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys-cmp/yosys_ice40.ys -------------------------------------------------------------------------------- /scripts/yosys-cmp/yosys_xilinx.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys-cmp/yosys_xilinx.ys -------------------------------------------------------------------------------- /scripts/yosys/.gitignore: -------------------------------------------------------------------------------- 1 | osu018_stdcells.lib 2 | -------------------------------------------------------------------------------- /scripts/yosys/synth_gates.lib: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys/synth_gates.lib -------------------------------------------------------------------------------- /scripts/yosys/synth_gates.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys/synth_gates.v -------------------------------------------------------------------------------- /scripts/yosys/synth_gates.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys/synth_gates.ys -------------------------------------------------------------------------------- /scripts/yosys/synth_osu018.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys/synth_osu018.sh -------------------------------------------------------------------------------- /scripts/yosys/synth_sim.ys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/scripts/yosys/synth_sim.ys -------------------------------------------------------------------------------- /shell.nix: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/shell.nix -------------------------------------------------------------------------------- /showtrace.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/showtrace.py -------------------------------------------------------------------------------- /testbench.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/testbench.cc -------------------------------------------------------------------------------- /testbench.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/testbench.v -------------------------------------------------------------------------------- /testbench_ez.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/testbench_ez.v -------------------------------------------------------------------------------- /testbench_wb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/testbench_wb.v -------------------------------------------------------------------------------- /tests/LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/LICENSE -------------------------------------------------------------------------------- /tests/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/README -------------------------------------------------------------------------------- /tests/add.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/add.S -------------------------------------------------------------------------------- /tests/addi.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/addi.S -------------------------------------------------------------------------------- /tests/and.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/and.S -------------------------------------------------------------------------------- /tests/andi.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/andi.S -------------------------------------------------------------------------------- /tests/auipc.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/auipc.S -------------------------------------------------------------------------------- /tests/beq.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/beq.S -------------------------------------------------------------------------------- /tests/bge.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/bge.S -------------------------------------------------------------------------------- /tests/bgeu.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/bgeu.S -------------------------------------------------------------------------------- /tests/blt.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/blt.S -------------------------------------------------------------------------------- /tests/bltu.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/bltu.S -------------------------------------------------------------------------------- /tests/bne.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/bne.S -------------------------------------------------------------------------------- /tests/div.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/div.S -------------------------------------------------------------------------------- /tests/divu.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/divu.S -------------------------------------------------------------------------------- /tests/j.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/j.S -------------------------------------------------------------------------------- /tests/jal.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/jal.S -------------------------------------------------------------------------------- /tests/jalr.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/jalr.S -------------------------------------------------------------------------------- /tests/lb.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/lb.S -------------------------------------------------------------------------------- /tests/lbu.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/lbu.S -------------------------------------------------------------------------------- /tests/lh.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/lh.S -------------------------------------------------------------------------------- /tests/lhu.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/lhu.S -------------------------------------------------------------------------------- /tests/lui.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/lui.S -------------------------------------------------------------------------------- /tests/lw.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/lw.S -------------------------------------------------------------------------------- /tests/mul.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/mul.S -------------------------------------------------------------------------------- /tests/mulh.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/mulh.S -------------------------------------------------------------------------------- /tests/mulhsu.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/mulhsu.S -------------------------------------------------------------------------------- /tests/mulhu.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/mulhu.S -------------------------------------------------------------------------------- /tests/or.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/or.S -------------------------------------------------------------------------------- /tests/ori.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/ori.S -------------------------------------------------------------------------------- /tests/rem.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/rem.S -------------------------------------------------------------------------------- /tests/remu.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/remu.S -------------------------------------------------------------------------------- /tests/riscv_test.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/riscv_test.h -------------------------------------------------------------------------------- /tests/sb.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/sb.S -------------------------------------------------------------------------------- /tests/sh.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/sh.S -------------------------------------------------------------------------------- /tests/simple.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/simple.S -------------------------------------------------------------------------------- /tests/sll.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/sll.S -------------------------------------------------------------------------------- /tests/slli.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/slli.S -------------------------------------------------------------------------------- /tests/slt.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/slt.S -------------------------------------------------------------------------------- /tests/slti.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/slti.S -------------------------------------------------------------------------------- /tests/sra.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/sra.S -------------------------------------------------------------------------------- /tests/srai.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/srai.S -------------------------------------------------------------------------------- /tests/srl.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/srl.S -------------------------------------------------------------------------------- /tests/srli.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/srli.S -------------------------------------------------------------------------------- /tests/sub.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/sub.S -------------------------------------------------------------------------------- /tests/sw.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/sw.S -------------------------------------------------------------------------------- /tests/test_macros.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/test_macros.h -------------------------------------------------------------------------------- /tests/xor.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/xor.S -------------------------------------------------------------------------------- /tests/xori.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/rxrbln/picorv32/HEAD/tests/xori.S --------------------------------------------------------------------------------